Microsoft PowerPoint - FTC研究会2007群大共同発表( )F修正.ppt

Size: px
Start display at page:

Download "Microsoft PowerPoint - FTC研究会2007群大共同発表( )F修正.ppt"

Transcription

1 第 57 回 FTC 研究会 2007/07/21 アナログバウンダリスキャンの 評価計測と応用の検討 群馬大学趙楠 高橋洋介 光野正志 小林春夫 富士通 ( 株 ) 亀山修一 馬場雅之 1

2 発表内容 Ⅰ. アナログバウンダリスキャンの背景と富士通の取り組み Ⅱ. アナログバウンダリスキャンモジュールの評価 Ⅲ. アナログバウンダリスキャンの応用 Ⅳ. まとめと今後の課題 2

3 Ⅰ. アナログバウンダリスキャンの 背景と富士通の取り組み 3

4 バウンダリスキャンテストとは コア コア シリアル入力 TDI TAP コントローラ TAP コントローラ シリアル出力 TDO テスト制御 TMS TCK TRST プリント配線板上の LSI 間の相互接続を容易に試験するための仕組み IEEE1149.X 規格にて LSI に組み込む回路や利用方法 機能記述 (DL) 等を規定 安価なテストコストで 高い故障検出率と短時間テストを実現 4

5 バウンダリスキャンテスト (IEEE1149.1) の問題点 - アナログコアを含む SOC への対応 - LSI LSI D D デジタルコア D D ショート故障 D D デジタルコア アナログコア A A A オープン故障 A A A アナログコア A A 5 部品違い / 未実装 問題点 : アナログネットの故障を検出できない

6 バウンダリスキャンテスト規格 IEEE1149.X の動向 1990 年 IEEE Test Access Port and Boundary-Scan デジタル回路のテスト容易化 1999 年 IEEE Mixed Signal Test Bus アナログ回路テストへの拡張 2003 年 IEEE 高速伝送回路テストへの拡張 Advanced Digital Networks IEEE をベースに拡張 6

7 IEEE デバイス構造 デジタル信号ピン DBM DBM DBM DBM デジタルコア アナログコア DBM DBM ABM デジタル信号ピン アナログ信号ピン アナログテストポート AT1 AT2 JTAGテストポート TDI TMS TCK TRST TBIC AB1 AB2 アナログテストバス TAP コントローラ ABM スキャンパス TDO 7

8 ABM( アナロク ハ ウンタ リスキャンモシ ュール ) Data1 VH AB1 AB2 アナログコア SD SH ABM DIG SL SG SB1 SB2 アナログ信号ピン VTH VL デジタルエミュレーション回路 VG アナログテストバス 8

9 < 応用例 1> アナログネットの相互接続テスト デジタルネット VH ABM ショート故障検出 ABM アナログコア SD SH SL 論理信号 (VH/VL) アナログネット SD DIG アナログコア VL オープン故障検出 VTH 9

10 < 応用例 2> パラメトリック試験 ( インピ - ダンス測定 ) 電流印加 ( I ) 電圧測定 (V1 V2) TBIC S5 S6 AB1 V1 ABM1 SB1 SB2 ABM2 SB2 電圧測定 =V1 Z 電流 I V V2 SG AB2 測定値 (Z)=(V1-V2)/I 電圧測定 =V2 10

11 < 応用例 3> アナログプローブ機能 アナログ入力信号の観測 システム動作 アナログ出力信号の観測 アナログ入力信号 ABM SD SB2 アナログコア ABM SD SB2 アナログ出力信号 電圧測定 周波数測定 波形観測 等 TBIC S6 AB2 計測器 11

12 IEEE 普及の現状と 富士通の取り組み << IEEE の普及状況 >> 現在 研究室レヘ ルの研究報告が学界で散見されるものの 回路内蔵の商用 LSI やシステム適用事例報告は未 << 普及を阻害する要因 >> ユーザでの導入効果とペナルティの理解が不十分 開発コストとリスクの負担はユーザかメーカか << IEEE 普及を目指した富士通の取り組み >> IEEE 規格に基づく評価用 LSI の試作 ベンダーとして設計 製造 試験のノウハウの取得 効果とペナルティの実回路での把握 テストツール ( テスト生成 ボードテスタ ) の開発と実用評価 第三者機関による LSI 評価と応用研究により普及促進 12

13 試作した LSI パッケージ外観と仕様 型名 : MB87V722 パッケージ : 0.8mm ピッチ QFP 120 ピン ( シリコンエクスプレス ) アナログ部 : 3.3V デジタル部 I/O : 3.3V デジタルコア : 1.8V テクノロジ : CS81 (0.18μm CMOS) SC82 ライブラリ ソース : Verilog-RTL 13

14 試作した LSI チップ外観 5mm レイアウト図 (CAD) 5mm チップ ( 実物 ) 14

15 LSI 評価用ボード FPGA 評価 LSI 15

16 Ⅱ. アナログ バウンダリ スキャン モジュールの評価 16

17 ABM 付加によるアナログコアへの 影響評価 Data1 VH AB1 AB2 アナログコア SD SH ABM DIG SL SG SB1 SB2 VTH VL VG アナログテストバス 17

18 ABM の評価環境と測定風景 測定 IC 富士通 MB87V722 (ABM テストチップ ) 測定例 ABMの周波数特性 測定器ネットワークアナライザ ADVANTEST R3767CG with Calibration Kit ネットワークアナライザー DC 電源 18

19 測定概要図 To Digital -Tester JTAG ネットワークアナライザー R3767CG ( キャリブレーション済 ) RF ABM コア側 ABM ピン側 19

20 測定例 :ABM 単体の周波数特性 0dB 小電流用 ABM 中電流用 ABM -2dB -4dB -6dB -8dB -10dB MHz MHz -12dB -14dB k 50M 150M 250M 350M 450M 500MHz ADVANTEST R3767CG ネットワークアナアナライザにて測定

21 測定例 :ABM 単体の周波数特性 0dB 小電流用 ABM 大電流用 ABM -2dB -4dB -6dB -8dB -10dB MHz MHz -12dB -14dB k 50M 150M 250M 350M 450M 500MHz ADVANTEST R3767CG ネットワークアナアナライザにて測定

22 周波数特性のまとめと応用 帯域が 100MHz 以上 ビデオ信号には使えないが オーディオ又は中速信号には問題ない 22

23 Ⅲ. アナログ バウンダリ スキャンの 応用の提案 23

24 SiP,SoC のアナログ回路 電源回路自己診断機能 SiP, SoC 内の電源電圧の動的監視への要求 最近の SiP, SoC では多数の電源 監視のためには多チャンネルの AD 変換器が必要 回路規模 コストが大 自己診断機能への要求回路の一部が動作しないとき まず電源を疑う どこの電源が怪しいかをチェックしたい しかし テスターをボードに実装するのは困難 SiP,SOC の簡易な構成での電源電圧自己診断機能が必要 24

25 ABM 回路 ( 再掲 ) Data1 VH AB1 AB2 ABM 回路内の比較器を利用し AD 変換を実現 アナログ回路 SD SH アナログ信号ピン DIG SL SG SB1 SB2 VTH VL VG アナログテストバス DIG: Digitizer ここの比較器に注目した 25

26 ABM の電源電圧監視への応用 IEEE 標準に沿ったABMの使用 ABMをアナログ回路に直列接続 ABMを介してアナログ入力電圧の供給アナログ出力電圧の読み出し 電源等の大電流回路にABMを使用 ABMを並列接続 ABMは電圧監視のみに使用 ABM 内 MOSスイッチには大電流は流さない VDD ABM OPAMP ABM ABM DC-DC 変換回路 ABM ABM GND スキャンバス 26

27 ABM を利用した 並列 ( 複数 )ADC の提案 複数のDCアナログ電圧値 電源電圧値を同時にアナログ デジタル変換 プログラム可能な並列 ( 複数 )ADC 動作アルゴリズム DACからの参照電圧を自由に変化させる 各 ABM 内比較器 DA 変換器と制御回路を使用 画像センサでのコラム毎並列 ADCに類似 27

28 提案並列 ADC 回路構成 各 ABM 内比較器を利用 共通の一つのDACとその制御回路を持つ 比較器出力( デジタル ) をバウンダリースキャンで取り出す アナログ信号(V1, V2,..) が DCなのでS/H 回路が不要 アナログDC 信号 電源電圧の監視に利用可能 V1 V2 V3 V4 Digital Data Bus IC 1 IC 2 IC N DAC VTH レジスタセル 28

29 提案並列 ADC の特徴 ABM 内比較回路を用い バンダリスキャンで結果を読み出す 複数のDC 電圧レベルを同時検知 追加回路はDACとその制御回路 ( テスターに搭載または自己診断回路としてSiP 内にもつ ) プログラミング可能な動作アルゴリズム (DAC 出力 V th はソフトで制御可能 ) 29

30 提案する動作アルゴリズム ランプ波スキャン型動作アルゴリズム DAC 出力をランプ波 逐次比較近似動作アルゴリズム DAC 出力を2 進探索信号 各比較器で入力 DC 信号と比較バンダリスキャンで読み出し 30

31 提案動作アルゴリズム (1) ランプ波スキャン型動作アルゴリズム 逐次比較近似動作アルゴリズム 31

32 V1 提案アルゴリズム (1) 動作原理 Digital Data Bus D1 D1 コンパレータ出力 DAC で比較器参照電圧 Vth をランプ波として生成 V2 V3 V4 D2 D3 D4 D2 D3 D4 比較器出力が反転したときの DAC デジタル入力値が被測定電圧のデジタル値 DAC で Vth 設定 比較毎にバウンダリースキャンで全比較値出力を読み出す Vth 4 3 DAC t DAC からのランプ波

33 ランプ波スキャン型動作法の問題点 DACによるVth 設定 各比較器並列動作毎に バウンダリースキャンで全比較器出力を直列読み出し 長時間を要する 8 bit DAC 最大 2 8 =256 回のバウンダリースキャンが必要 10 bit DAC 最大 2 10 =1024 回のバウンダリースキャンが必要 33

34 提案動作アルゴリズム (2) ランプ波スキャン型動作アルゴリズム 逐次比較近似動作アルゴリズム 34

35 逐次比較近似型動作原理 (4 レベルの場合 ) VDAC 3Vdd/4 2 V Vdd/8 V1 Vdd/ Vdd/16 V2 Vdd/2 V V 逐次比較 ADC 提案動作原理 t bit 精度の場合 4 回のバウンダリースキャンで読み出し可能 Nbit の場合 N 回のバウンダリースキャンが必要 35

36 2 つのアルゴリズムの比較 逐次比較近似アルゴリズムの場合比較的少ない時間で実際に近い値が知りえる 異常電圧を早く検知できる 最終精度が得られるまでのステップ数 読み出し時間 逐次比較近似アルゴリズム短い ランプ波アルゴリズム長い 36

37 ABM テストチップを使用した 並列 ADC の動作検証 提案回路が実現可能か確認するため ABM テストチップを用いて動作検証を行った 37

38 動作検証回路 DC 電源 検証では DAC の代わりに DC 電源を用いて VTH を発生させた R R R R R Vdd=3.3068V V1=2.6428V V2=1.9809V V3=1.3189V V4= V CH1 CH2 CH3 CH4 VTH IC JTAG To Digital -Tester ソフトウェアによる演算 DC 電圧値は高精度のマルチメーターによる実測値 38

39 提案アルゴリズム 検証用ソフトウェア ~-Tester~ Vin Vth out High Low 0 Low High 1 既存のプログラムを用いて 比較器の出力をバウンダリースキャンを通じて同時に取り出せるかを検証 39

40 ABM の並列処理の検証確認 Vth Vin 入力 V CN1 ピンの比較器出力 入力 V CN2 ピンの比較器出力 V CN3 ピンの比較器出力 V CN4 ピンの比較器出力 V V V V V V CH の並列処理の正常動作を確認 40

41 ABM 内比較器のオフセット測定 DC 電源 V VTH JTAG To Digital -Tester CH1 CH2 4 チップを測定 CH3 CH V 1Vth を から V まで入力 2Vth の電圧と比較器が反転した電圧を測定 ( オフセット電圧を計算 ) 34 チップ 4 チャンネル =16 回計測し 平均値を求めた 41

42 比較器オフセット測定結果 CH 別平均コンパレータオフセット [mv] CN CN CN CN 総コンパレータオフセットの平均値 4.45[mV] この値を校正値として用いる 正確な測定値 42

43 Ⅳ. まとめと今後の課題 43

44 まとめと今後の課題 アナログバンダリスキャンと試作 ICの紹介 ABM 用評価 LSIの測定 ABMを利用した電源監視用並列 ADC の提案 今後の課題 提案 ADCシステムの実装 さらなるABM 応用法の検討 44

UCB User's Manual

UCB User's Manual UCB-21489 ユーザーズマニュアル 第 1 版 金子システム株式会社 1 ご注意 1. 本資料に記載されている内容は本資料発行時点のものであり 予告なく変更することがあります 当社製品のご購入およびご使用にあたりましては 当社ホームページを通じて公開される情報を参照ください 2. 当社から提供する情報の正確性と信頼性には万全を尽くしていますが 誤りがないことを保証するものではありません 当社はその使用に対する責任を一切負いません

More information

2STB240PP(AM-2S-G-005)_02

2STB240PP(AM-2S-G-005)_02 項目記号定格単位 電源 1 印加電圧電源 2 印加電圧入力電圧 (1 8) 出力電圧 ( ) 出力電流 ( ) 許容損失動作周囲温度保存周囲温度 S CC I o Io Pd Topr Tstg 24.0 7.0 0.3 S+0.3 0.3 CC+0.3 0.7 +75 45 +5 (1)S= 系項目 記号 定格 単位 電源 1(I/F 入力側 ) 電源 2(I/F 出力側 ) I/F 入力負荷抵抗

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

2STB240AA(AM-2S-H-006)_01

2STB240AA(AM-2S-H-006)_01 項目記号定格単位 電源 1 印加電圧電源 2 印加電圧入力電圧 (A1 A2) 出力電圧 ( ) 出力電流 ( ) 許容損失動作周囲温度保存周囲温度 S CC I o Io Pd Topr Tstg 24.0.0 0.3 S+0.3 0.3 CC+0.3 10 0. 20 + 4 +12 (1)S=12 系項目 記号 定格 単位 電源 1(I/F 入力側 ) 電源 2(I/F 出力側 ) I/F 入力負荷抵抗

More information

電気的特性 (Ta=25 C) 項目 記号 条件 Min. Typ. Max. 単位 読み出し周波数 * 3 fop khz ラインレート * Hz 変換ゲイン Gc ゲイン =2-5 - e-/adu トリガ出力電圧 Highレベル Vdd V -

電気的特性 (Ta=25 C) 項目 記号 条件 Min. Typ. Max. 単位 読み出し周波数 * 3 fop khz ラインレート * Hz 変換ゲイン Gc ゲイン =2-5 - e-/adu トリガ出力電圧 Highレベル Vdd V - CCD イメージセンサ S11850-1106, S11511 シリーズ用 は 当社製 CCDイメージセンサ S11850-1106, S11511 シリーズ用に開発された駆動回路です USB 2.0インターフェースを用いて とPCを接続することにより PCからの制御でセンサのアナログビデオ信号をデジタル出力に変換し PCに取り込むことができます は センサを駆動するセンサ基板 センサ基板の駆動と

More information

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン 蓄積時間の可変機能付き 高精度駆動回路 は 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です センサの駆動に必要な各種タイミング信号を供給し センサからのアナログビデオ信号 を低ノイズで信号処理します 2 種類の外部制御信号 ( スタート クロック ) と 2 種類の電源 (±15 )

More information

KEIm-25ヘッダーボードハードウェアマニュアル

KEIm-25ヘッダーボードハードウェアマニュアル Ver.1.0 はじめにこの度は KEIm 製品をお買い上げいただき誠にありがとうございます 本製品をご使用になる前に 本マニュアル及び関連資料を十分ご確認いただき 使用上の注意を守って正しくご使用ください 取扱い上の注意 本書に記載されている内容は 将来予告なく変更されることがあります 本製品のご使用にあたっては 弊社窓口又は弊社ホームページなどで最新の情報をご確認ください 本製品には一般電子機器用部品が使用されています

More information

MPPC 用電源 C 高精度温度補償機能を内蔵した MPPC 用バイアス電源 C は MPPC (Multi-Pixel Photon Counter) を駆動するために最適化された高電圧電源です 最大で90 Vを出力することができます 温度変化を伴う環境においても M

MPPC 用電源 C 高精度温度補償機能を内蔵した MPPC 用バイアス電源 C は MPPC (Multi-Pixel Photon Counter) を駆動するために最適化された高電圧電源です 最大で90 Vを出力することができます 温度変化を伴う環境においても M MPPC 用電源 C1104-0 高精度温度補償機能を内蔵した MPPC 用バイアス電源 C1104-0は MPPC (Multi-Pixel Photon Counter) を駆動するために最適化された高電圧電源です 最大で90 Vを出力することができます 温度変化を伴う環境においても MPPCを常に最適動作させるために温度補償機能を内蔵しています ( アナログ温度センサの外付けが必要 ) また

More information

CCD リニアイメージセンサ用駆動回路 C CCD リニアイメージセンサ (S11155/S ) 用 C は 当社製 CCDリニアイメージセンサ S11155/S 用に開発された駆動回路です S11155/S11156-

CCD リニアイメージセンサ用駆動回路 C CCD リニアイメージセンサ (S11155/S ) 用 C は 当社製 CCDリニアイメージセンサ S11155/S 用に開発された駆動回路です S11155/S11156- CCD リニアイメージセンサ用駆動回路 C11165-02 CCD リニアイメージセンサ (S11155/S11156-2048-02) 用 C11165-02は 当社製 CCDリニアイメージセンサ S11155/S11156-2048-02 用に開発された駆動回路です S11155/S11156-2048-02と組み合わせることにより分光器に使用できます C11165-02 は CCD 駆動回路

More information

PFC回路とAC-DC変換回路の研究

PFC回路とAC-DC変換回路の研究 第 2 回電気学会東京支部栃木 群馬支所合同研究発表会 2012/2/29 EG1112 PFC 回路と ACDC 変換器 村上和貴小堀康功邢林高虹 小野澤昌徳小林春夫高井伸和新津葵一 ( 群馬大学 ) Outline 研究背景と目的 PFCについて 従来 PFC 付 ACDC 変換器 新提案 PFC 付 ACDC 変換器 シミュレーションによる検討 まとめ Outline 研究背景と目的 PFCについて

More information

JTAG バウンダリスキャンテストの容易化設計を支援する OrCAD Capture の無償プラグイン 21 July 2017 ( 富士設備 / 浅野義雄 )

JTAG バウンダリスキャンテストの容易化設計を支援する OrCAD Capture の無償プラグイン 21 July 2017 ( 富士設備 / 浅野義雄 ) JTAG バウンダリスキャンテストの容易化設計を支援する OrCAD Capture の無償プラグイン 21 July 2017 ( 富士設備 / 浅野義雄 ) PACKAGE COMPLEXITY & TRANSISTOR COUNT 課題 : 実装検査 不良解析 デバッグ プローブ接続では BGA 実装の検査 / 解析 / デバッグができない プローブ接続が困難な高密度実装は増加の一方 このままではテスト費用のほうが高くなる!

More information

モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサ

モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサ モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサンルーフなどのボディー系 電動パワーステアリングやそのアシスト機能など 高度な制御 大電流の制御などが要求されています

More information

Microsoft PowerPoint - 9.Analog.ppt

Microsoft PowerPoint - 9.Analog.ppt 9 章 CMOS アナログ基本回路 1 デジタル情報とアナログ情報 アナログ情報 大きさ デジタル信号アナログ信号 デジタル情報 時間 情報処理システムにおけるアナログ技術 通信 ネットワークの高度化 無線通信, 高速ネットワーク, 光通信 ヒューマンインタフェース高度化 人間の視覚, 聴覚, 感性にせまる 脳型コンピュータの実現 テ シ タルコンヒ ュータと相補的な情報処理 省エネルギーなシステム

More information

インターリーブADCでのタイミングスキュー影響のデジタル補正技術

インターリーブADCでのタイミングスキュー影響のデジタル補正技術 1 インターリーブADCでのタイミングスキュー影響のデジタル補正技術 浅見幸司 黒沢烈士 立岩武徳 宮島広行 小林春夫 ( 株 ) アドバンテスト 群馬大学 2 目次 1. 研究背景 目的 2. インターリーブADCの原理 3. チャネル間ミスマッチの影響 3.1. オフセットミスマッチの影響 3.2. ゲインミスマッチの影響 3.3. タイミングスキューの影響 4. 提案手法 4.1. インターリーブタイミングミスマッチ補正フィルタ

More information

AN41904A

AN41904A DATA SHEET 品種名 パッケージコード UBGA064-P-0606ACA 発行年月 : 2007 年 6 月 1 目 概要. 3 特長. 3 用途. 3 外形. 3 構造.... 3 ブロック図.... 4 応用回路例.... 5 端子説明... 6 絶対最大定格..... 8 動作電源電圧範囲. 8 次 2 カムコーダ用レンズドライバ ( アイリス制御内蔵 ) 概要 は, カムコーダ用レンズドライバ

More information

形式 :WYPD 絶縁 2 出力計装用変換器 W UNIT シリーズ パルスアイソレータ ( センサ用電源付 2 出力形 ) 主な機能と特長 パルス入力信号を絶縁して各種のパルス出力信号に変換 オープンコレクタ 電圧パルス リレー接点パルス出力を用意 センサ用電源内蔵 耐電圧 2000V AC 密着

形式 :WYPD 絶縁 2 出力計装用変換器 W UNIT シリーズ パルスアイソレータ ( センサ用電源付 2 出力形 ) 主な機能と特長 パルス入力信号を絶縁して各種のパルス出力信号に変換 オープンコレクタ 電圧パルス リレー接点パルス出力を用意 センサ用電源内蔵 耐電圧 2000V AC 密着 絶縁 2 出力計装用変換器 W UNIT シリーズ パルスアイソレータ ( センサ用電源付 2 出力形 ) 主な機能と特長 パルス入力信号を絶縁して各種のパルス出力信号に変換 オープンコレクタ 電圧パルス リレー接点パルス出力を用意 センサ用電源内蔵 耐電圧 2000V AC 密着取付可能 アプリケーション例 フィールド側のパルス信号を直流的に絶縁してノイズ対策を行う パルス出力の種類を変換 ( 例

More information

小林研究室2000年度の研究成果

小林研究室2000年度の研究成果 応用科学学会 電子回路と計測制御技術 群馬大学大学院工学研究科電気電子工学専攻小林春夫 連絡先 : 376-8515 群馬県桐生市天神町 1 丁目 5 番 1 号群馬大学工学部電気電子工学科電話 0277 (30) 1788 FAX: 0277 (30)1707 e-mail: k_haruo@el.gunma-u.ac.jp 1 発表内容 アナログ電子回路と計測制御技術 AD 変換器計測制御機器のキーコンポーネント高性能化のためには計測制御技術が必要

More information

iCLR

iCLR RF24N1D-05-TK 無線モジュール簡易評価キット 取扱説明書 第 1.02 版 2014 年 05 月 01 日 株式会社 TOUA 1/10 目次 1. はじめに... 3 1.1. 無線モジュール簡易評価キットの概要... 3 1.2. 免責事項... 3 2. 無線モジュール簡易評価キットの構成... 3 3. 評価ボードの説明... 4 4. 事前準備... 5 5. 評価キットの実機動作...

More information

Microsoft Word - QEX_2014_feb.doc

Microsoft Word - QEX_2014_feb.doc QEX2 月掲載記事 GPS 同期の 10MHz-OCXO 1. はじめに様々な場面で周波数精度の高い 10MHz 基準信号が必要とされます たとえば ダブルオーブン式の OCXO を使用して ppb 級 (10 の -9 乗 ) の精度を実現することができます OCXO 以上の精度を要求する場合には ルビジウム発振器や GPS 同期の OCXO を使用します ルビジウム発振器や GPS 同期の OCXO

More information

焦電型赤外線センサPaPIRs

焦電型赤外線センサPaPIRs 焦電型赤外線人感センサ パナソニック独自の設計構造で実現した 高感度 高信頼性 2015.12 1 2 VDD OUT GND EKMBWL EKMBEKMC 1A 2A 6A 1A 2A 6A 1A 2A 6A EKMB1101111 EKMB1101112 EKMB1201111 EKMB1201112 EKMB1301111K EKMB1301112K EKMB1103111 EKMB1103112

More information

Microsoft Word - TC4013BP_BF_J_P9_060601_.doc

Microsoft Word - TC4013BP_BF_J_P9_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4013BP,TC4013BF TC4013BP/TC4013BF Dual D-Type Flip Flop は 2 回路の独立な D タイプ フリップフロップです DATA 入力に加えられた入力レベルはクロックパルスの立ち上がりで Q および Q 出力に伝送されます SET 入力を H RESET 入力を L にすると Q 出力は H Q

More information

HDLトレーナーサンプルプログラム説明書

HDLトレーナーサンプルプログラム説明書 H8-BASE2 拡張キット説明書 June 10,2007 株式会社ソリトンウェーブ 目次 本製品の付属品について...3 本製品に付属するサンプルプログラムについて...4 サンプルソースの説明...5 1.TimerATest...5 2.LcdTest...5 3.AdcTest...5 4.AdcTest2...5 5.ComTest...5 6.PS2Test...6 7.FanTest...6

More information

Microsoft Word - TC4017BP_BF_J_P10_060601_.doc

Microsoft Word - TC4017BP_BF_J_P10_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4017BP,TC4017BF TC4017BP/TC4017BF Decade Counter/Divider は ステージの D タイプ フリップフロップより成る 進ジョンソンカウンタで 出力を 進数に変換するためのデコーダを内蔵しています CLOCK あるいは CLOCK INHIBIT 入力に印加されたカウントパルスの数により Q0~Q9

More information

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること チャージポンプ内蔵 圧電用スイッチングドライバ 概要 はチャージポンプ回路を内蔵し 最大で3 入力から 18ppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更することができます また シャットダウン機能を備えており 入力信号を検出し無信号入力時には内部回路を停止することでバッテリーの長寿命化に貢献します

More information

形式 :PDU 計装用プラグイン形変換器 M UNIT シリーズ パルス分周変換器 ( レンジ可変形 ) 主な機能と特長 パルス入力信号を分周 絶縁して単位パルス出力信号に変換 センサ用電源内蔵 パルス分周比は前面のスイッチで可変 出力は均等パルス オープンコレクタ 電圧パルス リレー接点パルス出力

形式 :PDU 計装用プラグイン形変換器 M UNIT シリーズ パルス分周変換器 ( レンジ可変形 ) 主な機能と特長 パルス入力信号を分周 絶縁して単位パルス出力信号に変換 センサ用電源内蔵 パルス分周比は前面のスイッチで可変 出力は均等パルス オープンコレクタ 電圧パルス リレー接点パルス出力 計装用プラグイン形変換器 M UNIT シリーズ パルス分周変換器 ( レンジ可変形 ) 主な機能と特長 パルス入力信号を分周 絶縁して単位パルス出力信号に変換 センサ用電源内蔵 パルス分周比は前面のスイッチで可変 出力は均等パルス オープンコレクタ 電圧パルス リレー接点パルス出力を用意 密着取付可能 アプリケーション例 容積式流量計のパルス信号を単位パルスに変換 機械の回転による無接点信号を単位パルスに変換

More information

Microsoft PowerPoint - RL78G1E_スタータキットデモ手順_2012_1119修正版.pptx

Microsoft PowerPoint - RL78G1E_スタータキットデモ手順_2012_1119修正版.pptx Smart Analog Stick をはじめて動かす RL78G1E STARTER KIT を始めて使う方のために インストールから基本的な使い方を体験する部分を順番にまとめました この順番で動かせば とりあえず体験できるという内容で作成してあります 2 度目からお使いの場合には Stick ボードを USB に接続した状態で 3 から始めてください 詳細な機能説明は ユーザーズマニュアルやオンラインヘルプを参考にしてください

More information

等価回路図 絶対最大定格 (T a = 25ºC) 項目記号定格単位 入力電圧 1 V IN 15 V 入力電圧 2 V STB GND-0.3~V IN+0.3 V 出力電圧 V GND-0.3~V IN+0.3 V 出力電流 I 120 ma 許容損失 P D 200 mw 動作温度範囲 T o

等価回路図 絶対最大定格 (T a = 25ºC) 項目記号定格単位 入力電圧 1 V IN 15 V 入力電圧 2 V STB GND-0.3~V IN+0.3 V 出力電圧 V GND-0.3~V IN+0.3 V 出力電流 I 120 ma 許容損失 P D 200 mw 動作温度範囲 T o 小型スタンバイ機能付高精度正電圧レギュレータ 概要 NJU7241 シリーズは, 出力電圧精度 ±2% を実現したスタンバイ機能付の低消費電流正電圧レギュレータ IC で, 高精度基準電圧源, 誤差増幅器, 制御トランジスタ, 出力電圧設定用抵抗及び短絡保護回路等で構成されています 出力電圧は内部で固定されており, 下記バージョンがあります また, 小型パッケージに搭載され, 高出力でありながらリップル除去比が高く,

More information

スライド 1

スライド 1 電子回路研究会 24 年 月 9 日 マルチビットデルタシグマ型 タイムデジタイザ回路の FPGA 実現 測定検証 中條剛志 平林大樹 荒船拓也 佐藤幸志 2 小林春夫 : 群馬大学 2: 光サイエンス Suppored by STARC Gunma niversiy Kobayashi Lab アウトライン 研究背景 シングルビットΔΣTDC マルチビットΔΣTDC 測定 評価 まとめ 今後の課題

More information

AKI-PIC16F877A開発キット (Ver1

AKI-PIC16F877A開発キット (Ver1 STM32F101C8T6 STM32F103CxT6 マイコンキット仕様書 (Ver2012.05.11) この文書の情報は事前の通知なく変更されることがあります 本開発キットを使用したことによる 損害 損失については一切の責任を負いかねます 製造上の不良がございましたら 良品とお取替えいたします それ以外の責についてご容赦ください 変更履歴 Version Ver2012.05.08 新規 Ver2012.05.11

More information

光変調型フォト IC S , S6809, S6846, S6986, S7136/-10, S10053 外乱光下でも誤動作の少ない検出が可能なフォト IC 外乱光下の光同期検出用に開発されたフォトICです フォトICチップ内にフォトダイオード プリアンプ コンパレータ 発振回路 LE

光変調型フォト IC S , S6809, S6846, S6986, S7136/-10, S10053 外乱光下でも誤動作の少ない検出が可能なフォト IC 外乱光下の光同期検出用に開発されたフォトICです フォトICチップ内にフォトダイオード プリアンプ コンパレータ 発振回路 LE 外乱光下でも誤動作の少ない検出が可能なフォト IC 外乱光下の光同期検出用に開発されたフォトICです フォトICチップ内にフォトダイオード プリアンプ コンパレータ 発振回路 LED 駆動回路 および信号処理回路などが集積化されています 外部に赤外 LEDを接続することによって 外乱光の影響の少ない光同期検出型のフォトリフレクタやフォトインタラプタが簡単に構成できます 独自の回路設計により 外乱光許容照度が10000

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

(Microsoft Word - \216\374\224g\220\224\212g\222\243\203A\203_\203v\203^QEX.doc)

(Microsoft Word - \216\374\224g\220\224\212g\222\243\203A\203_\203v\203^QEX.doc) QEX 11 月掲載記事低価格スペアナの周波数拡張アダプタ ワンチップの GHz 帯シンセサイザ IC を応用して ローカル信号源とミキサーを一体化させた周波数拡張アダプタを試作しました RIGOL DSA815TG などの低価格スペアナで 6.5GHz までのフィルタやアンプの通過特性 スペクトルの測定を可能にします 周波数拡張アダプタの設計 製作 評価のレポートをいたします 1. ブロック図と主な仕様

More information

Microsoft Word - NJM7800_DSWJ.doc

Microsoft Word - NJM7800_DSWJ.doc 3 端子正定電圧電源 概要 シリーズは, シリーズレギュレータ回路を,I チップ上に集積した正出力 3 端子レギュレータ IC です 放熱板を付けることにより,1A 以上の出力電流にて使用可能です 外形 特徴 過電流保護回路内蔵 サーマルシャットダウン内蔵 高リップルリジェクション 高出力電流 (1.5A max.) バイポーラ構造 外形, FA 1. IN 2. GND 3. OUT DL1A 1.

More information

名称 型名 SiC ゲートドライバー SDM1810 仕様書 適用 本仕様書は SiC-MOSFET 一体取付形 2 回路ゲートドライバー SDM1810 について適用いたします 2. 概要本ドライバーは ROHM 社製 2ch 入り 180A/1200V クラス SiC-MOSFET

名称 型名 SiC ゲートドライバー SDM1810 仕様書 適用 本仕様書は SiC-MOSFET 一体取付形 2 回路ゲートドライバー SDM1810 について適用いたします 2. 概要本ドライバーは ROHM 社製 2ch 入り 180A/1200V クラス SiC-MOSFET 1 1. 適用 本は SiC-MOSFET 一体取付形 2 回路ゲートドライバー について適用いたします 2. 概要本ドライバーは ROHM 社製 2ch 入り 180A/1200V クラス SiC-MOSFET パワーモジュール BSM180D12P2C101 に直接実装できる形状で SiC-MOSFET のゲート駆動回路と DC-DC コンバータを 1 ユニット化したものです SiC-MOSFET

More information

電気的特性 (Ta=25 C) 項目 記号 Min. Typ. Max. 単位 電源電圧 Vdd V 電源電流 Ivdd ma サンプルホールド電圧 1 Vref V サンプルホールド電流 1 Iref ma サンプルホールド電

電気的特性 (Ta=25 C) 項目 記号 Min. Typ. Max. 単位 電源電圧 Vdd V 電源電流 Ivdd ma サンプルホールド電圧 1 Vref V サンプルホールド電流 1 Iref ma サンプルホールド電 1024 画素の高速ラインレート近赤外イメージセンサ (0.9~1.7 μm) 多チャンネル高速ラインレートを必要とする異物選別や医療診断装置用として設計された1024 ch 近赤外 / 高速リニアイメージセンサです 信号処理回路にはCTIA (Capacitive Transimpedance Amplifi er) を採用し サンプルホールド回路を介する事で全画素同時蓄積を行いながら 読み出しを可能にしています

More information

Microsoft PowerPoint LC_15.ppt

Microsoft PowerPoint LC_15.ppt ( 第 15 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 言語を使った設計 (2) 2.1 HDL 設計入門 2.2 FPGA ボードの設計デモ配布資料 VHDL の言語構造と基本文法 2.1 HDL 設計入門 EDAツール : メンター社製品が有名 FPGAベンダーのSW 1 1 仕様設計 にも簡易機能あり 2 3 2 HDLコード記述 3 論理シミュレーション 4 4 論理合成

More information

三菱電機マイコン機器ソフトウエア株式会社

三菱電機マイコン機器ソフトウエア株式会社 MU500-RX サンプル回路仕様書 三菱電機マイコン機器ソフトウエア株式会社 2012-5-9 1 概要 1.1 目的本仕様書は MU500-RX と MU500-RK で実現する 1 秒カウンタの仕様について記述するものである マイコンで 1 秒を生成し 表示は 7 セグメント LED を用いる また 開始 / 停止は Push-SW を使う 1.2 関連文書 MU500-RX

More information

TITAN マルチコンタクト プローブ TITAN マルチコンタクト プローブは MPI の独自の TITAN RF プロービング技術をさらに発展させた RF/ マイクロ波デバイス特性評価用プローブです 最大 15 コンタクトまでのプロービングが可能で 各コンタクトは RF ロジック バイパス電源の

TITAN マルチコンタクト プローブ TITAN マルチコンタクト プローブは MPI の独自の TITAN RF プロービング技術をさらに発展させた RF/ マイクロ波デバイス特性評価用プローブです 最大 15 コンタクトまでのプロービングが可能で 各コンタクトは RF ロジック バイパス電源の TITAN マルチコンタクト プローブ TITAN マルチコンタクト プローブは MPI の独自の TITAN RF プロービング技術をさらに発展させた RF/ マイクロ波デバイス特性評価用プローブです 最大 5 コンタクトまでのプロービングが可能で 各コンタクトは RF ロジック バイパス電源の中から選択可能です TITAN プローブのもつ優れたインピーダンス整合 電気特性 チップの視認性 長寿命をすべて兼ね備えています

More information

elm73xxxxxxa_jp.indd

elm73xxxxxxa_jp.indd 概要 ELM73xxxxxxAは 遅延機能付きの CMOS 電圧検出器 ICであり 遅延時間は外付けコンデンサで調整可能です また 非常に低い消費電流 (Tpy.26nA) で動作します ELM73xxxBxxAシリーズはマニュアルリセット機能付きタイプで いつでも手動でリセットすることができます 出力スタイルは N-chオープンドレイン出力と CMOS 出力の 2つがあります 電源電圧 ddは検出電圧以下に低下したとき

More information

PLZ-5W_ KPRI21.pdf

PLZ-5W_ KPRI21.pdf The Flagship New DC Electronic Load PLZ-5W D C E L E C T R O N I C L O A D the Flagship PLZ-5W series CC Mode / High range / 0-80A Switching Ch4 load current 20A/div Horizontal 10us/div SET[A] (100 %)

More information

基本的なノイズ発生メカニズムとその対策 電源 GND バウンス CMOS デジタル回路におけるスイッチング動作に伴い 駆動 MOS トランジスタのソース / ドレインに過渡的な充放電電流 及び貫通電流が生じます これが電源 GND に流れ込む際 配線の抵抗成分 及びインダクタンス成分によって電源電圧

基本的なノイズ発生メカニズムとその対策 電源 GND バウンス CMOS デジタル回路におけるスイッチング動作に伴い 駆動 MOS トランジスタのソース / ドレインに過渡的な充放電電流 及び貫通電流が生じます これが電源 GND に流れ込む際 配線の抵抗成分 及びインダクタンス成分によって電源電圧 デジアナ混載 IC ミックスド シグナル IC 設計の留意点 2005 年 5 月初版 2010 年 10 月改訂作成 : アナロジスト社森本浩之 まえがきデジタル アナログ混載 IC の回路本来の実力を引き出すためにはアナログ回路とデジタ ル回路の不要な干渉を抑える必要があり ノウハウを要します ですが十分な理解と注意の元で設 計を行えばさほど混載を恐れる必要もありません 用語 IP: Intellectual

More information

富士通セミコンダクター株式会社発表資料

富士通セミコンダクター株式会社発表資料 安心 安全を実現する安全を実現する FM3 マイコン 2012 年 6 月富士通セミコンダクター株式会社マイコンソリューション事業本部五十嵐稔行 Copyright 2010 FUJITSU LIMITED 目次 FM3 ロードマップ 安心 安全への取り組み安全への取り組み 1 Copyright 2010 FUJITSU LIMITED CPUロードマップとITRON系RTOS製品 T-Kernel/μT-Kernel

More information

形式 :RPPD 計装用プラグイン形変換器 M UNIT シリーズ パルスアイソレータ ( センサ用電源付 ロータリエンコーダ用 ) 主な機能と特長 ロータリエンコーダの 2 相パルス入力信号を絶縁して各種の 2 相パルス出力信号に変換 オープンコレクタ 電圧パルス パワーフォト MOS リレー R

形式 :RPPD 計装用プラグイン形変換器 M UNIT シリーズ パルスアイソレータ ( センサ用電源付 ロータリエンコーダ用 ) 主な機能と特長 ロータリエンコーダの 2 相パルス入力信号を絶縁して各種の 2 相パルス出力信号に変換 オープンコレクタ 電圧パルス パワーフォト MOS リレー R 計装用プラグイン形変換器 M UNIT シリーズ パルスアイソレータ ( センサ用電源付 ロータリエンコーダ用 ) 主な機能と特長 ロータリエンコーダの 2 相パルス入力信号を絶縁して各種の 2 相パルス出力信号に変換 オープンコレクタ 電圧パルス パワーフォト MOS リレー RS-422 ラインドライバ パルス出力を用意 入出力仕様の異なる 2 系統のパルスアイソレータとしても使用可能 RS-422

More information

スライド 1

スライド 1 パワーエレクトロニクス工学論 10. 各種シングル インダクタデュアル アウトプット (SIDO) 電源 10-1 降圧形 昇圧形 SIDO 電源 10-2 リプル制御 SIDO 電源 10-3 ZVS-PWM 制御 SIDO 電源 10-4 ソフトスイッチングSIDO 電源 SIDO: Single Inductor Dual Output H28 群馬大学大学院講義パワーエレクトロニクス工学論

More information

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10 端子正定電圧電源 概要 は Io=mA の 端子正定電圧電源です 既存の NJM78L と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および.V の出力電圧もラインアップしました 外形図 特長 出力電流 ma max. 出力電圧精度 V O ±.% 高リップルリジェクション セラミックコンデンサ対応 過電流保護機能内蔵 サーマルシャットダウン回路内蔵 電圧ランク V,.V,

More information

PLD-XC2S-A

PLD-XC2S-A PC104 シリーズ FPGA ボード [ PC/104 ] ( XC2S150 [XILINX] 搭載 ) PLD-XC2S-A 取扱説明書 梱包内容について 本製品は 下記の部品で構成されています 万が一 不足していた場合には すぐにお買い求めの販売店に御連絡ください ボード本体 1 枚 スペーサ (16mm オスメスネジ 金属) 4 個 ビス 4 個 ナット 4 個尚 環境保全 ペーパーレス推進のため

More information

PIC の書き込み解説 PICライターを使うときに間違った使い方を見受ける 書き込み失敗の原因は知識不足にある やってはいけないことをしている 単に失敗だけならまだしも部品を壊してしまう 正しい知識を身に着けよう 書き込みに必要なピンと意味 ICSPを意識した回路設計の必要性 ICSP:In Cir

PIC の書き込み解説 PICライターを使うときに間違った使い方を見受ける 書き込み失敗の原因は知識不足にある やってはいけないことをしている 単に失敗だけならまだしも部品を壊してしまう 正しい知識を身に着けよう 書き込みに必要なピンと意味 ICSPを意識した回路設計の必要性 ICSP:In Cir PIC の書き込み解説 PICライターを使うときに間違った使い方を見受ける 書き込み失敗の原因は知識不足にある やってはいけないことをしている 単に失敗だけならまだしも部品を壊してしまう 正しい知識を身に着けよう 書き込みに必要なピンと意味 ICSPを意識した回路設計の必要性 ICSP:In Circuit Serial Programmming 原則論を解説 PIC の種類によって多少異なる 1

More information

NJM2591 音声通信用ミキサ付き 100MHz 入力 450kHzFM IF 検波 IC 概要 外形 NJM259 1は 1.8 V~9.0 Vで動作する低消費電流タイプの音声通信機器用 FM IF 検波 IC で IF 周波数を 450kHz ( 標準 ) としています 発振器 ミキサ IF

NJM2591 音声通信用ミキサ付き 100MHz 入力 450kHzFM IF 検波 IC 概要 外形 NJM259 1は 1.8 V~9.0 Vで動作する低消費電流タイプの音声通信機器用 FM IF 検波 IC で IF 周波数を 450kHz ( 標準 ) としています 発振器 ミキサ IF 音声通信用ミキサ付き MHz 入力 45kHzFM IF 検波 IC 概要 外形 NJM59 は.8 V~9. Vで動作する低消費電流タイプの音声通信機器用 FM IF 検波 IC で IF 周波数を 45kHz ( 標準 ) としています 発振器 ミキサ IF リミッタアンプ クワドラチャ検波 フィルタアンプに加えノイズ検波回路とノイズコンパレータを内蔵しています V 特徴 低電圧動作.8V~9.V

More information

S-89210/89220シリーズ コンパレータ

S-89210/89220シリーズ コンパレータ S-89210/89220 シリーズ www.ablic.com www.ablicinc.com ミニアナログシリーズ CMOS コンパレータ ABLIC Inc., 2002-2010 ミニアナログシリーズは汎用アナログ回路を小型パッケージに搭載した IC です S-89210/89220 シリーズは CMOS 型コンパレータで 低電圧駆動 低消費電流の特長を持つため 電池駆動の小型携帯機器への応用に最適です

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

絶対最大定格 (T a =25 ) 項目記号定格単位 入力電圧 V IN 消費電力 P D (7805~7810) 35 (7812~7815) 35 (7818~7824) 40 TO-220F 16(T C 70 ) TO (T C 25 ) 1(Ta=25 ) V W 接合部温度

絶対最大定格 (T a =25 ) 項目記号定格単位 入力電圧 V IN 消費電力 P D (7805~7810) 35 (7812~7815) 35 (7818~7824) 40 TO-220F 16(T C 70 ) TO (T C 25 ) 1(Ta=25 ) V W 接合部温度 3 端子正定電圧電源 概要 NJM7800 シリーズは, シリーズレギュレータ回路を,I チップ上に集積した正出力 3 端子レギュレータ ICです 放熱板を付けることにより,1A 以上の出力電流にて使用可能です 外形 特徴 過電流保護回路内蔵 サーマルシャットダウン内蔵 高リップルリジェクション 高出力電流 (1.5A max.) バイポーラ構造 外形 TO-220F, TO-252 NJM7800FA

More information

フロントエンド IC 付光センサ S CR S CR 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています

フロントエンド IC 付光センサ S CR S CR 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています なお 本製品の評価キットを用意しています 詳細については 当社 営業までお問い合わせください 特長 高速応答 増倍率 2 段階切替機能 (Low ゲイン : シングル出力, High

More information

Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M

Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル 413180100 19.4 システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M21/M22/M23/M24/M25 テクニカルマニュアル 413556900 21.4 システムリセットコントローラ

More information

KEIm-08SoMハードウェアマニュアル

KEIm-08SoMハードウェアマニュアル KEIm-08SoM ハードウェアマニュアル Ver.1.1.2 はじめにこの度は KEIm 製品をお買い上げいただき誠にありがとうございます 本製品をご使用になる前に 本マニュアル及び関連資料を十分ご確認いただき 使用上の注意を守って正しくご使用ください 取扱い上の注意 本書に記載されている内容は 将来予告なく変更されることがあります 本製品のご使用にあたっては 弊社窓口又は弊社ホームページなどで最新の情報をご確認ください

More information

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4011BP,TC4011BF,TC4011BFT TC4011BP/TC4011BF/TC4011BFT Quad 2 Input NAND Gate は 2 入力の正論理 NAND ゲートです これらのゲートの出力は すべてインバータによるバッファが付加されているため 入出力特性が改善され 負荷容量の増加による伝達時間の変動が最小限に抑えられます

More information

JTAGプローブ技術資料SH編 Rev.16

JTAGプローブ技術資料SH編 Rev.16 SuperH RISC engine ファミリ編 2015. 3:Rev16 www.bitran.co.jp ご注意 1 本書及びプログラムの内容の一部または 全部を無断で転載することは プログラムのバックアップの場合を除き 禁止されています 2 本書及びプログラムの内容に関しては 将来予告なしに変更することがあります 3 当社の許可無く複製 改変などを行う事は出来ません 4 本書及びプログラムの内容について万全を期して作成いたしましたが

More information

推奨条件 / 絶対最大定格 ( 指定のない場合は Ta=25 C) 消費電流絶対最大定格電源電圧 Icc 容量性負荷出力抵抗型名 Vcc Max. CL 電源電圧動作温度保存温度 Zo (V) 暗状態 Min. Vcc max Topr* 2 Tstg* 2 Min. Max. (ma) (pf)

推奨条件 / 絶対最大定格 ( 指定のない場合は Ta=25 C) 消費電流絶対最大定格電源電圧 Icc 容量性負荷出力抵抗型名 Vcc Max. CL 電源電圧動作温度保存温度 Zo (V) 暗状態 Min. Vcc max Topr* 2 Tstg* 2 Min. Max. (ma) (pf) 精密測光用フォトダイオードと低ノイズアンプを一体化 フォトダイオードモジュール は フォトダイオードと I/V アンプを一体化した高精度な光検出器です アナログ電圧出力のため 電圧計などで簡単に信号を観測することができます また本製品には High/Low 2 レンジ切り替え機能が付いています 検出する光量に応じて適切なレンジ選択を行うことで 高精度な出力を得ることができます 特長 用途 電圧出力のため取り扱いが簡単

More information

スライド 1

スライド 1 パワーエレクトロニクス工学論 10. 各種シングル インダクタデュアル アウトプット (SIDO) 電源 10-1 降圧形 昇圧形 SIDO 電源 10-2 リプル制御 SIDO 電源 10-3 ZVS-PWM 制御 SIDO 電源 10-4 ソフトスイッチングSIDO 電源 SIDO: Single Inductor Dual Output 10-1 10.1 降圧形 昇圧形 SIDO 電源 (1)

More information

Microsoft Word - TC4538BP_BF_J_2002_040917_.doc

Microsoft Word - TC4538BP_BF_J_2002_040917_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC438BP,TC438BF TC438BP/TC438BF Dual Precision Retriggerable/Resettable Monostable Multivibrator は リトリガ動作 リセット動作の可能な単安定マルチバイブレータでトリガは A B 2 つの入力により立ち上がり および立ち下がりのどちらでも行うこともできます

More information

Layout 1

Layout 1 Industrial communication センサーのデータにアクセスする ifm の IO-Link Digital connection technology for sensors とは? 今日のセンサーはシンプルな ON/OFF のセンサーから 大量のデータを処理するマイクロプロセッサーを搭載した高性能なデバイスまで進化してきました センサー内のデータにアクセスする IO-Link は以下の特徴があるインターフェースです

More information

スライド 1

スライド 1 平成 22 年 3 月電子回路研究会 ECT-10-046 開ループアンプを用いた パイプライン ADC の Split ADC 構成による バックグラウンド自己校正法 八木拓哉上森聡丹陽平伊藤聡志 ( 群馬大学 ) 松浦達治臼井邦彦 ( ルネサステクノロジ ) 小林春夫 ( 群馬大学 ) アウトライン 2 研究背景と目的 パイプライン AD 変換器のバックグラウンド自己校正法の提案 3 次の非線形性の補正方法

More information

周波数特性解析

周波数特性解析 周波数特性解析 株式会社スマートエナジー研究所 Version 1.0.0, 2018-08-03 目次 1. アナログ / デジタルの周波数特性解析................................... 1 2. 一巡周波数特性 ( 電圧フィードバック )................................... 4 2.1. 部分周波数特性解析..........................................

More information

Microsoft Word - TA79L05_06_08_09_10_12_15_18_20_24F_J_P11_070219_.doc

Microsoft Word - TA79L05_06_08_09_10_12_15_18_20_24F_J_P11_070219_.doc 東芝バイポーラ形リニア集積回路シリコンモノリシック TA79L05F,TA79L06F,TA79L08F,TA79L09F,TA79L10F, TA79L12F,TA79L15F,TA79L18F,TA79L20F,TA79L24F 5, 6, 8, 9, 10, 12, 15, 18, 20, 24 三端子負出力固定定電圧電源 特長 TTL C 2 MOS の電源に最適です 外付け部品は不要です

More information

PCI マルチファンクションデータ収集カードおよび 6U CompactPCI 高速デジタイザのシステム構成例 PCI バスを使用してデータ収集 PCI バスを持った PC + Acqiris 社高速デジタイザまたは Advantech 社マルチファンクションデータ収集カード PCIバスを拡張してデ

PCI マルチファンクションデータ収集カードおよび 6U CompactPCI 高速デジタイザのシステム構成例 PCI バスを使用してデータ収集 PCI バスを持った PC + Acqiris 社高速デジタイザまたは Advantech 社マルチファンクションデータ収集カード PCIバスを拡張してデ PCI マルチファンクションデータ収集カードおよび 6U CompactPCI 高速デジタイザのシステム構成例 PCI バスを使用してデータ収集 PCI バスを持った PC + Acqiris 社高速デジタイザまたは Advantech 社マルチファンクションデータ収集カード PCIバスを拡張してデータ収集インタフェース社 PCI-CompactPCI バスブリッジインタフェース PCIバスを持った

More information

内容 1. APX-3302 の特長 APX-3312 から APX-3302 へ変更するためには 差分詳細 ハードウェア ハードウェア性能および仕様 ソフトウェア仕様および制限 Ini ファイルの設

内容 1. APX-3302 の特長 APX-3312 から APX-3302 へ変更するためには 差分詳細 ハードウェア ハードウェア性能および仕様 ソフトウェア仕様および制限 Ini ファイルの設 APX-3312 と APX-3302 の差分一覧 No. OM12021D APX-3312 と APX-3302 は どちらも同じ CameraLink 規格 Base Configuration カメラ 2ch 入力可能なボードになります 本書では APX-3312 をご利用になられているお客様が APX-3302 をご利用になられる場合の資料として 両ボードについての差異 を記述しております

More information

NJM78L00 3 端子正定電圧電源 概要高利得誤差増幅器, 温度補償回路, 定電圧ダイオードなどにより構成され, さらに内部に電流制限回路, 熱暴走に対する保護回路を有する, 高性能安定化電源用素子で, ツェナーダイオード / 抵抗の組合せ回路に比べ出力インピーダンスが改良され, 無効電流が小さ

NJM78L00 3 端子正定電圧電源 概要高利得誤差増幅器, 温度補償回路, 定電圧ダイオードなどにより構成され, さらに内部に電流制限回路, 熱暴走に対する保護回路を有する, 高性能安定化電源用素子で, ツェナーダイオード / 抵抗の組合せ回路に比べ出力インピーダンスが改良され, 無効電流が小さ 3 端子正定電圧電源 概要高利得誤差増幅器, 温度補償回路, 定電圧ダイオードなどにより構成され, さらに内部に電流制限回路, 熱暴走に対する保護回路を有する, 高性能安定化電源用素子で, ツェナーダイオード / 抵抗の組合せ回路に比べ出力インピーダンスが改良され, 無効電流が小さくなり, さらに雑音特性も改良されています 外形 UA EA (5V,9V,12V のみ ) 特徴 過電流保護回路内蔵

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介安全機能 ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ 安全機能の概要 フラッシュ メモリ CRC 演算機能 RAM パリティ エラー検出機能 データの保護機能 RAM ガード機能 SFR ガード機能 不正メモリ アクセス機能 周辺機能を使用した安全機能 周波数検出機能 A/D

More information

アクティブフィルタ テスト容易化設計

アクティブフィルタ テスト容易化設計 発振を利用したアナログフィルタの テスト 調整 群馬大学工学部電気電子工学科高橋洋介林海軍小林春夫小室貴紀高井伸和 発表内容. 研究背景と目的. 提案回路 3. 題材に利用したアクティブフィルタ 4. 提案する発振によるテスト方法 AG( 自動利得制御 ) バンドパス出力の帰還による発振 3ローパス出力の帰還による発振 4ハイパス出力の帰還による発振. 結果 6. まとめ 発表内容. 研究背景と目的.

More information

SimscapeプラントモデルのFPGAアクセラレーション

SimscapeプラントモデルのFPGAアクセラレーション Simscape TM プラントモデルの FPGA アクセラレーション MathWorks Japan アプリケーションエンジニアリング部 松本充史 2018 The MathWorks, Inc. 1 アジェンダ ユーザ事例 HILS とは? Simscape の電気系ライブラリ Simscape モデルを FPGA 実装する 2 つのアプローチ Simscape HDL Workflow Advisor

More information

レベルシフト回路の作成

レベルシフト回路の作成 レベルシフト回路の解析 群馬大学工学部電気電子工学科通信処理システム工学第二研究室 96305033 黒岩伸幸 指導教官小林春夫助教授 1 ー発表内容ー 1. 研究の目的 2. レベルシフト回路の原理 3. レベルシフト回路の動作条件 4. レベルシフト回路のダイナミクスの解析 5. まとめ 2 1. 研究の目的 3 研究の目的 信号レベルを変換するレベルシフト回路の設計法を確立する このために 次の事を行う

More information

機器仕様構造 : プラグイン構造接続方式 入出力信号 供給電源 :M3.5 ねじ端子接続 ( 締付トルク 0.8N m) NestBus RUN 接点出力 : コネクタ形ユーロ端子台 ( 適用電線サイズ :0.2~2.5mm 2 剥離長 7mm) 端子ねじ材質 : 鉄にクロメート処理ハウジング材質

機器仕様構造 : プラグイン構造接続方式 入出力信号 供給電源 :M3.5 ねじ端子接続 ( 締付トルク 0.8N m) NestBus RUN 接点出力 : コネクタ形ユーロ端子台 ( 適用電線サイズ :0.2~2.5mm 2 剥離長 7mm) 端子ねじ材質 : 鉄にクロメート処理ハウジング材質 形式 :SML スーパー M UNIT シリーズ リモート入出力ユニット (NestBus 用 ) 主な機能と特長 NestBus 接続用のリモート入出力ユニット 分散設置 増設が簡単なオールインワン構造 伝送路はより対線 伝送端子は脱着可能なコネクタ式を採用 自己診断機能内蔵 接点入出力ユニットは入出力状態表示ランプ付 SML-R2 以外 SML-R2 R3:Ai4 点 +Ao4 点 150,000

More information

フォトダイオードモジュール C10439 シリーズ 精密測光用フォトダイオードと低ノイズアンプを一体化 フォトダイオードモジュール C10439 シリーズは フォトダイオードと I/V アンプを一体化した高精度な光検出器です アナログ電圧出力のため 電圧計などで簡単に信号を観測することができます ま

フォトダイオードモジュール C10439 シリーズ 精密測光用フォトダイオードと低ノイズアンプを一体化 フォトダイオードモジュール C10439 シリーズは フォトダイオードと I/V アンプを一体化した高精度な光検出器です アナログ電圧出力のため 電圧計などで簡単に信号を観測することができます ま 精密測光用フォトダイオードと低ノイズアンプを一体化 は フォトダイオードと I/V アンプを一体化した高精度な光検出器です アナログ電圧出力のため 電圧計などで簡単に信号を観測することができます また本製品には / 2 レンジ切り替え機能が付いています 検出する光量に応じて適切なレンジ選択を行うことで 高精度な出力を得ることができます 特長 電圧出力のため取り扱いが簡単 / 2レンジ切り替え機能付き小型

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 3.2 スイッチングの方法 1 電源の回路図表記 電源ラインの記号 GND ラインの記号 シミュレーションしない場合は 省略してよい ポイント : 実際には V CC と GND 配線が必要だが 線を描かないですっきりした表記にする 複数の電源電圧を使用する回路もあるので 電源ラインには V CC などのラベルを付ける 2 LED のスイッチング回路 LED の明るさを MCU( マイコン ) で制御する回路

More information

USER'S GUIDE

USER'S GUIDE スイッチングレギュレータシリーズ 絶縁型フライバック DC/DC コンバータ BD7F100EFJLB 評価ボード (24V ±15V, 0.165A) 評価ボードは 絶縁型フライバック DC/DC コンバータ IC の BD7F100EFJLB を使用して 24V の入力から 15V,15V の 2 種類の電圧を出力します 出力電流は最大 0.165A を供給します 性能仕様 これは代表値であり

More information

UMB-CP2114 User's Manual

UMB-CP2114 User's Manual UMB-CP2114 ユーザーズマニュアル 第 1 版 金子システム株式会社 1 ご注意 1. 本資料に記載されている内容は本資料発行時点のものであり 予告なく変更することがあります 当社製品のご購入およびご使用にあたりましては 当社ホームページを通じて公開される情報を参照ください 2. 当社から提供する情報の正確性と信頼性には万全を尽くしていますが 誤りがないことを保証するものではありません 当社はその使用に対する責任を一切負いません

More information

正転時とは反対に回転する これが逆転である 図 2(d) の様に 4 つのスイッチ全てが OFF の場合 DC モータには電流が流れず 停止する ただし 元々 DC モータが回転していた場合は 惰性でしばらく回転を続ける 図 2(e) の様に SW2 と SW4 を ON SW1 と SW3 を O

正転時とは反対に回転する これが逆転である 図 2(d) の様に 4 つのスイッチ全てが OFF の場合 DC モータには電流が流れず 停止する ただし 元々 DC モータが回転していた場合は 惰性でしばらく回転を続ける 図 2(e) の様に SW2 と SW4 を ON SW1 と SW3 を O コンピュータ工学講義プリント (1 月 29 日 ) 今回は TA7257P というモータ制御 IC を使って DC モータを制御する方法について学ぶ DC モータの仕組み DC モータは直流の電源を接続すると回転するモータである 回転数やトルク ( 回転させる力 ) は 電源電圧で調整でき 電源の極性を入れ替えると 逆回転するなどの特徴がある 図 1 に DC モータの仕組みを示す DC モータは

More information

NJM78M00 3 端子正定電圧電源 概要 NJM78M00 シリーズは,NJM78L00 シリーズを更に高性能化した安定化電源用 ICです 出力電流が 500mA と大きいので, 余裕ある回路設計が可能になります 用途はテレビ, ステレオ, 等の民生用機器から通信機, 測定器等の工業用電子機器迄

NJM78M00 3 端子正定電圧電源 概要 NJM78M00 シリーズは,NJM78L00 シリーズを更に高性能化した安定化電源用 ICです 出力電流が 500mA と大きいので, 余裕ある回路設計が可能になります 用途はテレビ, ステレオ, 等の民生用機器から通信機, 測定器等の工業用電子機器迄 3 端子正定電圧電源 概要 シリーズは,NJM78L00 シリーズを更に高性能化した安定化電源用 ICです 出力電流が 500mA と大きいので, 余裕ある回路設計が可能になります 用途はテレビ, ステレオ, 等の民生用機器から通信機, 測定器等の工業用電子機器迄広くご利用頂けます 外形 特徴 過電流保護回路内蔵 サーマルシャットダウン内蔵 高リップルリジェクション 高出力電流 (500mA max.)

More information

ハード・ソフト協調検証サービス

ハード・ソフト協調検証サービス ハード ソフトのトータルサービス 富士通エレクトロニクス株式会社株式会社富士通ソフトウェアテクノロジーズ 目次 モデル概要 モデル 特徴 このサービス利用のメリット サービスメニュー 1 企画から開発 量産までトータルでサポート 富士通エレクトロニクスと富士通ソフトウェアテクノロジーズはお客様の製品開発を 企画段階から開発 量産までサポートします 製品開発をサポートする検証 認定作業のご提供 製品要求仕様の作成をコンサルティング

More information

PRONETA

PRONETA PRONETA 操作概要 PROFINET IO デバイスの無償診断ツール シーメンス株式会社デジタルファクトリー事業本部ファクトリーオートメーション部 2015 年 12 月 22 日 目次 ここで紹介している操作は PRONETA バージョン 2.2 を基にしています PRONETA 概要 3 動作環境と起動方法 4 ホーム画面 5 ネットワーク解析画面 6 IOチェック画面 9 設定画面 13

More information

JTAGプローブ技術資料 RX編Rev.7

JTAGプローブ技術資料 RX編Rev.7 RX ファミリ編 2018. 4:Rev.7 ビットラン株式会社 www.bitran.co.jp ご注意 1. 本書及びプログラムの内容の一部または 全部を無断で転載することは プログラムのバックアップの場合を除き 禁止されています 2. 本書及びプログラムの内容に関しては 将来予告なしに変更することがあります 3. 当社の許可無く複製 改変などを行う事は出来ません 4. 本書及びプログラムの内容について万全を期して作成いたしましたが

More information

Microsoft Word - AK8133_MS0930_J_05.doc

Microsoft Word - AK8133_MS0930_J_05.doc AK8133 Multi Clock Generator for Audio AK8133 は 高性能オーディオ用 PLL クロックジェネレータ IC です 27MHz 水晶振動子または外部からの 27MHz 入力から複数のオーディオ用クロックを同時に生成します 出力周波数は端子設定により選択できますので各種オーディオシステムに適用することができます AK8133 は出力周波数近傍のスプリアスを大幅に軽減していますので水晶発振器を用いた場合と同等の

More information

S1F77330 シリーズテクニカルマニュアル Rev.2.1

S1F77330 シリーズテクニカルマニュアル Rev.2.1 シリーズテクニカルマニュアル Rev.2.1 本資料のご使用につきましては 次の点にご留意願います 本資料の内容については 予告無く変更することがあります 1. 本資料の一部 または全部を弊社に無断で転載 または 複製など他の目的に使用することは堅くお断りいたします 2. 本資料に掲載される応用回路 プログラム 使用方法等はあくまでも参考情報であり これらに起因する第三者の知的財産権およびその他の権利侵害あるいは損害の発生に対し

More information

Microsoft Word - 02__⁄T_ŒÚ”�.doc

Microsoft Word - 02__⁄T_ŒÚ”�.doc 目 次 はじめに 目次 1. 目的 1 2. 適用範囲 1 3. 参照文書 1 4. 定義 2 5. 略語 6 6. 構成 7 7. 共通事項 8 7.1 適用範囲 8 7.2 送信ネットワーク 8 7.2.1 送信ネットワークの分類 8 7.2.2 送信ネットワークの定義 10 7.3 取り扱う主な信号の形式 12 7.3.1 放送 TS 信号形式 12 7.3.2 OFDM 信号形式 14 7.4

More information

形式 :TMS テレメータ テレメータ変換器 (300bps 専用回線用 ) 主な機能と特長 アナログ 1 点または 2 点 接点 2 点を送受信するテレメータ変換器 帯域品目 3.4kHz 300bps アプリケーション例 小規模テレメータシステム 符号品目 50bps 用テレメータ ( 形式 :

形式 :TMS テレメータ テレメータ変換器 (300bps 専用回線用 ) 主な機能と特長 アナログ 1 点または 2 点 接点 2 点を送受信するテレメータ変換器 帯域品目 3.4kHz 300bps アプリケーション例 小規模テレメータシステム 符号品目 50bps 用テレメータ ( 形式 : テレメータ テレメータ変換器 (300bps 専用回線用 ) 主な機能と特長 アナログ 1 点または 2 点 接点 2 点を送受信するテレメータ変換器 帯域品目 3.4kHz 300bps アプリケーション例 小規模テレメータシステム 符号品目 50bps 用テレメータ ( 形式 :TMA TMT/TMR) の更新用 1 入出力の種類 E1:Di2 点 +Do2 点 ( リレー ) E2:Di2 点

More information

Arduinoで計る,測る,量る

Arduinoで計る,測る,量る [ 第 4 章 ] 決められた入出力ポートだが逆に使いやすいアナログ入出力もスケッチが用意されていて使い方は簡単 本章では,Arduino のアナログ入力として, センサからの出力の代わりにボリュームを用いて, 0V から電源電圧まで変化する電圧を読み取り, この変化した電圧に対応した出力を LED に加えてアナログ入出力のテストを行います. 続いて, アナログ入力の具体例として温度の測定を行います.

More information

Microsoft PowerPoint - EMPro_ADS_co_design_draft.ppt [互換モード]

Microsoft PowerPoint - EMPro_ADS_co_design_draft.ppt [互換モード] 3 次元電磁界シミュレータ (EMPro) と 回路シミュレータ (ADS) との効率的な協調解析事例のご紹介 Page 1 EMPro 2010 3 次元電磁界解析専用プラットフォーム 3 次元形状入力に特化した操作性 Windows & Linux 対応 多くの 3D CAD フォーマットの Import をサポート Fastest, t Highest Capacity 3 次元フルウェーブ電磁界シミュレーション

More information

Microsoft PowerPoint - 4.CMOSLogic.ppt

Microsoft PowerPoint - 4.CMOSLogic.ppt 第 4 章 CMOS 論理回路 (1) CMOS インバータ 2008/11/18 広島大学岩田穆 1 抵抗負荷のインバータ V dd ( 正電源 ) R: 負荷抵抗 In Vin Out Vout n-mos 駆動トランジスタ グランド 2008/11/18 広島大学岩田穆 2 抵抗負荷のインバータ V gs I d Vds n-mos 駆動トランジスタ ドレイン電流 I d (n-mos) n-mosの特性

More information

Taro-DSノート

Taro-DSノート 3.A/D,D/A 変換 振幅が連続しており, 時間軸方向にも切れ目がない信号をアナログ信号と呼ぶ. これに対して, 振幅が飛び飛びであり, 飛び飛びの時刻にのみ存在し, または からなる数値列で表した信号をディジタル信号と呼ぶ. アナログ信号をディジタル信号に変換する回路が A/D 変換器 (A-D 変換器,ADC) であり, その逆の操作を行う回路が D/A 変換器 (D-A 変換,DAC) である.

More information

8051 개발보드 메뉴얼

8051 개발보드 메뉴얼 ㄴㄴㄴ標準 U-STYLE ボード (Model:DM-USTYLE V1.0 ) マニュアル 改訂日 : 2015 年 11 月 24 日 1. Arduino At Heartプロトタイプ標準 U STYLEボード (DM-USTYLE V1.0) のご紹介 アドゥイノウノブートローダが書き込んだATMEGA328P-PUを使用 Arduino At Heart( ) プロトタイプのボードの互換コネクタと

More information

Technical Article

Technical Article 電流信号を作り出す回路 ( 前編 ) 著者 : 藤森 弘巳 電子回路システムでは アナログ デジタル問わず 電圧 で信号を表現するケースが多いでしょう しかし信号を表すには 電流 を用いても全く同じことができるはずです 電流信号は電圧信号に比べて配線抵抗の影響を受けにくいという特長があります 本稿では 電流信号を作り出す回路 ( 前編 ) と題して OP アンプとトランジスタを利用した定電流回路 トランジスタのダーリントン接続

More information

USER'S GUIDE

USER'S GUIDE スイッチングレギュレータシリーズ 絶縁型フライバック DC/DC コンバータ BD7F200EFJLB 評価ボード (24V 15V, 0.15A 4ch) 評価ボードは 絶縁型フライバック DC/DC コンバータ IC の BD7F200EFJLB を使用して 24V の入力から 15V の 電圧 4ch を出力します 出力電流は最大 0.15A を供給します 性能仕様 これは代表値であり 特性を保証するものではありません

More information

Microsoft Word - TC74HCT245AP_AF_J_P8_060201_.doc

Microsoft Word - TC74HCT245AP_AF_J_P8_060201_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HCT245AP,TC74HCT245AF Octal Bus Transceiver TC74HCT245A は シリコンゲート CMOS 技術を用いた高速 CMOS 8 回路入り双方向性バスバッファです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます 入力は TTL レべルですので TTL レベルのバスに直結可能です

More information

elm1117hh_jp.indd

elm1117hh_jp.indd 概要 ELM7HH は低ドロップアウト正電圧 (LDO) レギュレータで 固定出力電圧型 (ELM7HH-xx) と可変出力型 (ELM7HH) があります この IC は 過電流保護回路とサーマルシャットダウンを内蔵し 負荷電流が.0A 時のドロップアウト電圧は.V です 出力電圧は固定出力電圧型が.V.8V.5V.V 可変出力電圧型が.5V ~ 4.6V となります 特長 出力電圧 ( 固定 )

More information

Armadillo-800 EVAリビジョン情報

Armadillo-800 EVAリビジョン情報 Armadillo-800 EVA リビジョン情報 A8000-D00Z Version 1.2.0 2012/07/30 株式会社アットマークテクノ [http://www.atmark-techno.com] Armadillo サイト [http://armadillo.atmark-techno.com] 株式会社アットマークテクノ 060-0035 札幌市中央区北 5 条東 2 丁目 AFT

More information

Microsoft Word - TC74HC245_640AP_AF_P8_060201_.doc

Microsoft Word - TC74HC245_640AP_AF_P8_060201_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC245AP,TC74HC245AF,TC74HC640AP,TC74HC640AF Octal Bus Traceiver TC74HC245AP/AF 3-State, Non-Inverting TC74HC640AP/AF 3-State, Inverting TC74HC245AP/640AP TC74HC245A/640A

More information

スライド 1

スライド 1 アナログ検定 2014 1 アナログ検定 2014 出題意図 電子回路のアナログ的な振る舞いを原理原則に立ち返って解明できる能力 部品の特性や限界を踏まえた上で部品の性能を最大限に引き出せる能力 記憶した知識や計算でない アナログ技術を使いこなすための基本的な知識 知見 ( ナレッジ ) を問う問題 ボーデ線図などからシステムの特性を理解し 特性改善を行うための基本的な知識を問う問題 CAD や回路シミュレーションツールの限界を知った上で

More information

LOS Detection Comparison in Optical Receiver

LOS Detection Comparison in Optical Receiver Design Note: HFDN-34.0 Rev. 1; 04/08 MAX3991 を使用した 10Gbps 光レシーバでの正確なロスオブシグナル (LOS) 検出 AAILABLE MAX3991 を使用した 10Gbps 光レシーバでの正確なロスオブシグナル (LOS) 検出 1 はじめに ロスオブシグナル (LOS) のモニタは 10Gbps XFP 光モジュールでシステムのディジタル診断を行う場合に必要となります

More information

(3) E-I 特性の傾きが出力コンダクタンス である 添え字 は utput( 出力 ) を意味する (4) E-BE 特性の傾きが電圧帰還率 r である 添え字 r は rrs( 逆 ) を表す 定数の値は, トランジスタの種類によって異なるばかりでなく, 同一のトランジスタでも,I, E, 周

(3) E-I 特性の傾きが出力コンダクタンス である 添え字 は utput( 出力 ) を意味する (4) E-BE 特性の傾きが電圧帰還率 r である 添え字 r は rrs( 逆 ) を表す 定数の値は, トランジスタの種類によって異なるばかりでなく, 同一のトランジスタでも,I, E, 周 トランジスタ増幅回路設計入門 pyrgt y Km Ksaka 005..06. 等価回路についてトランジスタの動作は図 のように非線形なので, その動作を簡単な数式で表すことができない しかし, アナログ信号を扱う回路では, 特性グラフのの直線部分に動作点を置くので線形のパラメータにより, その動作を簡単な数式 ( 一次式 ) で表すことができる 図. パラメータトランジスタの各静特性の直線部分の傾きを数値として特性を表したものが

More information

RMS(Root Mean Square value 実効値 ) 実効値は AC の電圧と電流両方の値を規定する 最も一般的で便利な値です AC 波形の実効値はその波形から得られる パワーのレベルを示すものであり AC 信号の最も重要な属性となります 実効値の計算は AC の電流波形と それによって

RMS(Root Mean Square value 実効値 ) 実効値は AC の電圧と電流両方の値を規定する 最も一般的で便利な値です AC 波形の実効値はその波形から得られる パワーのレベルを示すものであり AC 信号の最も重要な属性となります 実効値の計算は AC の電流波形と それによって 入門書 最近の数多くの AC 電源アプリケーションに伴う複雑な電流 / 電圧波形のため さまざまな測定上の課題が発生しています このような問題に対処する場合 基本的な測定 使用される用語 それらの関係について理解することが重要になります このアプリケーションノートではパワー測定の基本的な考え方やパワー測定において重要な 以下の用語の明確に定義します RMS(Root Mean Square value

More information