Quartus II クイック・スタート・ガイド

Similar documents
Quartus II クイック・スタートガイド

アルテラ USB-Blastre ドライバのインストール方法 for Windows OS

Quartus II はじめてガイド - プロジェクトの作成方法

ModelSim-Altera - RTL シミュレーションの方法

Quartus II Web Edition インストール・ガイド

Nios II Flash Programmer ユーザ・ガイド

Quartus II はじめてガイド - デバイス・プログラミング方法

複数の Nios II を構成する際の注意事項

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法

オンチップ・メモリ クイック・ガイド for Cyclone III

Microsoft Word - ALT0982_program_epcs_by_niosii_v10.doc

Nios II 簡易シミュレーション

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II - デバイスの未使用ピンの状態とその処理

Quartus Prime はじめてガイド - デバイス・プログラミングの方法

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

ModelSim-Altera Edition インストール & ライセンスセットアップ Linux ver.11

Quartus II はじめてガイド - Convert Programming File の使い方

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

Quartus II はじめてガイド - EDA ツールの設定方法

Quartus II はじめてガイド - EDA ツールの設定方法

PCI-Express ハード IP を使用した DMA の実現 for Cyclone V GT FPGA 開発キット(ソフトウェア編)

Nios II SBT Flash Programmer ユーザ・ガイド

Quartus II - Chip Planner クイック・ガイド

ModelSim - アルテラ・シミュレーション・ライブラリ作成および登録方法

Quartus II はじめてガイド - ピン・アサインの方法

Nios II カスタム・インストラクションによるキャスト(型変換)の高速化

Quartus II はじめてガイド - Device & Pin Options 設定方法

PLL クイック・ガイド for Cyclone III

Nios II 簡易チュートリアル

Quartus II はじめてガイド - プロジェクトの作成方法

機能検証トレーニング コース一覧

Quartus Prime - プログラミング・ファイルの生成や変換(Convert Programming Files)

Nios II - Vectored Interrupt Controller の実装

Nios II 簡易チュートリアル

Quartus II はじめてガイド - よく使用するロジック・オプション設定方法 (個別設定)

! STEP 2. Quartus Prime のダウンロード WEB ブラウザで以下の URL を開きます 2 ページ中段の Quartus Prime 開発ソフトウェア ライト エディ

Nios II マイコン活用ガイド Nios II マイコンボード紹介 ステップ 1 AuCE C3 製品紹介 AuCE C3 は ソフトコア プロセッサ Nios II( アルテラ社 ) を搭載可能なマイコンボードです 弊社の基本ソフトウェアをインストールし FPGA 開発者のデザインと Nios

Quartus II はじめてガイド ‐ Device and Pin Options 設定方法

Quartus II はじめてガイド - Device and Pin Options 設定方法

Chip PlannerによるECO

Quartus II はじめてガイド - 回路図エディタの使い方

Quartus II - TimeQuest クイック・ガイド

RW-5100 導入説明書 Windows7 用 2017 年 7 月 シャープ株式会社

Nios II ハードウェア・チュートリアル

Microsoft PowerPoint - 01_Vengineer.ppt

Quartus Prime はじめてガイド - Signal Tap ロジック・アナライザの使い方

(Microsoft PowerPoint - TINA_Creating_PCB.ppt [\214\335\212\267\203\202\201[\203h])

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ

ネットリストおよびフィジカル・シンセシスの最適化

FPGAメモリおよび定数のインシステム・アップデート

HP シンクライアント はじめにお読みください HP ThinPro 7 クイックマニュアル

AN424 Modbus/TCP クイックスタートガイド CIE-H14

Quartus® Prime ガイド - Design Space Explorer II の使い方 Ver.16

Quartus IIネットリスト・ビューワによるデザインの解析

はじめにお読みくださいfor HP Smart Zero Client v5.0

Quartus Prime はじめてガイド - デバイス・オプションの設定方法

RW-4040 導入説明書 Windows 7 用 2017 年 7 月 シャープ株式会社

始める スタート > 全てのプログラム > Cypress > PSoC Creator 2.0 > PSoC Creator 2.0 をクリックします プロジェクトを作成する / 開く Start Page の "Create New Project" をクリックし 要求されたプロジェクト情報を入

バーコードハンディターミナル BT-1000 シリーズセットアップガイド ( 第 1 版 ) CE ***

Quartus II はじめてガイド - 回路図エディタの使い方

Microsoft PowerPoint LC_15.ppt

1

FLOATALL 用ライセンス・ファイルの取得および設定方法 for Windows OS

IBIS

はじめに 京セラ製スマートフォンを指定の microusb ケーブル ( 別売 ) またはこれと共通仕様の microusb ケーブル ( 別売 )( 以下 USB ケーブル ) と接続して USB テザリング機能をご使用いただくためには あらかじめパソコンに USB ドライバ をインストールしてい

Report Template

Quartus II はじめてガイド - TimeQuest によるタイミング制約の方法

HLS はじめてガイド - 簡易チュートリアル

はじめに URBANO PROGRESSO を microusb ケーブル 01 ( 別売 ) またはこれと共通の仕様の microusb ケーブル ( 別売 )( 以下 USB ケーブル ) と接続して USB テザリング機能をご使用いただくためには あらかじめパソコンに USB ドライバ をイン

AN1609 GNUコンパイラ導入ガイド

スライド 1

HDL Designer Series SupportNet GUI HDL Designer Series HDL Desi

(Microsoft Word - \214\264\215e B_\217\221\202\253\215\236\202\335\225\224.docx)

MAC アドレス変更ツール MAC アドレスチェンジャー ユーザーズマニュアル User's Manual エレコム株式会社

Microsoft Word _C2H_Compiler_FAQ_J_ FINAL.doc

目次 1. 概要 動作環境

アルファメールプレミア 移行設定の手引き

<<SPICE アナログ コードの生成 >> WaveFormerPro は タイミング ダイヤグラムをアナログ SPICE コードへエクスポートする機能を持ちます これは Waveperl スクリプトにより実現しています 信号波形は PWL(PieceWiseLinear を用いてモデル化されます

Library for Cadence OrCAD Capture ユーザマニュアル 2018 年 7 月 株式会社村田製作所 Ver.1.0 Copyright Murata Manufacturing Co., Ltd. All rights reserved. 10 July

計数工学実験/システム情報工学実験第一 「ディジタル回路の基礎」

Slide 1

PRONETA

TDK Equivalent Circuit Model Library

ご注意 1) 本書の内容 およびプログラムの一部 または全部を当社に無断で転載 複製することは禁止されております 2) 本書 およびプログラムに関して将来予告なしに変更することがあります 3) プログラムの機能向上のため 本書の内容と実際の画面 操作が異なってしまう可能性があります この場合には 実

NetSHAKER Version5.0検疫システムセットアップマニュアル

メール誤送信対策<利用者編> ご利用の手引き

インテル(R) Visual Fortran コンパイラ 10.0

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

アルファメール 移行設定の手引き Outlook2016

はじめに - マニュアルエディター機能の概要 - Dojoの種類とマニュアルエディター機能解除について マニュアルレイアウトの生成 - マニュアルレイアウトの生成 基本編集 4 - 表紙の挿入 4 - 目次の挿入 5 - 一括変換 6 4 マニュアルビルド 9 4- MS Word 9

Trueflow 3 Ver3

DS099-E09: XC3S5000 FPGA エラッタおよび Spartan-3 データシートの確認

目次 ページ 1. 本マニュアルについて 3 2. 動作環境 4 3. ( 前準備 ) ライブラリの解凍と保存 5 4. モデルのインポート 6 5. インポートしたモデルのインピーダンス計算例 8 6. 補足 単シリーズ 単モデルのインポート お問い合わせ先 21 2

ダウンロード方法 アルテラのソフトウェアをインストールするためのダウンロード ファイルには以下の種類が あります.tar フォーマットのソフトウェアとデバイス ファイルがバンドルされたセット ダウンロードとインストールをカスタマイズするための個別の実行ファイル ディスクに焼いて他の場所にインストール

目次 1. はじめに 本書の目的 本書の対象 作成環境 準備 インストール環境の確認 ライセンス インストーラー インストール その

捺印ツールを使う 捺印ツールをインストールする 1. [ パソコン決裁 6 試用版捺印ツール ] の [ ダウンロード ] ボタンをクリックします 2. [ 実行 ] ボタンをクリックし [SetupDstmp32.exe] ファイルを実行します ご利用のブラウザまたはバージョンにより画面が異なりま

KTSセットアップマニュアル24版

統合開発環境CubeSuite+ V へのバージョンアップのお知らせ

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor

Transcription:

ver.2.0 2010 年 1 月 1. はじめに 弊社では Quartus II をはじめて使用する方を対象に Quartus II はじめてガイド と題した簡易操作マニュアルを提供しています この資料では Quartus II の基本的な作業フローをご案内すると共に 各オペレーションではどの資料を参考にするのが適当かをご紹介しています 2. Quartus II の基本操作フロー 以下の図は Quartus II の基本操作フローを示しています プロジェクトの作成から プログラミングまで Quartus II のみで行うことが可能です Quartus II 基本設計操作フロー ステップ 1. プロジェクトの作成 ステップ 2. デザインの作成 ステップ 3. ファンクション シミュレーション ステップ 4. ピン配置 その他オプション設定 ステップ 5. コンパイル ステップ 6. タイミング シミュレーション ステップ 7. プログラミング Page 1 of 12 Altima Corporation

3. Quartus II の基本操作 Quartus II の操作フローを各項目にわけ 紹介します ステップ 1. プロジェクトの作成 Quartus II ではプロジェクト単位でデザインの開発を進めていき 設計を始めるときは 必ずプロジェクトを作成する必要があります プロジェクトの作成は File メニュー New Project Wizard にて行います 詳細は EDISON (http://www.altima.jp/edison/index.html) にて公開中の以下の資料をご覧ください Quartus II はじめてガイド - プロジェクトの作成方法 ステップ 2. デザインの作成プロジェクトを作り終えたら 次にデザインを作成いたします デザインは File メニュー New より 回路図で設計する場合は Block Diagram/Schematic File を ハードウェア言語で設計される場合は 使用する言語 (AHDL Verilog HDL VHDL) を選択し OK ボタンをクリックします また デバッグ機能として RTL Viewer をご活用ください (4-1 節を参照 ) 詳細は EDISON (http://www.altima.jp/edison/index.html) にて公開中の以下の資料をご覧ください Quartus II はじめてガイド - 回路図エディタの使い方 ver. 2.0 2010 年 1 月 Page 2 of 12 Altima Corporation

ステップ 3. ファンクション シミュレーション (RTL シミュレーション ) デザインを作成し終えたら 次にファンクション シミュレーションで回路仕様を確認し 論理的に動作するかを検証します ファンクション シミュレーションでは配置配線による遅延は考慮されておりません ファンクション シミュレーションの操作手順は以下のとおりです 1) 入力波形ファイルの新規作成 2) 入力波形ファイルへの入力 3) Simulator Tool の起動 4) シミュレーション モードの設定 5) シミュレーション実行 テストベンチ ( 言語 ) を使用するシミュレーションを行う場合は 言語シミュレータの ModelSim -Altera をご利用ください 詳細は EDISON (http://www.altima.jp/edison/index.html) にて公開中の以下の資料 ( 使用する環境のもの ) をご覧ください Quartus II はじめてガイド - シミュレーション方法 ModelSim-Altera の使い方 ver. 2.0 2010 年 1 月 Page 3 of 12 Altima Corporation

ステップ 4. ピン配置 その他オプション設定コンパイルを実行する前に以下の設定を行う必要があります 未定の場合は 未設定のままコンパイルを行えますが 決定後には再コンパイルを実行してください ピンの配置 I/O 規格の設定 未使用 I/O ピンの設定 コンフィギュレーションの設定 その他のオプション設定 詳細は EDISON (http://www.altima.jp/edison/index.html) にて公開中の以下の資料をご覧ください Quartus II はじめてガイド - ピンアサインの方法 Quartus II はじめてガイド - Device & Pin Options 設定方法 Quartus II はじめてガイド - Assignment Editor の使い方 Quartus II はじめてガイド - よく使用する Logic Option 設定方法 ( 個別設定 ) Quartus II はじめてガイド - タイミング制約の設定方法 (Classic Timing Analyzer) ver. 2.0 2010 年 1 月 Page 4 of 12 Altima Corporation

ステップ 5. コンパイル コンパイルでは回路図 言語のチェックや論理合成 配置配線 プログラミング用のファイルの生成 タイミング検証などを行います この節ではコンパイルについて紹介します ( コンパイル時間短縮方法は 4-3 節を参照ください ) コンパイラが実行すること コンパイラは下記のことを実行します Analysis 回路図 言語構文をチェックします Synthesis 論理合成 ( 論理回路を最適に考え直すこと ) を行います Fitter 配置配線 ( ロジック ピンをデバイスに配置し配線すること ) を行います Assembler プログラミング用のファイルを生成します Timing Analyzer タイミングを検証します ver. 2.0 2010 年 1 月 Page 5 of 12 Altima Corporation

1) コンパイルの実行 コンパイルの実行は Processing メニュー Start Compilation より行うことができます Full compilation was successful メッセージ ボックスが確認できたら コンパイル終了です 2) コンパイラ レポートの確認コンパイラ レポートより どのような処理 ( 配置配線 タイミングなど ) が行われたかを確認します 詳細は EDISON (http://www.altima.jp/edison/index.html) にて公開中の以下の資料をご覧ください Quartus II はじめてガイド - コンパイル Quartus II はじめてガイド - デバイスの未使用ピンの状態とその処理 ステップ 6. タイミング シミュレーション次に遅延を考慮した動作検証を行います タイミング シミュレーションの操作手順は以下のとおりです 1) Simulator Tool の起動 2) シミュレーション モードの設定 3) シミュレーション実行 テストベンチ ( 言語 ) を使用するシミュレーションを行う場合は 言語シミュレータの ModelSim-Altera をご利用ください 詳細は EDISON (http://www.altima.jp/edison/index.html) にて公開中の以下の資料 ( 使用する環境のもの ) をご覧ください Quartus II はじめてガイド - シミュレーション方法 ModelSim-Altera の使い方 ver. 2.0 2010 年 1 月 Page 6 of 12 Altima Corporation

ステップ 7. プログラミング次にプログラマを起動し デバイスにデータを書き込みます プログラミングの操作手順は以下のとおりです 4) プログラマの起動 5) プログラミング ハードウェアの設定 6) プログラミング モードの選択 7) プログラミング ファイルの選択 8) プログラミング オプションの選択 9) プログラミング実行 詳細は EDISON (http://www.altima.jp/edison/index.html) にて公開中の以下の資料をご覧ください Quartus II はじめてガイド - デバイス プログラミング方法 ver. 2.0 2010 年 1 月 Page 7 of 12 Altima Corporation

4. その他の関連機能 4-1. Netlist Viewer Netlist Viewer は デザイン構造を解析し Quartus II によるデザインの解釈を確認できる回路図を提供します RTL Viewer State Machine Viewer および Technology Map Viewer により デバッグ 最適化 または制約条件入力プロセスにおいて 初期合成結果や完全にマップされた合成結果を表示できます 詳細は EDISON (http://www.altima.jp/edison/index.html) にて公開中の以下の資料をご覧ください Quartus II - Netlist Viewer の使い方 4-2. Chip Planner Chip Planner では アルテラ デバイスの内部構造の表示 内部タイミングの調査 デバイス内のリソースに対する機能とプロパティ設定の編集を行うことができます 詳細は EDISON (http://www.altima.jp/edison/index.html) にて公開中の以下の資料をご覧ください Quartus II - Chip Planner クイック ガイド ver. 2.0 2010 年 1 月 Page 8 of 12 Altima Corporation

4-3. インクリメンタル コンパイルインクリメンタル コンパイルは デザインで変更されていないパーティションのコンパイル結果と性能を維持し 新しいコンパイルを変更されたデザイン パーティションにのみ集中させることによって デザインのコンパイル作業における繰り返し時間を大幅に短縮 ( 最大 70%) させることを可能にします 詳細は EDISON (http://www.altima.jp/edison/index.html) にて公開中の以下の資料をご覧ください Quartus II - インクリメンタル コンパイルクイック ガイド 4-4. デザイン パーティション プランナ デザイン パーティション プランナ (Design Partition Planner) は インクリメンタル コンパイル機能をさらに効率良く活用するためのグラフィカル インタフェースです 詳細は EDISON (http://www.altima.jp/edison/index.html) にて公開中の以下の資料をご覧ください Quartus II - デザイン パーティション プランナクイック ガイド ver. 2.0 2010 年 1 月 Page 9 of 12 Altima Corporation

4-5. SignalTap II SignalTap II ロジック アナライザは FPGA の内部信号のデータをキャプチャおよび表示する Quartus II に搭載されたシステム レベルのデバッグ ツールです 詳細は EDISON (http://www.altima.jp/edison/index.html) にて公開中の以下の資料をご覧ください Quartus II - SignalTap II クイック ガイド ver. 2.0 2010 年 1 月 Page 10 of 12 Altima Corporation

5. トレーニングのお知らせ 弊社では Quartus II を習得していただくために これら資料の他にインストラクター トレーニングも開催しております 講師と対面しながらの講義ですので 疑問点はその場で解決できます また 実際にマシン上でソフトウェアを操作しながら学ぶハンズオン トレーニングにより スキルアップのスピードが向上できます これからアルテラの FPGA を導入する方 ( 導入を検討している方 ) や Quartus II を基礎からしっかりと学びたい方を対象とした導入コースを以下にご紹介します 是非ご参加ください 各コースの日程およびお申し込み またその他のコースは 弊社のホームページをご覧ください FPGA 設計入門編 ( アルティマ ワークショップ ) コース内容 FPGA の特徴やメリットを説明し 基本となる設計手法を開発ソフトウェア Quartus II ならびに ModelSim-Altera を実際に使用しながら初歩から学びます 本コースでは実機 ( 弊社オリジナル基板 Cyclone III Base Board) を使用した演習を行ないますので より理解が深まります なお本コースは アルテラ テクニカル トレーニング Quartus II 基礎編 の予習 復習コースとしてもご活用いただけます FPGA 設計入門編 Cyclone III Base Board http://www.altima.jp/seminar/fns_ws.html http://www.altima.jp/campaigns/cyiiibb55_ws.html Quartus II 基礎編 ( アルテラ テクニカル トレーニング ) コース内容 FPGA 設計入門編 コースのステップアップ コースです 上記コースでご紹介しきれなかった Quartus II の基礎的操作や基本的な機能を さらにじっくりと学びます またアルティマ会場では 実機を使用した演習を実施しています ( 都合により実施していない会場もございます ご了承ください ) 講師との対話式のトレーニングにより ツールの使い方以外にもノウハウが習得できます Quartus II 基礎編 http://www.altima.jp/seminar/quartus2_tt.html 本コースは日本アルテラ社公式トレーニングです 上記コースの他にも お客様のニーズや目的に応じた各種ワークショップやセミナを常時開催しております 日頃のご設計に是非ご活用ください 心よりお待ちしております FPGA / CPLD 関連セミナ ワークショップ一覧 http://www.altima.jp/seminar/index.html ver. 2.0 2010 年 1 月 Page 11 of 12 Altima Corporation

弊社より資料を入手されましたお客様におかれましては 下記の使用上の注意を一読いただいた上でご使用ください 1. 本資料は非売品です 許可無く転売することや無断複製することを禁じます 2. 本資料は予告なく変更することがあります 3. 本資料の作成には万全を期していますが 万一ご不明な点や誤り 記載漏れなどお気づきの点がありましたら 弊社までご一報いただければ幸いです 4. 本資料で取り扱っている回路 技術 プログラムに関して運用した結果の影響については 責任を負いかねますのであらかじめご了承ください 5. 本資料は製品を利用する際の補助的な資料です 製品をご使用になる場合は 英語版の資料もあわせてご利用ください 横浜本社 222-8563 横浜市港区新横浜 1-5-5 マクニカ第二ビル TEL 045-476-2155 FAX 045-476-2156 大阪営業所 532-0003 大阪市淀川区宮原 3-4-30 ニッセイ新大阪ビル 17 階 TEL 06-6397-1053 FAX 06-6397-1054 名古屋営業所 460-0003 名古屋市中区錦 1-6-5 名古屋錦シティビル 7 階 TEL 052-202-1024 FAX 052-202-1025 宇都宮営業所 321-0953 宇都宮市東宿郷 4-2-24 センターズビル 7 階 TEL 028-637-4488 FAX 028-637-4489 ver. 2.0 2010 年 1 月 Page 12 of 12 Altima Corporation