Quartus IIネットリスト・ビューワによるデザインの解析

Size: px
Start display at page:

Download "Quartus IIネットリスト・ビューワによるデザインの解析"

Transcription

1 12. Quartus II QII FPGA Quartus II RTL Viewer State Machine Viewer Technology Map Viewer : Quartus II Quartus II State Machine Viewer HDL : Quartus II RTL Viewer State Machine Viewer Technology Map Viewer Quartus II RTL Viewer State Machine Viewer Technology Map Viewer Altera Corporation 12 1

2 Quartus II Volume RTL Viewer RTL Viewer State Machine Viewer RTL Viewer State Machine Viewer RTL Viewer Technology Map Viewer Analysis & Synthesis RTL Viewer Technology Map Viewer Technology Map Viewer 2 I/O RTL Viewer State Machine Viewer Technology Map Viewer 12 2 Altera Corporation

3 Quartus II Quartus II 12-1 Quartus II Quartus II RTL Viewer Technology Map Viewer HDL / Schematic Design Files VQM/EDIF Netlist Files Analysis & Elaboration RTL Viewer State Machine Viewer RTL Viewer Preprocessor (Once per Analysis & Elaboration) State Machine Viewer Preprocessor (Once per Analysis & Elaboration) Synthesis (Logic Synthesis & Technology Mapping) Technology Map Viewer Technology Map Viewer Preprocessor (Once per Synthesis) Fitter (Place & Route) Technology Map Viewer Technology Map Viewer Preprocessor (Once per Fitting) Timing Analyzer Technology Map Viewer Technology Map Viewer Preprocessor (Once per Timing Analysis) RTL Viewer State Machine Viewer Analysis & Elaboration Technology Map Viewer Analysis & Synthesis Altera Corporation 12 3

4 Quartus II Volume 1 Quartus II Analysis & Elaboration Quartus II RTL Viewer Quartus II RTL Viewer Quartus II RTL Quartus II Verilog HDL.vVHDL.vhd AHDL.tdf.bdf MAX+PLUS II.gdf Quartus II Analysis & Elaboration Verilog Quartus.vqm Electronic Design Interchange Format.edf I/O 12-1Quartus II RTL Viewer Technology Map Viewer Quartus II RTL Viewer Quartus II Analysis & Elaboration Quartus II Quartus II 12 4 Altera Corporation

5 RTL Viewer RTL Viewer VCC GND 16 NOT 1 2 AND 2 AND 1 3 AND State Machine Viewer Quartus II RTL Viewer RTL RTL Processing Start Start Analysis & Elaboration Quartus II Analysis & Elaboration Tools Netlist Viewers RTL Viewer Applications RTL Viewer Applications Quartus II Tools Customize Customize Toolbars Toolbars Applications Close Altera Corporation 12 5

6 Quartus II Volume 1 State Machine Viewer State Machine Viewer State Machine Viewer State Machine Viewer Tools Netlist Viewers State Machine Viewer State Machine Viewer RTL Viewer Hierarchy Down Technology Map Viewer Quartus II Technology Map Viewer Analysis & Synthesis Technology Map Viewer I/O LCELL I/O Technology Map Viewer GND VCC IN1 OUT1 Quartus II Quartus II Technology Map Viewer Processing Start Start Analysis & Synthesis Technology Map Viewer Technology Map Viewer 12 6 Altera Corporation

7 Tools Netlist Viewers Technology Map Viewer Applications Technology Map Viewer RTL Viewer Technology Map Viewer 12-2 RTL Viewer 2 1 RTL Viewer 1 Technology Map Viewer Quartus II RTL Viewer RTL Altera Corporation 12 7

8 Quartus II Volume 1 RTL Viewer Technology Map Viewer RTL Viewer Technology Map Viewer RTL Viewer 12-3 Verilog HDL 12 1 HDL ADDER RTL Viewer 12 8 Altera Corporation

9 module counter (input [2:0] data, input clk, input load, output [2:0] result); reg [2:0] result_reg; (posedge clk) if (load) result_reg <= data; else result_reg <= result_reg + 1; assign result = result_reg; endmodule 12-4 Stratix Technology Map Viewer LCELL REGOUT LCELL COMBOUT LCELL LUT LCELL 16 LCELL 16 LUT Technology Map Viewer 12 1 RTL Viewer Technology Map Viewer RTL Viewer Altera Corporation 12 9

10 Quartus II Volume 1 RTL Viewer Technology Map Viewer LCELL ( / ) I/O 1 I/O OR AND XOR OR AND XOR MUX BUFFER CARRY_SUM 0 1 MUX3 MUX RTL Viewer LCELL SOFT CARRY GLOBAL NOT EXP CARRY_SUM SI SUM IN SO SUM OUT CI CARRY IN CO CARRY OUT Altera Corporation

11 12 1. ( / ) LATCH D EN Q PRE CLR DFFE/DFFEA/DFFAES DFFE data flipflop with enable) ALOAD ADATA DFFEA data flipflop with enable and asynchronous load ASDATA DFFEAS data flipflop with enable and both synchronous and asynchronous load Technology Map Viewer Technology Map Viewer DATAA DATAD COMBOUT LCELL WYSIWYG LCELL Technology Map Viewer VQM EDIF RTL Viewer Altera Corporation 12 11

12 Quartus II Volume ( / ) State Machine Viewer State Machine Viewer 12 2 State Machine Viewer State Machine Viewer Altera Corporation

13 12 3 RTL Viewer RTL Viewer ( / ) : OUT = A + B : OUT = A B : OUT = A / B : OUT = (A << COUNT) : OUT = (A >> COUNT) : OUT = (A % B) Altera Corporation 12 13

14 Quartus II Volume RTL Viewer ( / ) less than : OUT = (A <= B : A < B) : OUT = DATA [SEL] 2 sel range size One-Hot 3 : OUT = (binary_number (IN) == x) for x=0 to x=2 (n+1) - 1 Selection Tool Shift Ctrl Selection Tool Viewer Options Altera Corporation

15 Viewer Options Net Selection Select entire net when segment is selected Viewer Options Viewer Options Net Selection Limit selections to current hierarchy Hand Tool toolbar Hand Tool Altera Corporation 12 15

16 Quartus II Volume State Machine Viewer Quartus II RTL Viewer VQM EDIF Technology Map Viewer RTL Viewer Technology Map Viewer I/O I/O I/O Altera Corporation

17 Shift Ctrl Filter Locate Quartus II State Machine Viewer State Machine Viewer State Machine Viewer Tools Netlist Viewers State Machine Viewer RTL Viewer RTL Viewer Hierarchy Down RTL Viewer Project Hierarchy Down 12-5 State Machine Viewer State Machine Altera Corporation 12 17

18 Quartus II Volume State Machine Viewer State Machine Viewer View State Machine Viewer Highlight Fan-in Highlight Fanout Altera Corporation

19 State Machine Viewer Transitions 3 : Source State Destination State Condition Align Right Align Left Select All Edit Select All Copy Table Edit Copy Copy Table State Machine Viewer Encoding State Machine Viewer Start Analysis & Synthesis Start Analysis & Elaboration Altera Corporation 12 19

20 Quartus II Volume 1 State Machine Viewer State Machine Viewer 1 State Machine Viewer State Machine selection RTL Viewer Technology Map Viewer Hierarchy Up Hierarchy Down Hierarchy Down Hierarchy Up Selection Tool 12-6 Hierarchy Down Hierarchy Up Altera Corporation

21 12-6. Flatten Netlist Display Content Hide Content Display Content Hide Content Technology Map Viewer Technology Map Viewer Stratix Cyclone MAX II LCELLLUT Stratix II Cyclone II Stratix Cyclone HardCopy II I/O Altera Corporation 12 21

22 Quartus II Volume 1 RAM DSP Stratix II Stratix II GX Stratix Stratix GX Cyclone II Cyclone RAM DSP Stratix Stratix GX Display Content Hide Content Altera Corporation

23 View Zoom Tool Ctrl View Fit in Window Fit Selection in Window Zoom In Zoom Out Zoom Zoom Zoom Tools Customize Toolbars Zoom Zoom In Zoom Out Zoom 100% Fit Selection in Window Selection Tool 1 Fit Selection in Window Zoom Tool Zoom Tool schematic Shift Ctrl Zoom Tool Tools Options Options Category RTL/Technology Map Viewer Fit in Window Altera Corporation 12 23

24 Quartus II Volume 1 Tools Options Category RTL/Technology Map Viewer Maintain zoom level RTL Viewer Technology Map Viewer Tools Options Category RTL/Technology Map Viewer Display Settings Nodes per page ,000 Ports per page 1000 / 1 2, : Page < > of < > RTL Viewer Altera Corporation

25 Refresh Previous Page Next Page Previous Page Next Page Edit Go To Go To Page View Back Back View Forward Forward Back Forward Back Forward Altera Corporation 12 25

26 Quartus II Volume From Related To Altera Corporation

27 Go to Net Driver Current page Current hierarchy Across hierarchies Current page Current hierarchy Across hierarchies Sources Destinations Sources & Destinations Selected Nodes and Nets Between Selected Nodes Bus Index 1 Altera Corporation 12 27

28 Quartus II Volume 1 Filter State Machine Viewer Back Filter Sources Filter Sources Filter Sources Display Altera Corporation

29 Filter Destinations Filter Destinations Filter Destinations Display Filter Sources & Destinations Sources & Destinations Sources Destinations Filter Sources & Destinations inst4 Altera Corporation 12 29

30 Quartus II Volume 1 Filter between Selected Nodes 2 3 Filter Between Selected Nodes inst2 inst3 Between Selected Nodes Filter Selected Nodes & Nets / / Filter Selected Nodes & Nets Selected Nodes & Nets Altera Corporation

31 Selected Nodes & Nets Filter Bus Index RTL Viewer Filter Bus Index Select Bus Index RTL/Technology Map Viewer Options Filtering Viewer Options 1 3 RTL/Technology Map Viewer Options Filtering Number of filtering Altera Corporation 12 31

32 Quartus II Volume 1 RTL/Technology Map Viewer Options Filtering Stop filtering at register Viewer Options RTL/Technology Map Viewer Options Filtering Shows all connections between nodes RTL/Technology Map Viewer Options Viewer Options Filtering Filter across hierarchy Tools Options Category RTL/Technology Map Viewer Show box hierarchy 2 Filter across hierarchy taps Sources Altera Corporation

33 Sources Expand 2 1 Altera Corporation 12 33

34 Quartus II Volume 1 2 Expand Viewer Options Viewer Options Expansion Number of expansion levels Stop expanding at register Expand State Machine Viewer Expand 3 Sources Destinations Sources & Destinations Filter across hierarchy Technology Map Viewer Expand Altera Corporation

35 Quartus II 1 Reduce Quartus II RTL Viewer Technology Map Viewer State Machine Viewer Quartus II 1 Quartus II Locate Locate in Assignment Editor Locate in Pin Planner Locate in Timing Closure Floorplan Locate in Chip Editor Locate in Resource Property Editor Locate in RTL Viewer Locate in Technology Map Viewer Locate in Design File Locate in Assignment Editor Window Altera Corporation 12 35

36 Quartus II Volume 1 Quartus II RTL Viewer Technology Map Viewer Quartus II 1 RTL Viewer State Machine Viewer Technology Map Viewer RTL Viewer Technology Map Viewer Quartus II Project Navigator Chip Editor Resource Property Editor Node Finder Quartus II Project Navigator Hierarchy Entity From To Locate Locate in RTL Viewer Locate in Technology Map Viewer Filter Filter Across Hierarchy Selected Nodes & Nets Can t find message location Altera Corporation

37 Timing Analysis Processing Compilation Report Clock Setup : <clock name> tsu tco tpd Compiler Report Timing Analyzer Technology Map Viewer Locate Locate in Technology Map Viewer Locate in RTL Viewer Technology Map Viewer IC <> <IC> ns, <> ns Technology Map Viewer ns LCELL IC ns LCELL ns 2 Altera Corporation 12 37

38 Quartus II Volume Technology Map Viewer RTL Viewer RTL Viewer RTL Viewer RTL Viewer Technology Map Viewer RTL Viewer IP Intellectual Property RTL Viewer Altera Corporation

39 Schematic Viewer Schematic Viewer Technology Map Viewer ATOM Equations Quartus II Copy Tooltip Tools Options Category RTL/Technology Map Viewer Tooltip settings Show names in tooltip for Unlimited 0 5 Delay showing tooltip for 0 Altera Corporation 12 39

40 Quartus II Volume 1 Unlimited ( / ) : < >, < > < >, < >... < >, < > ATOM : < >, < > (<LUT >) {(r c < >)}... r 1 c 2 : < >, < > : < >, < > : < > : < >, fan-out = < > : fan-out = < > Altera Corporation

41 Schematic Viewer ( / ) (1) (2) (1) (2) (3) (4) (5) (3) (6) Source from Destination Index (4) (5) (6) : < > 2 : (< >) Altera Corporation 12 41

42 Quartus II Volume 1 Tools Options Options Category RTL/Technology Map Viewer Enable Rollover RTL Viewer Technology Map Viewer Properties Properties RTL Viewer Technology Map Viewer Properties Altera Corporation

43 Schematic Viewer Properties High Low Low! VCC GND VCC VCC VCC GND GND GND -- VCC GND Unconnected Assignments Options Category RTL/Technology Map Viewer Display Settings Show Net Name Tools Options Category RTL/Technology Map Viewer Display Settings Show node name View Full Screen Full Screen Ctrl+Alt+Space Altera Corporation 12 43

44 Quartus II Volume 1 Ctrl+Alt+Space Find Find Edit Find Find Find Find Search Up Down Find Find Next Advanced settings Search entire design Altera Corporation

45 Schematic Viewer Limit search to schematic view Search entire design and display in search page Append results to current search page 2 Find Find Quartus II Finding Nodes in the RTL Viewer & Technology Map Viewer RTL Viewer Technology Map Viewer JPEG.jpg Windows.bmp File Export Export JPEG.jpg Filter< >.< > Edit Copy Full Image Windows Edit Copy Partial Image Altera Corporation 12 45

46 Quartus II Volume 1 Quartus II Partial Image Copy UNIX File Print 1 Selection File Page Setup Portrait Landscape Page Setup State Machine Viewer State Machine Viewer Copy State Machine Viewer HDL State Machine Viewer HDL Quartus II Simulator Altera Corporation

47 State Machine Viewer HDL State Machine Viewer 1. State Machine Viewer 2. Tools Netlist Viewers State Machine Viewer State Machine Tools Netlist Viewers RTL Viewer State Machine Viewer 12-24State Machine Viewer Altera Corporation 12 47

48 Quartus II Volume RTL Viewer Instances + State Machines RTL Viewer Altera Corporation

49 State Machine Viewer HDL State Machine Viewer 3. next_state = current_state 4. HDL Altera Corporation 12 49

50 Quartus II Volume State Machine Viewer Quartus II RTL Viewer State Machine Viewer Technology Map Viewer Altera Corporation

ネットリストおよびフィジカル・シンセシスの最適化

ネットリストおよびフィジカル・シンセシスの最適化 11. QII52007-7.1.0 Quartus II Quartus II atom atom Electronic Design Interchange Format (.edf) Verilog Quartus (.vqm) Quartus II Quartus II Quartus II Quartus II 1 Quartus II Quartus II 11 3 11 12 Altera

More information

Chip PlannerによるECO

Chip PlannerによるECO 13. Chip Planner ECO QII52017-8.0.0 ECO Engineering Change Orders Chip Planner ECO Chip Planner FPGA LAB LE ALM ECO ECO ECO ECO Chip Planner Chip Planner ECO LogicLock Chip Planner Quartus II Volume 2

More information

main.dvi

main.dvi CAD 2001 12 1 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape ref0177/html/index.html.,, View Encoding Japanese

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

HardCopy IIデバイスのタイミング制約

HardCopy IIデバイスのタイミング制約 7. HardCopy II H51028-2.1 Stratix II FPGA FPGA ASIC HardCopy II ASIC NRE Quartus II HardCopy Design Center HCDC Quartus II TimeQuest HardCopy II 2 DR2 TimeQuest TimeQuest FPGA ASIC FPGA ASIC Quartus II

More information

スライド 1

スライド 1 isplever CLASIC 1.2 Startup Manual for MACH4000 Rev.1.0 isplever_ CLASIC Startup_for_MACH4000_Rev01.ppt Page: 1 1. Page 3 2. Lattice isplever Design Flow Page 4 3. Page 5 3-1 Page 6 3-2 Page 7 3-3 Page

More information

Nios II ハードウェア・チュートリアル

Nios II ハードウェア・チュートリアル Nios II ver. 7.1 2007 8 1. Nios II FPGA Nios II Quaruts II 7.1 Nios II 7.1 Nios II Cyclone II count_binary 2. 2-1. http://www.altera.com/literature/lit-nio2.jsp 2-2. Nios II Quartus II FEATURE Nios II

More information

FPGAメモリおよび定数のインシステム・アップデート

FPGAメモリおよび定数のインシステム・アップデート QII53012-7.2.0 15. FPGA FPGA Quartus II Joint Test Action Group JTAG FPGA FPGA FPGA Quartus II In-System Memory Content Editor FPGA 15 2 15 3 15 3 15 4 In-System Memory Content Editor Quartus II In-System

More information

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

if clear = 1 then Q <=  ; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst = VHDL 2 1 VHDL 1 VHDL FPGA VHDL 2 HDL VHDL 2.1 D 1 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; regs.vhdl entity regs is clk, rst : in std_logic; clear : in std_logic; we

More information

デザイン・スペース・エクスプローラ

デザイン・スペース・エクスプローラ 12. QII52008-6.1.0 Quartus II Quartus II FPGA Tcl/Tk DSEDSE DSE DSE DSE DSE Quartus II Synthesis Fitter 1 DSE Quartus II Fitter Quartus II Altera Corporation 12 1 2006 11 Quartus II Volume 2 DSE DSE 1

More information

スライド 1

スライド 1 1 1. 2 2. 3 isplever 4 5 6 7 8 9 VHDL 10 VHDL 4 Decode cnt = "1010" High Low DOUT CLK 25MHz 50MHz clk_inst Cnt[3:0] RST 2 4 1010 11 library ieee; library xp; use xp.components.all; use ieee.std_logic_1164.all;

More information

PLDとFPGA

PLDとFPGA PLDFPGA 2002/12 PLDFPGA PLD:Programmable Logic Device FPGA:Field Programmable Gate Array Field: Gate Array: LSI MPGA:Mask Programmable Gate Array» FPGA:»» 2 FPGA FPGALSI FPGA FPGA Altera, Xilinx FPGA DVD

More information

Quartus IIプロジェクトのマネージング

Quartus IIプロジェクトのマネージング 4. Quartus II QII52012-7.2.0 FPGA 1 2 FPGA FPGA Quartus II Quartus II 1 1 1 1 Quartus II Quartus II Quartus II Quartus II 4 1 Altera Corporation 4 1 Quartus II Volume 2 4 1. Quartus II Quartus II Project

More information

デザインパフォーマンス向上のためのHDLコーディング法

デザインパフォーマンス向上のためのHDLコーディング法 WP231 (1.1) 2006 1 6 HDL FPGA TL TL 100MHz 400MHz HDL FPGA FPGA 2005 2006 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx,

More information

, FPGA Verilog-HDL

, FPGA Verilog-HDL Kazutoshi Kobayashi (kobayasi@kuee.kyoto-u.ac.jp) 2007 12 19-20 1 1 1.1...................................... 1 1.2,................................. 1 2 2 2.1 FPGA......................... 2 2.2 Verilog-HDL.............................

More information

VLD Kazutoshi Kobayashi

VLD Kazutoshi Kobayashi VLD Kazutoshi Kobayashi (kobayasi@kuee.kyoto-u.ac.jp) 2005 8 26-29 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape

More information

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 1030195 15 2 10 1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 4-3-3 47 5 52 53 54 55 ii 1 VHDL IC VHDL 5 2 3 IC 4 5 1 2

More information

論理設計の基礎

論理設計の基礎 . ( ) IC (Programmable Logic Device, PLD) VHDL 2. IC PLD 2.. PLD PLD PLD SIC PLD PLD CPLD(Complex PLD) FPG(Field Programmable Gate rray) 2.2. PLD PLD PLD I/O I/O : PLD D PLD Cp D / Q 3. VHDL 3.. HDL (Hardware

More information

Design at a higher level

Design at a higher level Meropa FAST 97 98 10 HLS, Mapping, Timing, HDL, GUI, Chip design Cadence, Synopsys, Sente, Triquest Ericsson, LSI Logic 1980 RTL RTL gates Applicability of design methodologies given constant size of

More information

Quartus II クイック・スタート・ガイド

Quartus II クイック・スタート・ガイド ver.2.0 2010 年 1 月 1. はじめに 弊社では Quartus II をはじめて使用する方を対象に Quartus II はじめてガイド と題した簡易操作マニュアルを提供しています この資料では Quartus II の基本的な作業フローをご案内すると共に 各オペレーションではどの資料を参考にするのが適当かをご紹介しています 2. Quartus II の基本操作フロー 以下の図は

More information

Kazutoshi Kobayashi (kobayasi kit.ac.jp)

Kazutoshi Kobayashi (kobayasi kit.ac.jp) Kazutoshi Kobayashi (kobayasi kit.ac.jp) 2009 11 24-25 1 1 1.1.................................. 1 1.2,............................ 1 2 2 2.1 FPGA.................... 2 2.2 Verilog-HDL........................

More information

ProVAL Recent Projects, ProVAL Online 3 Recent Projects ProVAL Online Show Online Content on the Start Page Page 13

ProVAL Recent Projects, ProVAL Online 3 Recent Projects ProVAL Online Show Online Content on the Start Page Page 13 ProVAL Unit System Enable Recording Log Preferred Language Default File Type Default Project Path ProVAL : Unit SystemUse SI Units SI SI USCS Enable Recording Log Language Default File Type Default Project

More information

1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/

1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/ 1 Kazutoshi Kobayashi kobayasi@ieeeorg 2002 12 10-11 1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/kobayasi/kobayasi/refresh/indexhtml,,

More information

Quartus II クイック・スタートガイド

Quartus II クイック・スタートガイド ALTIMA Corp. Quartus II クイック スタートガイド ver.3.0 2010 年 8 月 ELSENA,Inc. 目次 1. はじめに... 3 2. Quartus II の基本操作フロー... 3 3. Quartus II の基本操作... 4 ステップ 1. プロジェクトの作成... 4 ステップ 2. デザインの作成... 4 ステップ 3. ファンクション シミュレーション...

More information

Quartus II はじめてガイド - EDA ツールの設定方法

Quartus II はじめてガイド - EDA ツールの設定方法 ALTIMA Corp. Quartus II はじめてガイド EDA ツールの設定方法 ver.14 2015 年 4 月 Rev.1.1 ELSENA,Inc. Quartus II はじめてガイド EDA ツールの設定方法 目次 1. 2. 3. はじめに...3 サポート環境...4 操作方法...5 3-1. 3-2. 論理合成ツールとのインタフェース設定... 5 シミュレーション ツールとのインタフェース設定...

More information

Quartus II はじめてガイド - よく使用するロジック・オプション設定方法 (個別設定)

Quartus II はじめてガイド - よく使用するロジック・オプション設定方法 (個別設定) ALTIMA Corp. Quartus II はじめてガイドよく使用するロジック オプション設定方法 ( 個別設定方法 ) rev.1 ver.10 2011 年 4 月 ELSENA,Inc. Quartus II はじめてガイド よく使用するロジック オプション設定方法 ( 個別設定方法 ) rev.1 目次 1. はじめに... 3 2. 出力電流値の設定 ...4

More information

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that use microcontrollers (MCUs)

More information

Quartus II - Chip Planner クイック・ガイド

Quartus II - Chip Planner クイック・ガイド - Quartus II - Chip Planner クイック ガイド ver.9.0 2009 年 8 月 1. はじめに この資料は Quartus II の Chip Planner の使用方法を紹介しています Chip Planner は 従来のフロアプランと Chip Editor が統合された機能です この機能により Quartus II 上でアルテラ デバイスの内部構造の表示 内部タイミングの調査

More information

Quartus II はじめてガイド - EDA ツールの設定方法

Quartus II はじめてガイド - EDA ツールの設定方法 ALTIMA Corp. Quartus II はじめてガイド EDA ツールの設定方法 ver.10.0 2010 年 12 月 ELSENA,Inc. Quartus II はじめてガイド EDA ツールの設定方法 目次 1. はじめに... 3 2. サポート環境... 3 3. 操作方法... 4 3-1. 論理合成ツールとのインタフェース設定... 4 3-2. シミュレータ ツールとのインタフェース設定...

More information

? FPGA FPGA FPGA : : : ? ( ) (FFT) ( ) (Localization) ? : 0. 1 2 3 0. 4 5 6 7 3 8 6 1 5 4 9 2 0. 0 5 6 0 8 8 ( ) ? : LU Ax = b LU : Ax = 211 410 221 x 1 x 2 x 3 = 1 0 0 21 1 2 1 0 0 1 2 x = LUx = b 1 31

More information

Cyclone IIIデバイスのI/O機能

Cyclone IIIデバイスのI/O機能 7. Cyclone III I/O CIII51003-1.0 2 Cyclone III I/O 1 I/O 1 I/O Cyclone III I/O FPGA I/O I/O On-Chip Termination OCT Quartus II I/O Cyclone III I/O Cyclone III LAB I/O IOE I/O I/O IOE I/O 5 Cyclone III

More information

Microsoft Word - Meta70_Preferences.doc

Microsoft Word - Meta70_Preferences.doc Image Windows Preferences Edit, Preferences MetaMorph, MetaVue Image Windows Preferences Edit, Preferences Image Windows Preferences 1. Windows Image Placement: Acquire Overlay at Top Left Corner: 1 Acquire

More information

untitled

untitled Verilog HDL Verilog HDL VerilogHDL veriloghdl / CPLD , 1bit 2 MUX 5 D,E) always) module MUX(out, a, b, sel); output out; input a, b, sel; A) IF module MUX(out, a, b, sel); output out; input a, b, sel;

More information

ACS電子ジャーナル利用マニュアル

ACS電子ジャーナル利用マニュアル American Chemical Society ACS Web Edition & Journal Archives American Chemical Society ACS 4 Web Edition 2002 7 1879 Journal Archives ACS 1...2 2 2-1...3 2-2...4 2-3...5 3 3-1 Abstract...6 3-2 Full Text

More information

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II はじめてガイド - プロジェクトの作成方法 ALTIMA Corp. Quartus II はじめてガイド プロジェクトの作成方法 ver.10.0 2010 年 7 月 ELSENA,Inc. Quartus II はじめてガイド プロジェクトの作成方法 目次 1. はじめに... 3 2. Quartus II の起動... 3 3. 操作手順... 4 4. 既存プロジェクトの起動... 10 5. プロジェクト作成後の変更...11

More information

HardCopy IIIデバイスの外部メモリ・インタフェース

HardCopy IIIデバイスの外部メモリ・インタフェース 7. HardCopy III HIII51007-1.0 Stratix III I/O HardCopy III I/O R3 R2 R SRAM RII+ RII SRAM RLRAM II R HardCopy III Stratix III LL elay- Locked Loop PLL Phase-Locked Loop On-Chip Termination HR 4 36 HardCopy

More information

LSI LSI

LSI LSI EDA EDA Electric Design Automation LSI LSI FPGA Field Programmable Gate Array 2 1 1 2 3 4 Verilog HDL FPGA 1 2 2 2 5 Verilog HDL EDA 2 10 BCD: Binary Coded Decimal 3 1 BCD 2 2 1 1 LSI 2 Verilog HDL 3 EDA

More information

Cleaner XL 1.5 クイックインストールガイド

Cleaner XL 1.5 クイックインストールガイド Autodesk Cleaner XL 1.5 Contents Cleaner XL 1.5 2 1. Cleaner XL 3 2. Cleaner XL 9 3. Cleaner XL 12 4. Cleaner XL 16 5. 32 2 1. Cleaner XL 1. Cleaner XL Cleaner XL Administrators Cleaner XL Windows Media

More information

Lab GPIO_35 GPIO

Lab GPIO_35 GPIO 6,GPIO, PSoC 3/5 GPIO HW Polling and Interrupt PSoC Experiment Lab PSoC 3/5 GPIO Experiment Course Material 6 V2.02 October 15th. 2012 GPIO_35.PPT (65 Slides) Renji Mikami Renji_Mikami@nifty.com Lab GPIO_35

More information

ProVisionaire Control V3.0セットアップガイド

ProVisionaire Control V3.0セットアップガイド ProVisionaire Control V3 1 Manual Development Group 2018 Yamaha Corporation JA 2 3 4 5 NOTE 6 7 8 9 q w e r t r t y u y q w u e 10 3. NOTE 1. 2. 11 4. NOTE 5. Tips 12 2. 1. 13 3. 4. Tips 14 5. 1. 2. 3.

More information

Introduction Purpose This course explains how to use Mapview, a utility program for the Highperformance Embedded Workshop (HEW) development environmen

Introduction Purpose This course explains how to use Mapview, a utility program for the Highperformance Embedded Workshop (HEW) development environmen Introduction Purpose This course explains how to use Mapview, a utility program for the Highperformance Embedded Workshop (HEW) development environment for microcontrollers (MCUs) from Renesas Technology

More information

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II はじめてガイド - プロジェクトの作成方法 - Quartus II はじめてガイド - プロジェクトの作成方法 ver. 9.0 2009 年 5 月 1. はじめに Quartus II はユーザ デザインをプロジェクトで管理します プロジェクトは デザインのコンパイルに必要なすべてのデザイン ファイル 設定ファイルおよびその他のファイルで構成されます そのため開発を始めるには まずプロジェクトを作成する必要があります この資料では Quartus

More information

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

Quartus II はじめてガイド - ピン・アサインの方法

Quartus II はじめてガイド - ピン・アサインの方法 ALTIMA Corp. Quartus II はじめてガイドピン アサインの方法 rev.1 ver.10 2011 年 3 月 ELSENA,Inc. Quartus II はじめてガイド ピン アサインの方法 rev.1 目次 1. はじめに... 3 2. 事前作業... 3 2-1. デバイスの選択... 3 2-2. データベースの構築... 4 3. ユーザ I/O ピンのアサイン方法...

More information

Quartus II はじめてガイド - Device & Pin Options 設定方法

Quartus II はじめてガイド - Device & Pin Options 設定方法 - Quartus II はじめてガイド - Device & Pin Options 設定方法 ver.9.1 2010 年 5 月 1. はじめに この資料は Quartus II における Device & Pin Options の設定に関して説明しています Device & Pin Options ダイアログ ボックスでは 現在のプロジェクトで選択されているデバイスにおけるデバイス オプションとピン

More information

13 Student Software TI-Nspire CX CAS TI Web TI-Nspire CX CAS Student Software ( ) 1 Student Software 37 Student Software Nspire Nspire Nspir

13 Student Software TI-Nspire CX CAS TI Web TI-Nspire CX CAS Student Software ( ) 1 Student Software 37 Student Software Nspire Nspire Nspir 13 Student Software TI-Nspire CX CAS TI Web TI-Nspire CX CAS Student Software ( ) 1 Student Software 37 Student Software 37.1 37.1 Nspire Nspire Nspire 37.1: Student Software 13 2 13 Student Software esc

More information

TULを用いたVisual ScalerとTDCの開発

TULを用いたVisual ScalerとTDCの開発 TUL を用いた Visual Scaler と TDC の開発 2009/3/23 原子核物理 4 年 永尾翔 目次 目的と内容 開発環境 J-Lab におけるハイパー核分光 Visual Scaler TDC まとめ & 今後 目的と内容 目的 TUL, QuartusⅡ を用いて実験におけるトリガーを組めるようになる Digital Logic を組んでみる 内容 特徴 TUL,QuartusⅡ

More information

midicontrolsurfaces60_J.book

midicontrolsurfaces60_J.book Pro Tools Version 6.x for TDM or LE Systems on Windows or Macintosh 932911839-01 REV A MNL,MIDI CTRL SURF 6.1,JPN .............................. 1...........................................................

More information

Stratix IIIデバイスの外部メモリ・インタフェース

Stratix IIIデバイスの外部メモリ・インタフェース 8. Stratix III SIII51008-1.1 Stratix III I/O R3 SRAM R2 SRAM R SRAM RII+ SRAM RII SRAM RLRAM II 400 MHz R Stratix III I/O On-Chip Termination OCT / HR 4 36 R ouble ata RateStratix III FPGA Stratix III

More information

Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool

Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that

More information

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration 5. Stratix IV SIV52005-2.0 Stratix IV GX PMA BER FPGA PMA CMU PLL Pphased-Locked Loop CDR 5 1 5 3 5 5 Quartus II MegaWizard Plug-In Manager 5 42 5 47 rx_tx_duplex_sel[1:0] 5 49 logical_channel_address

More information

2

2 L C -24K 9 L C -22K 9 2 3 4 5 6 7 8 9 10 11 12 11 03 AM 04 05 0 PM 1 06 1 PM 07 00 00 08 2 PM 00 4 PM 011 011 021 041 061 081 051 071 1 2 4 6 8 5 7 00 00 00 00 00 00 00 00 30 00 09 00 15 10 3 PM 45 00

More information

推奨されるHDLコーディング構文

推奨されるHDLコーディング構文 6. HDL QII51007-6.0.0 HDL HDL HDL HDL HDL Quartus II Volume 1 Design Recommendations for Altera Devices Quartus II EDA HDL Quartus II Volume 1 Altera Corporation 6 1 Quartus II Volume 1 LPM DSP LVDS PLL

More information

Microsoft Word - PIVマニュアル.doc

Microsoft Word - PIVマニュアル.doc (Nikkor 50mm f/1.2) C CCD (PixelFly QE) LAN USB BNC 1 1.1 CCD 注意 CCD CCD 1) 注意 2) 3) LAN LAN 4) 3 2 5) 2 1.2 1) Came Ware Came Ware 2) [Camera] [Camera Control] Camera mode Video Trigger Mode Intern CameraControl

More information

New version (2.15.1) of Specview is now available Dismiss Windows Specview.bat set spv= Specview set jhome= JAVA (C:\Program Files\Java\jre<version>\

New version (2.15.1) of Specview is now available Dismiss Windows Specview.bat set spv= Specview set jhome= JAVA (C:\Program Files\Java\jre<version>\ Specview VO 2012 2012/3/26 Specview Specview STSCI(Space Telescope SCience Institute) VO Specview Web page http://www.stsci.edu/resources/software hardware/specview http://specview.stsci.edu/javahelp/main.html

More information

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II はじめてガイド - プロジェクトの作成方法 ALTIMA Corp. Quartus II はじめてガイド プロジェクトの作成方法 ver.14 2015 年 4 月 Rev.1.1 ELSENA,Inc. Quartus II はじめてガイド プロジェクトの作成方法 目次 1. はじめに...3 2. プロジェクトとは...3 3. Quartus II 開発ソフトウェアの起動...4 4. 新規プロジェクトの作成...7 5. 既存プロジェクトの起動と終了...15

More information

0.2 Button TextBox: menu tab 2

0.2 Button TextBox: menu tab 2 Specview VO 2012 2012/9/27 Specview Specview STSCI(Space Telescope SCience Institute) VO Specview Web page http://www.stsci.edu/resources/software hardware/specview http://specview.stsci.edu/javahelp/main.html

More information

計数工学実験/システム情報工学実験第一 「ディジタル回路の基礎」

計数工学実験/システム情報工学実験第一 「ディジタル回路の基礎」 計数工学実験 / システム情報工学実験第一 ディジタル回路の基礎 ( 全 3 回 ) システム 8 研 三輪忍 参考資料 五島正裕 : ディジタル回路 ( 科目コード 400060) 講義資料 ( ググれば出てくる ) 高木直史 : 論理回路, 昭晃堂 Altera: Cyclone II FPGA スターター開発ボードリファレンス マニュアル Altera: Introduction to Quartus

More information

Power Calculator

Power Calculator 1 4... 4... 4... 5 6... 6... 6 isplever... 6... 7... 8... 8... 8 (NCD)... 9 (.vcd)... 10... 11...11... 12 Power Summary... 16 Logic Block... 19 Clocks... 20 I/O... 20 I/O Term... 21 Block RAM... 22 DSP...

More information

Specview Specview Specview STSCI(Space Telescope SCience Institute) VO Specview Web page htt

Specview Specview Specview STSCI(Space Telescope SCience Institute) VO Specview Web page   htt Specview Specview Specview STSCI(Space Telescope SCience Institute) VO Specview Web page http://www.stsci.edu/resources/software_hardware/specview http://specview.stsci.edu/javahelp/main.html Specview

More information

フリーセルプロの使い方

フリーセルプロの使い方 FreeCell Pro 011 2 FreeCell Pro 2002 FCPRO.HLP FreeCell Pro6.4 6.5 FreeCell Pro 1000 http://solitairelaboratory.com/fcpro.html FreeCell Pro 2009 2 3 FreeCell Pro Microsoft FC 0 Windows 3.1 FreeCell Pr

More information

untitled

untitled 13 Verilog HDL 16 CPU CPU IP 16 1023 2 reg[ msb: lsb] [ ]; reg [15:0] MEM [0:1023]; //16 1024 16 1 16 2 FF 1 address 8 64 `resetall `timescale 1ns/10ps module mem8(address, readdata,writedata, write, read);

More information

Quartus II - デバイスの未使用ピンの状態とその処理

Quartus II - デバイスの未使用ピンの状態とその処理 Quartus II はじめてガイド デバイスの未使用ピンの状態とその処理 ver. 9.1 2010 年 6 月 1. はじめに ユーザ回路で使用していないデバイス上のユーザ I/O ピン ( 未使用ユーザ I/O ピン ) は Quartus II でコンパイルすることによりピンごとに属性が確定されます ユーザは 各未使用ユーザ I/O ピンがどのような状態 ( 属性 ) であるかに応じて 基板上で適切な取り扱いをする必要があります

More information

untitled

untitled Worldspan go! 4.x (UCI) Administrator Guide go! 4.x (UCI) Version 2.1.4 : 31 August 2007 1. WORLDSPAN GO! VERSION 4.X (UCI)... 3 2. WORLDSPAN GO! VERSION 4.X (UCI)... 4 3.... 6 4. WORLDSPAN GO! VERSION

More information

LC-24_22_19K30.indb

LC-24_22_19K30.indb L C -24K 30 L C -22K 30 L C -19K 30 http://www.sharp.co.jp/support/aquos/ 2 3 4 5 6 7 8 LC-24K30 9 10 11 12 LC-24K30 8 10 PM 11 12 9 PM 13 10 PM 14 11 15 PM 16 0 17 AM 1 3 101 103 00 00 30 50 00 00 00

More information

.,. 0. (MSB). =2, =1/2.,. MSB LSB, LSB MSB. MSB 0 LSB 0 0 P

.,. 0. (MSB). =2, =1/2.,. MSB LSB, LSB MSB. MSB 0 LSB 0 0 P , 0 (MSB) =2, =1/2, MSB LSB, LSB MSB MSB 0 LSB 0 0 P61 231 1 (100, 100 3 ) 2 10 0 1 1 0 0 1 0 0 100 (64+32+4) 2 10 100 2 5, ( ), & 3 (hardware), (software) (firmware), hardware, software 4 wired logic

More information

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト 3. MAX II IEEE 49. JTAG MII54-.6 PCB PCB Bed-of-nails PCB 98 Joint Test Action Group JTAG IEEE Std. 49. BST PCB BST 3 3. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin Signal Serial Data Out Core

More information

NL-22/NL-32取扱説明書_操作編

NL-22/NL-32取扱説明書_操作編 MIC / Preamp ATT NL-32 A C ATT AMP 1 AMP 2 AMP 3 FLAT FLAT CAL.SIG. OVER LOAD DET. AMP 4 AMP 5 A/D D/A CONV. AMP 6 AMP 7 A/D CONV. Vref. AMP 8 AMP 10 DC OUT AMP 9 FILTER OUT AC DC OUT AC OUT KEY SW Start

More information

L C -6D Z3 L C -0D Z3 3 4 5 6 7 8 9 10 11 1 13 14 15 16 17 OIL CLINIC BAR 18 19 POWER TIMER SENSOR 0 3 1 3 1 POWER TIMER SENSOR 3 4 1 POWER TIMER SENSOR 5 11 00 6 7 1 3 4 5 8 9 30 1 3 31 1 3 1 011 1

More information

Q&A目次.PDF

Q&A目次.PDF LSM5Pascal Q A Q1 Timeseries Q2 Timeseries Q3 Q4 Q5 1 Q6 Image Browser Q7 Q8 Q9 Acquire Time Series Manual Time 1 Unit sec 1 7 Cycle Delay n n 1 Time Interval n n 1 Options Settings TimeSeries Page 40

More information

GNU Emacs GNU Emacs

GNU Emacs GNU Emacs GNU Emacs 2015 10 2 1 GNU Emacs 1 1.1....................................... 1 1.2....................................... 1 1.2.1..................................... 1 1.2.2.....................................

More information

Quartus II Integrated Synthesis, Quartus II 6.0 Handbook, Volume 1

Quartus II Integrated Synthesis, Quartus II 6.0 Handbook, Volume 1 7. Quartus II QII51008-6.0.0 Quartus II VHDL Verilog HDL Quartus II Quartus II Quartus II Quartus II HDL Quartus II HDL Quartus II VHDL & Verilog HDL Quartus II Altera Corporation 7 1 Quartus II Volume

More information

Microsoft PowerPoint - Lec pptx

Microsoft PowerPoint - Lec pptx Course number: CSC.T34 コンピュータ論理設計 Computer Logic Design 5. リコンフィギャラブルシステム Reconfigurable Systems 吉瀬謙二情報工学系 Kenji Kise, Department of Computer Science kise _at_ c.titech.ac.jp www.arch.cs.titech.ac.jp/lecture/cld/

More information

ezbus2.PDF

ezbus2.PDF Cool Edit Pro Cubase VST/Nuendo Wavelab Sonar Sound Forge 5.0 I. Cool Edit Pro EZbus Cool Edit Pro Edit Waveform View USB /MIDI Windows Millenium Windows XP EZbus USB MIDI Win 98 SE Win 2000 Cool Edit

More information

Quartus II - TimeQuest クイック・ガイド

Quartus II - TimeQuest クイック・ガイド Quartus II TimeQuest クイック ガイド ver. 9.1 2010 年 6 月 1. はじめに この資料は Quartus II のタイミング解析エンジン TimeQuest の基本的な操作方法をご紹介しています TimeQuest は 独立したツールとして高性能なタイミング解析を行えるだけでなく Quartus II に対して TimeQuest の解析結果に基づいた配置配線を実行させることもできます

More information

untitled

untitled LeCroy Corporation 700 Chestnut Ridge Road Chestnut Ridge, NY, 10977 6499 Tel: (845) 578 6020, Fax: (845) 578 5985 Internet: www.lecroy.com 2010 by LeCroy Corporation. All rights reserved. LeCroy and other

More information

X Window System X X &

X Window System X X & 1 1 1.1 X Window System................................... 1 1.2 X......................................... 1 1.3 X &................................ 1 1.3.1 X.......................... 1 1.3.2 &....................................

More information

MOTIF XF 取扱説明書

MOTIF XF 取扱説明書 MUSIC PRODUCTION SYNTHESIZER JA 2 (7)-1 1/3 3 (7)-1 2/3 4 (7)-1 3/3 5 http://www.adobe.com/jp/products/reader/ 6 NOTE http://japan.steinberg.net/ http://japan.steinberg.net/ 7 8 9 A-1 B-1 C0 D0 E0 F0 G0

More information

DL1720/DL1740ディジタルオシロスコープユーザーズマニュアル

DL1720/DL1740ディジタルオシロスコープユーザーズマニュアル DL1720/DL1740 IM 701710-01 http://www.yokogawa.co.jp/measurement/ 0120-137046 tmi-cs@csv.yokogawa.co.jp FAX 0422-52-6624 Disk No. DL30 2nd Edition : July 2001 (YK) All Rights Reserved, Copyright 2001 Yokogawa

More information

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ CPLD ISP ISP この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください AN-630-1.0 アプリケーション ノート このアプリケーションノートでは MAX II および MAX V デバイスにおけるリアルタイム ISP(In-System Programmability)

More information

ModelSim - アルテラ・シミュレーション・ライブラリ作成および登録方法

ModelSim - アルテラ・シミュレーション・ライブラリ作成および登録方法 ALTIMA Corp. ModelSim アルテラ シミュレーション ライブラリ作成および登録方法 ver.10 2013 年 3 月 Rev.1 ELSENA,Inc. 目次 1. はじめに... 3 2. 操作方法... 6 2-1. Quartus II におけるシミュレーション ライブラリの作成... 6 2-2. ライブラリの登録... 10 2-3. ライブラリの選択... 14 3.

More information

HARK Designer Documentation 0.5.0 HARK support team 2013 08 13 Contents 1 3 2 5 2.1.......................................... 5 2.2.............................................. 5 2.3 1: HARK Designer.................................

More information

1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll 14 5.1 Cyclone V GX FPGA... 14 5.2 FPGA ROM...

1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll 14 5.1 Cyclone V GX FPGA... 14 5.2 FPGA ROM... Mpression Beryll Board Revision 1.0 2014/2 2014/2 Mpression by Macnica Group http://www.m-pression.com 1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll

More information

TF Editor V3.5 ユーザーガイド

TF Editor V3.5 ユーザーガイド DIGITAL MIXING CONSOLE JA - 2 - TF Editor - 3 - TF Editor NOTE - 4 - TF Editor 1. 2. 3. 1. 2. 3. 4. - 5 - TF Editor NOTE NOTE - 6 - TF Editor NOTE - 7 - TF Editor 1 2 3 4 5 6 7 8 9 0 1 2-8 - TF Editor

More information

2.5. Verilog 19 Z= X + Y - Z A+B LD ADD SUB ST (X<<1)+(Y<<1) X 1 2 LD SL ST 2 10

2.5. Verilog 19 Z= X + Y - Z A+B LD ADD SUB ST (X<<1)+(Y<<1) X 1 2 LD SL ST 2 10 2.5. Verilog 19 Z= X + Y - Z A+B LD 0 0001 0000 ADD 1 0110 0001 SUB 2 0111 0010 ST 2 1000 0010 (X

More information

AJACS18_ ppt

AJACS18_ ppt 1, 1, 1, 1, 1, 1,2, 1,2, 1 1 DDBJ 2 AJACS3 2010 6 414:20-15:20 2231 DDBJ DDBJ DDBJ DDBJ NCBI (GenBank) DDBJ EBI (EMBL-Bank) GEO DDBJ Omics ARchive(DOR) ArrayExpress DTA (DDBJ Trace Archive) DRA (DDBJ

More information

Avalon Memory-Mappedブリッジ

Avalon Memory-Mappedブリッジ 11. Avalon emory-apped QII54020-8.0.0 Avalon emory-apped Avalon- OPC Builder Avalon- OPC Builder Avalon- OPC Builder Avalon-11 9 Avalon- Avalon- 11 12 Avalon- 11 19 OPC Builder Avalon emory-apped Design

More information

0527-PanMark

0527-PanMark Windows Pan-Mark Pan-Mark for Windows User s Guide Version 2.5 Copyright Panduit Corp. 1998, All Rights Reserved COPYRIGHT NOTICE Copyright 1998 Panduit Corp. All rights reserved. No part of this publication

More information

TM-m30 詳細取扱説明書

TM-m30 詳細取扱説明書 M00094101 Rev. B Seiko Epson Corporation 2015-2016. All rights reserved. 2 3 4 5 6 7 8 Bluetooth 9 Bluetooth 10 1 11 Bluetooth 12 1 13 1 2 6 5 4 3 7 14 1 1 2 3 4 5 15 16 ONF 1 N O O N O N N N O F N N F

More information

TH-42PAS10 TH-37PAS10 TQBA0286

TH-42PAS10 TH-37PAS10 TQBA0286 TH-42PAS10 TH-37PAS10 TQBA0286 2 4 8 10 11 17 18 20 21 22 23 24 25 26 27 28 29 30 31 32 33 38 42 44 46 50 51 52 53 54 3 4 5 6 7 8 3 4 1 2 9 5 6 1 4 2 3 5 6 10 11 1 2 3 4 12 13 14 TH-42PAS10 TH-42PAS10

More information

Step 1 Feature Extraction Featuer Extraction Feature Extraction Featuer Extraction Image Analysis Start>Programs>Agilent-Life Sciences>Feature Extract

Step 1 Feature Extraction Featuer Extraction Feature Extraction Featuer Extraction Image Analysis Start>Programs>Agilent-Life Sciences>Feature Extract Agilent G2565AA Feature Extraction Step 1 Feature Extraction Step 2 Step 3 Step 4 ( ) Step 5 ( ) Step 6 Step 7 Step 8 Feature Extraction Step 9 Step 10 Feature Extraction Step 11 Feature Extraction Step

More information

IEEE (JTAG) Boundary-Scan Testing for Stratix II & Stratix II GX Devices

IEEE (JTAG) Boundary-Scan Testing for Stratix II & Stratix II GX Devices 4. Stratix II Stratix II GX IEEE 49. (JTAG) SII529-3. PCB PCB Bed-of-nails PCB 98 Joint Test Action Group (JTAG) IEEE Std. 49. (BST) PCB BST 4-4-. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin

More information

NL-20取扱説明書_操作編

NL-20取扱説明書_操作編 MIC / Preamp A C AMP 1 AMP 2 AMP 3 FLAT FLAT CAL.SIG. OVER LOAD DET. AMP 4 AMP 5 A/D D/A CONV. V ref. AMP 8 AMP 10 DC OUT AC OUT AC DC OUT DATA BUS CPU ADDRESS BUS DSP Start Pause Stop Store Mode Cont

More information

VSamp Version: Hiroaki Koyama 1

VSamp Version: Hiroaki Koyama 1 VSamp Version:3.2.6 http://www.kagi.com/smaug/vsamp Hiroaki Koyama 1 3 4 VSamp 4 VSampVST 4 5 5 MIDI 5 MacOS X 5 MacOS 7 8 9 5 6 6 7 7 7 MIDI 7 VST 8 8 8 MIDI 8 VSamp 9 9 9 Amplitude 11 Filter 12 Tuning

More information

帯域を測ってみよう (適応型QoS/QoS連携/帯域検出機能)

帯域を測ってみよう (適応型QoS/QoS連携/帯域検出機能) RTX1100 client server network service ( ) RTX3000 ( ) RTX1500 2 Sound Network Division, YAMAHA 3 Sound Network Division, YAMAHA 172.16.1.100/24 172.16.2.100/24 LAN2 LAN3 RTX1500 RTX1100 client 172.16.1.1/24

More information

ECP2/ECP2M ユーザーズガイド

ECP2/ECP2M ユーザーズガイド Lattice MachXO Lattice Lattice MachXO_design_guide_rev2.2.ppt Page: 2 1. MachXO 1-1. 1-2. PLL 1-3. JTAG 1-4. 2. MachXO I/O Bank I/O 2-1. I/O BANK 2-2. I/O I/F 2-3. I/F 2-4 I/F 2-5. 2-6. LVDS I/F 2-7. I/F

More information

2

2 L C -60W 7 2 3 4 5 6 7 8 9 0 2 3 OIL CLINIC BAR 4 5 6 7 8 9 2 3 20 2 2 XXXX 2 2 22 23 2 3 4 5 2 2 24 2 2 25 2 3 26 2 3 6 0 2 3 4 5 6 7 8 9 2 3 0 2 02 4 04 6 06 8 08 5 05 2 3 4 27 2 3 4 28 2 3 4 5 2 2

More information

CDR1000_J

CDR1000_J PROFESSIONAL AUDIO CD ORDER PROFESSIONAL AUDIO CD ORDER OPEN/ CLOSE PEAK HOLD TIME DISPLAY INPUT SELECT UTILITY LEVEL POWER MUTE UV22 REPEAT A-B SYNC AUTO INDEX INC TRACK INC 0 10 L R PHONES LEVEL ON /

More information

PANARAY System Digital Controller STANDBY INPUT METER TPM L -db 40 24 18 12 6 0 O R Stereo Bank 802 PRESET UTILITY LIMITER DELAY PANARAY SYSTEM DIGITAL CONTROLLER CH1/MONO INPUTS CH2 OUTPUTS CH1 CH2

More information