計数工学実験/システム情報工学実験第一 「ディジタル回路の基礎」

Size: px
Start display at page:

Download "計数工学実験/システム情報工学実験第一 「ディジタル回路の基礎」"

Transcription

1 計数工学実験 / システム情報工学実験第一 ディジタル回路の基礎 ( 全 3 回 ) システム 8 研 三輪忍

2 参考資料 五島正裕 : ディジタル回路 ( 科目コード ) 講義資料 ( ググれば出てくる ) 高木直史 : 論理回路, 昭晃堂 Altera: Cyclone II FPGA スターター開発ボードリファレンス マニュアル Altera: Introduction to Quartus II ( 日本語版バージョン 4.2)

3 実験の目的 最近の計算機, あるいは, 複雑な制御を行う回路のほとんどはディジタル回路 本実験ではディジタル回路の作成を通して, その特性と設計 / 実装技術を習得する

4 実験の流れ 第 1 回 : 実験の説明と導入実験 第 2 回 : 組み合せ回路と順序回路 第 3 回 : 回路の高速化 1 週間以内にレポート提出

5 レポートに関して レポートの内容 この資料に記載されている課題 1~8 を全て行い, その内容を報告すること 提出方法 PDF 化したものを で提出 宛 Cc に を含めること 提出の際は Subject を ディジタル回路レポート 学籍番号 : 氏名 とすること

6 実験課題一覧 導入 課題 1:HDL による記述, コンパイル,FPGA ボードの使い方 組み合わせ回路の実現 課題 2: 全加算器 課題 3:3bit 加算器 ( リップル キャリー方式 ) 課題 4:2bit 乗算器 入出力 課題 5: やや複雑な外部出力 (7 セグ LED への表示 ) 順序回路の実現 課題 6:3bit カウンタ 回路の高速化 課題 7:3bit 加算器 ( 桁上げ先見方式 ) 課題 8:3bit 加算器 ( リップル キャリー方式のパイプライン化 ) 第 1 回 第 2 回 第 3 回

7 目次 ディジタル回路の基礎 論理回路の基礎 Verilog-HDL の基礎 Quartus II の使い方 実験課題 付録 :DE1 ピン番号一覧

8 ディジタル回路の基礎

9 ディジタル回路とは ディジタル信号を扱う電子回路 ディジタル信号 :1 or 0( 電位の高低に相当 ) 論理回路 : ディジタル回路の数学的モデル なぜディジタルか? ノイズに強い 物理的な信号に多少ノイズが含まれていてもディジタル信号としては同じ 設計が簡単 電位 アナログ回路設計は職人芸だが, ディジタル回路は誰でも作れる 1 0 アナログでは致命的だがディジタル信号としてみれば問題ない ノイズ時間

10 ディジタル回路の例 身近な例 CPU メモリ 簡単な例 加算器 カウンタ x i y i CPU メモリ c i+1 c i 全加算器 s i

11 ディジタル回路設計の流れ システム設計 どんなシステムにするか? システムの仕様策定 機能設計 システムを機能ブロックに分割し, 各ブロックの仕様を策定 RTL 設計 各機能ブロックの RTL(Register Transfer Level) を HDL(Hardware Description Language) を用いて記述 RTL: データ (Register) とそれに対する処理を記述したもの 論理設計 RTL 記述を論理回路へと変換 物理設計 論理回路をデバイスへマッピング

12 この実験の対象 システム設計 機能設計 RTL 設計 論理設計 課題として与える 実際に自分達で行う部分 CAD(Computer Aided Design) が自動的に行う 物理設計

13 課題の例 全加算器を作成せよ. ただし, 回路の入力はトグル スイッチを用いて与え, 出力は LED に表示させるものとする.

14 全加算器の論理回路 こういうものを設計 真理値表 x i x i y i c i s i c i y i c i c i 回路図 s i

15 実際には 回路図そのものを書くわけではない 使用する CAD ツールによっては直接書くこともあるが, この実験では書かない 回路を HDL(Hardware Description Language) を用いて記述 module full_adder (xin, yin, cin, sout, cout); input xin, yin, cin; output sout, cout; assign sout = (xin ^ yin) ^ cin; assign cout = (xin & yin) ((xin ^ yin) & cin); endmodule 1bit 全加算器の Verilog-HDL コード

16 実験で使用するデバイス FPGA(Field Programmable Gate Array) 製造後に構成を変更できる LSI 大学等での実験や少品種で廉価なハードウェアを作る場合に用いられる 使用する開発ボード :Altera 社 DE1 FPGA:Cyclone II( ロジック エレメント :18,752) 入出力 : トグル スイッチ,LED,7 セグ LED 等 ホスト PC との接続 :USB

17 ( Cyclone II FPGA スターター開発ボードリファレンス マニュアル より )

18 開発ボードのブロック図 出力 出力 回路を構成するデバイス 入力 ( Cyclone II FPGA スターター開発ボードリファレンス マニュアル より ) 回路データの転送

19 開発ボードの使い方 1 HDL による回路設計 CAD ツール 2 記述したコードをコンパイルし, 回路の構成情報を生成 ホスト PC USB ポート 開発ボード 3 回路の構成情報を転送し FPGA にマッピング

20 論理回路の基礎

21 論理回路 ディジタル回路の数学的モデル 電位の高低を 1, 0 で表現 論理演算の組み合わせにより回路を記述

22 基本的な論理演算 AND x y NOT x 論理ゲート 論理ゲート z z 真理値表 x y z 真理値表 x z OR x y XOR x y 論理ゲート 論理ゲート z z 真理値表 x y z 真理値表 x y z

23 論理回路の種類 組み合わせ回路 状態を持たない回路 入力から出力が一意に決まる例 ) 加算器, 乗算器 順序回路 状態を有する回路 出力は入力の系列に依存例 ) カウンタ

24 全加算器 加数 (x i ), 被加数 (y i ), 下位桁からの桁上げ (c i ) から加算結果 (s i ) と上位桁への桁上げ (c i+1 ) を計算する回路 真理値表 x i y i c i 回路図 c i+1 s i x i y i c i s i c i

25 リップル キャリー加算器 複数ビットからなる加数と被加数の加算を行う回路 全加算器をビット数分並べることで実現 x 2 y 2 c 2 x 1 y 1 c 1 x 0 y 0 c 0 =0 全加算器全加算器全加算器 c 3 s 2 c 2 s 1 c 1 s 0 3bit リップル キャリー加算器

26 カウンタ クロック入力のたびに出力を 1 増やす回路 出力は入力と状態 ( 今のカウント値 ) に依存 ( 順序回路 ) フリップ フロップ : 状態を記憶する回路 クロックに同期して入力された値を保持 0x1 3 3bit 加算器 3 3 フリップ フロップ clock

27 ハードウェアの遅延とスループット ハードウェア性能を決める 2 大要素 遅延 出力結果がでるまでに要する時間 1 次近似的には最長パスのゲート数で表現 入力出力回路 ( 時刻 t) ( 時刻 t+α) スループット α: 遅延 単位時間あたりの処理量 大量の入力系列を連続で処理する場合に重要な指標 入力回路出力入力回路出力入力回路出力スループットは2 倍

28 リップル キャリー加算器の遅延 とても大きい (AND + OR + XOR) 2 桁数が多くなると深刻 x 2 y 2 c 2 x 1 y 1 c 1 x 0 y 0 c 0 =0 c 3 s 2 c 2 s 1 c 1 s 0 3bit リップル キャリー加算器

29 桁上げ先見加算器 桁上げの有無を別の回路で計算することにより高速化 求めた桁上げと並列に配置された全加算器を用いて全ビットを一斉に計算 x 2 y 2 x 1 y 1 x 0 y 0 c 0 =0 x 2 y 2 x 1 y 1 x 0 y 0 c 2 c 1 桁上げ先見器 全加算器全加算器全加算器 c 3 s 2 c 2 s 1 c 1 s 0 3bit 桁上げ先見加算器

30 パイプライン化 要するに流れ作業 回路規模をあまり増やすことなくスループットを向上 x 2 y 2 c 2 x 1 y 1 c 1 x 0 y 0 c 0 =0 全加算器 3 全加算器 2 全加算器 1 a+b c+d e+f c 3 s 2 c 2 s 1 c 1 s 0 フリップ フロップ パイプライン化された3bitリップル キャリー加算器 a+b, c+d, e+f を続けて行う場合

31 Verilog-HDL の基礎

32 Verilog-HDL とは HDL (Hardware Description Language) の一種 VHDL と並ぶ HDL の標準 HDL ディジタル回路を記述するための言語 複雑な回路の設計ともなると回路図を書くのが大変 RTL (Register Transfer Level) で簡潔に記述 文法は (C 言語などの ) プログラミング言語に近い 大きな違いは制御の流れ ( 各文は基本並列動作 )

33 Verilog-HDL の基本文法 module endmodule: モジュールを定義 input, output: モジュールの入力 / 出力端子 reg, wire: 内部配線がレジスタ or ただの配線 assign: 配線を接続 イベント式 ) begin end: イベント式のイベントが発生した時に実行 if ( 条件式 ) begin end else begin end など

34 Verilog-HDL のコード例 1 全加算器のコード例 module full_adder (xin, yin, cin, sout, cout); input xin, yin, cin; output sout, cout; assign sout = (xin ^ yin) ^ cin; assign cout = (xin & yin) ((xin ^ yin) & cin); endmodule モジュールの宣言入出力を定義 所望の出力となるように配線を接続 2 つの assign 文は並列に評価される 2 つの出力を並列計算する回路になる

35 Verilog-HDL のコード例 2 カウンタのコード例 module counter (clock, reset, count); input clock, reset; output reg[0:2] count; clock or posedge reset) begin if (reset) begin count <= 3 b000; end else begin count <= count + 3 b001; end end endmodule 出力はレジスタ ( フリップ フロップに相当 ) リセット時はレジスタに 0 をセット レジスタをインクリメント クロック信号 or リセット信号の立ち上がりで動作

36 Quartus II の使い方

37 Quartus II Altera 社が提供している CAD ツール VHDL / Verilog-HDL による記述, コンパイル, シミュレーション機能を提供

38 Quartus II デザイン フロー 1HDL により回路を記述 2HDL を論理回路に変換 3 生成した回路に対し入出力ピンを割り当て 4 設計した回路が正しく動作するかを機能 / タイミング シミュレーションにより確認 ( この実験では行わない ) 5 回路を FPGA にマッピング ( Introduction to Quartus II より )

39 新規プロジェクトの開始 (1/3) マイドキュメント の下に 学籍番号 のディレクトリを作り, その中に Task# (# は課題番号 ) というディレクトリを作っておく. スタート メニューから Quartus II を起動 Create a New Project を選択

40 新規プロジェクトの開始 (2/3) プロジェクト ディレクトリとして先ほど作成したディレクトリを選択する. プロジェクト名は Task# としておく. Add Files は何も追加せずに Next

41 新規プロジェクトの開始 (3/3) Device family で Cyclone II, Available devices は EP2C20F484C7 を選択 Simulation に ModelSim- Altera, Format に Verilog HDL を選択 最後に確認画面が表示されたら Finish

42 & 新規デザインの開始 File -> New を選択 起動したウィンドウの中から Design Files -> Verilog HDL File を選択して OK をクリック 右の画面のようにテキスト エディタが開き, 回路を記述できるようになる 終わったら File -> Save All. z=x&y; テキスト エディタ

43 論理合成 (1/2) Assignments -> Settings を選択. 起動したウィンドウの General の項の Top-level entity を作成したモジュール名に変更 processing -> start compilation あるいは右上の赤で囲んだボタンをクリック

44 しばらく待って右下の画面が表示されればコンパイル完了 論理合成 (2/2) コンパイルに失敗した場合は下のウインドウにエラーの詳細が表示されるのでそれを元にデバッグを行う

45 コンパイルに成功すると設計した回路の情報を見ることができる 回路規模 ( 論理エレメント数とレジスタ数 ) 回路遅延 コンパイル後に表示される中央のウインドウの TimeQuest Timing Analyzer -> Multicorner Datasheet Report Summary -> Progagation Delay をクリック 入出力ピン間の遅延を nsec 単位で表示 合成結果の詳細

46 配置配線 Assignments -> Pin Planner 作成した回路に入出力ピンを割り当て Location をダブル クリックするとドロップ ダウン リストが表示されるのでその中から選択 ( 詳細は付録を参照 ) 割り当てが完了したら再度コンパイル

47 コンフィギュレーション 開発ボードの電源を投入 Tools -> Programmer 右下のウインドウが立ち上がったら start を実行 デバイスを認識していないのであれば Hardware Setup の Currently selected hardware を USB-Blaster に変更 右上のプログレス バーが 100% になれば完了 ( ボード上の 7 セグ LED が一瞬消えた後に 8888 になるはず )

48 実験課題

49 実験課題一覧 ( 再掲 ) 導入 課題 1:HDL による記述, コンパイル,FPGA ボードの使い方 組み合わせ回路の実現 課題 2: 全加算器 課題 3:3bit 加算器 ( リップル キャリー方式 ) 課題 4:2bit 乗算器 入出力 課題 5: やや複雑な外部出力 (7 セグ LED への表示 ) 順序回路の実現 課題 6:3bit カウンタ 回路の高速化 課題 7:3bit 加算器 ( 桁上げ先見方式 ) 課題 8:3bit 加算器 ( リップル キャリー方式のパイプライン化 ) 第 1 回 第 2 回 第 3 回

50 課題 1:HDL による記述, コンパイル, FPGA ボードの使い方 2 入力 AND ゲート 1 個からなる回路を作成し, その回路に全通りの入力 ( すなわち 00, 01, 10, 11 の 4 通り ) を与えた時の出力を観測し, AND ゲートとして正しく動作していることを確認せよ. ただし,AND ゲートの入力は開発ボード上のトグル スイッチを用いて与えるものとし, 出力は同ボード上の LED に表示させるものとする.

51 課題 2: 全加算器 全加算器を作成せよ. また, 作成した回路に全通りの入力を与え, その時の出力を観測することにより, 作成した回路が正しく動作することを確認せよ. ただし, 回路の入力はトグル スイッチを用いて与え, 出力は LED に表示させるものとする.

52 課題 3:3bit リップル キャリー加算器 課題 2 で作成した全加算器 3 つを用いて 3bit リップル キャリー加算器を作成せよ. また, 作成した回路に 8 通りの適当な入力を与え, その時の出力を観測することにより, 作成した回路が正しく動作することを確認せよ. ただし, 回路の入力はトグル スイッチを用いて与え, 出力は LED に表示させるものとする.

53 課題 4:2bit 乗算器 2bit 乗算器を作成せよ. また, 作成した回路に全通りの入力を与え, その出力を観測することにより, 作成した回路が正しく動作することを確認せよ. ただし, 回路の入力はトグル スイッチを用いて与え, 出力は LED に表示させるものとする.

54 課題 5: やや複雑な外部出力 (7 セグ LED への表示 ) 課題 3 で作成した 3bit リップル キャリー加算器の出力を 7 セグ LED へ表示するように変更せよ. 出力が 0~7 となる入力の組み合わせに対して加算を行い, 結果が正しく表示されることを確認せよ.

55 課題 6:3bit カウンタ クロック入力ごとに 0->1->2-> -> 7 のように 1 ずつ値が増加するカウンタを作成し, その動作を確認せよ. ただし, クロック入力はプッシュ ボタンから与えるものとし, 出力は 7 セグ LED に表示させるものとする.

56 課題 7:3bit 桁上げ先見加算器 3bit 桁上げ先見加算器を作成し, その動作を確認せよ. また, 課題 3 で作成したリップル キャリー加算器と回路遅延および回路規模 ( 論理エレメント数 ) を比較し, 優劣を示せ. ただし, 入力はトグル スイッチで与え, 出力は 7 セグ LED に表示させるものとする. 合成結果によっては 3bit 程度では回路遅延が減らないこともあるので, その場合は, 遅延が減らなくとも, 結果をレポートに記載した上で, 最長パス上のゲートの種類とゲート段数を比較すればよい. ビット数が増えた種類と段数がどう変化するかの考察があるとなおよい.

57 課題 8:3bit リップル キャリー加算器 のパイプライン化 課題 3 で作成したリップル キャリー加算器を 3 ステージのパイプライン処理を行うように変更し, その動作を確認せよ. また, 作成した回路の単位時間あたりの演算量 (1 秒間に 3bit 加算が何回行えるか ) を求め, パイプライン化を行う前の演算量と比較せよ. ただし, 加数と被加数はトグル スイッチ, クロック入力はプッシュ ボタンにより与え, 出力は 7 セグ LED に表示させるものとする. ヒント : 単位時間あたりの演算量は,1 回の 3bit 加算に要する時間が分かれば求めることができる.1 回の 3bit 加算に要する時間は ( パイプライン化前の ) リップル キャリー加算器の場合は, 回路の遅延を測ればわかる. パイプライン化した場合については各自で考えること. 課題 7 と同様, 合成結果によっては 3bit 程度ではパイプライン化してもサイクルあたりの遅延は変わらないこともある. その場合は, パイプライン化前のリップル キャリー加算器の遅延が, パイプライン化によってサイクルあたり 1/3 になったと仮定し, パイプライン化後の回路の単位時間あたりの演算量を計算せよ.

58 付録 :DE1 ピン番号一覧

59 トグル スイッチ トグル スイッチは下がっている状態が Low, 上がっている状態が High ( Introduction to Quartus II より )

60 赤色 LED LED は出力が High の時にランプが点灯 ( Introduction to Quartus II より )

61 プッシュ ボタン プッシュ ボタンはデフォルト High 状態. 押下すると Low に下がり, 手を放すと High に戻る ( Introduction to Quartus II より )

62 7 セグ LED 7 セグ LED は出力が Low の時にランプが点灯 ( Introduction to Quartus II より )

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

VelilogHDL 回路を「言語」で記述する

VelilogHDL 回路を「言語」で記述する 2. ソースを書く 数値表現 数値表現形式 : ss'fnn...n ss は, 定数のビット幅を 10 進数で表します f は, 基数を表します b が 2 進,o が 8 進,d が 10 進,h が 16 進 nn...n は, 定数値を表します 各基数で許される値を書くこ Verilog ビット幅 基数 2 進表現 1'b0 1 2 進 0 4'b0100 4 2 進 0100 4'd4 4

More information

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用]

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用] 2007.11.12 集積回路工学 Matsuzawa Lab 1 集積回路工学 東京工業大学 大学院理工学研究科 電子物理工学専攻 2007.11.12 集積回路工学 Matsuzawa Lab 2 1. 1. ハードウェア記述言語 (VHDL で回路を設計 ) HDL 設計の手順や基本用語を学ぶ RTL とは? Register Transfer Level レジスタ間の転送関係を表現したレベル慣例的に以下のことを行う

More information

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな 第 回 VHDL 演習組み合せ論理回路 VHDL に関する演習を行う 今回は, 組み合せ論理回路の記述について学ぶ - 論理回路の VHDL 記述の基本 同時処理文を並べることで記述できる 部品の接続関係を記述 順番は関係ない process 文の内部では, 順次処理文を使う process 文 つで, つの同時処理文になる順次処理文は, 回路の動作を 逐次処理的 に ( 手続き処理型プログラム言語のように

More information

Quartus II クイック・スタートガイド

Quartus II クイック・スタートガイド ALTIMA Corp. Quartus II クイック スタートガイド ver.3.0 2010 年 8 月 ELSENA,Inc. 目次 1. はじめに... 3 2. Quartus II の基本操作フロー... 3 3. Quartus II の基本操作... 4 ステップ 1. プロジェクトの作成... 4 ステップ 2. デザインの作成... 4 ステップ 3. ファンクション シミュレーション...

More information

デジタル回路入門

デジタル回路入門 Open-It FPGA トレーニングコース ( 初級編 ) 第 9 版 2. 組み合わせ回路入門 2.2. 実習 Verilog-HDL 記述 2013 年 5 月 10 日修正 デジタル回路の構成要素 O=A&B; O=~I; INV O=A B; 全てのデジタル回路はこの 4 つの要素 ( 回路 ) のみで構成されている 4 要素の HDL 記述を知っていれば最低限の知識としては十分 2 HDL:

More information

Microsoft Word - 実験4_FPGA実験2_2015

Microsoft Word - 実験4_FPGA実験2_2015 FPGA の実験 Ⅱ 1. 目的 (1)FPGA を用いて組合せ回路や順序回路を設計する方法を理解する (2) スイッチや表示器の動作を理解し 入出力信号を正しく扱う 2. スケジュール項目 FPGAの実験 Ⅱ( その1) FPGAの実験 Ⅱ( その2) FPGAの実験 Ⅱ( その3) FPGAの実験 Ⅱ( その4) FPGAの実験 Ⅱ( その5) FPGAの実験 Ⅱ( その6) FPGAの実験 Ⅱ(

More information

Microsoft PowerPoint LC_15.ppt

Microsoft PowerPoint LC_15.ppt ( 第 15 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 言語を使った設計 (2) 2.1 HDL 設計入門 2.2 FPGA ボードの設計デモ配布資料 VHDL の言語構造と基本文法 2.1 HDL 設計入門 EDAツール : メンター社製品が有名 FPGAベンダーのSW 1 1 仕様設計 にも簡易機能あり 2 3 2 HDLコード記述 3 論理シミュレーション 4 4 論理合成

More information

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 算術論理演算ユニットの設計 ( 教科書 4.5 節 ) yi = fi (x, x2, x3,..., xm) (for i n) 基本的な組合せ論理回路 : インバータ,AND ゲート,OR ゲート, y n 組合せ論理回路 ( 復習 ) 組合せ論理回路 : 出力値が入力値のみの関数となっている論理回路. 論理関数 f: {, } m {, } n を実現.( フィードバック ループや記憶回路を含まない

More information

ModelSim-Altera - RTL シミュレーションの方法

ModelSim-Altera - RTL シミュレーションの方法 ALTIMA Corp. ModelSim-Altera RTL シミュレーションの方法 ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. 目次 1. 2. 3. はじめに...3 RTL シミュレーションの手順...4 RTL シミュレーションの実施...5 3-1. 3-2. 新規プロジェクトの作成... 5 ファイルの作成と登録... 7 3-2-1. 新規ファイルの作成...

More information

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ arduino プログラミング課題集 ( Ver.5.0 2017/06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイコンから伝える 外部装置の状態をマイコンで確認する 信号の授受は 入出力ポート 経由で行う (2) 入出力ポートとは?

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II はじめてガイド - プロジェクトの作成方法 - Quartus II はじめてガイド - プロジェクトの作成方法 ver. 9.0 2009 年 5 月 1. はじめに Quartus II はユーザ デザインをプロジェクトで管理します プロジェクトは デザインのコンパイルに必要なすべてのデザイン ファイル 設定ファイルおよびその他のファイルで構成されます そのため開発を始めるには まずプロジェクトを作成する必要があります この資料では Quartus

More information

Nios II ハードウェア・チュートリアル

Nios II ハードウェア・チュートリアル Nios II ver. 7.1 2007 8 1. Nios II FPGA Nios II Quaruts II 7.1 Nios II 7.1 Nios II Cyclone II count_binary 2. 2-1. http://www.altera.com/literature/lit-nio2.jsp 2-2. Nios II Quartus II FEATURE Nios II

More information

Quartus II クイック・スタート・ガイド

Quartus II クイック・スタート・ガイド ver.2.0 2010 年 1 月 1. はじめに 弊社では Quartus II をはじめて使用する方を対象に Quartus II はじめてガイド と題した簡易操作マニュアルを提供しています この資料では Quartus II の基本的な作業フローをご案内すると共に 各オペレーションではどの資料を参考にするのが適当かをご紹介しています 2. Quartus II の基本操作フロー 以下の図は

More information

論理設計の基礎

論理設計の基礎 . ( ) IC (Programmable Logic Device, PLD) VHDL 2. IC PLD 2.. PLD PLD PLD SIC PLD PLD CPLD(Complex PLD) FPG(Field Programmable Gate rray) 2.2. PLD PLD PLD I/O I/O : PLD D PLD Cp D / Q 3. VHDL 3.. HDL (Hardware

More information

! STEP 2. Quartus Prime のダウンロード WEB ブラウザで以下の URL を開きます 2 ページ中段の Quartus Prime 開発ソフトウェア ライト エディ

! STEP 2. Quartus Prime のダウンロード WEB ブラウザで以下の URL を開きます   2 ページ中段の Quartus Prime 開発ソフトウェア ライト エディ STEP 学習内容 パソコンに FPGA の開発環境を構築します インストールは以下の手順で行います. Quartus Prime とは 2. Quartus Prime のダウンロード. Quartus Prime のインストール. USB ドライバのインストール. Quartus Prime とは Quartus Prime は Intel の FPGA 統合開発環境です Quartus Prime

More information

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II はじめてガイド - プロジェクトの作成方法 ALTIMA Corp. Quartus II はじめてガイド プロジェクトの作成方法 ver.10.0 2010 年 7 月 ELSENA,Inc. Quartus II はじめてガイド プロジェクトの作成方法 目次 1. はじめに... 3 2. Quartus II の起動... 3 3. 操作手順... 4 4. 既存プロジェクトの起動... 10 5. プロジェクト作成後の変更...11

More information

TULを用いたVisual ScalerとTDCの開発

TULを用いたVisual ScalerとTDCの開発 TUL を用いた Visual Scaler と TDC の開発 2009/3/23 原子核物理 4 年 永尾翔 目次 目的と内容 開発環境 J-Lab におけるハイパー核分光 Visual Scaler TDC まとめ & 今後 目的と内容 目的 TUL, QuartusⅡ を用いて実験におけるトリガーを組めるようになる Digital Logic を組んでみる 内容 特徴 TUL,QuartusⅡ

More information

Microsoft PowerPoint - 7.Arithmetic.ppt

Microsoft PowerPoint - 7.Arithmetic.ppt 第 7 章デジタル演算回路 1 デジタル信号処理音声, 音楽, 通信信号 信号 = 符号付き 2 進データ 負の数値の表現方法 2 2 進数 n ビット n-1 =Σb i 2 i 0 2 の補数 +=2 n n-1 n-1 2 n =1+Σb i 2 i +Σb i 2 i 0 0 n-1 =2 n ー =1+Σb i 2 i 0 3 2 進数の補数 2 の補数 各桁のビットを反転した後で最下位に

More information

Microsoft Word - ALT0982_program_epcs_by_niosii_v10.doc

Microsoft Word - ALT0982_program_epcs_by_niosii_v10.doc ver. 1.0 2008 年 6 月 1. はじめに この資料では ホスト PC に存在する ハードウェアのコンフィギュレーション データ ファイルをホスト ファイルシステムの機能を使用して Nios II システム メモリへ転送し そのコンフィギュレーション データを Nios II を使って EPCS へプログラムする手法を紹介します この資料は Quartus II ver.7.2 SP3

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

HW-Slides-04.ppt

HW-Slides-04.ppt ハードウェア実験 組み込みシステム入門第 4 回 2012 年 10 月 11 日 IC TRAINER の導入 2 ブレッドボードとは何か! 手引き書 P8 半田付けせずに 簡単にリード線を差し込むだけで回路の動作を調べることができるボード! 部品挿入エリアでは ABCDE が縦に裏側で接続されている! 電源ラインでは 横に接続されている! 慣例として! 赤 : + 電源! 青 :- 電源または

More information

main.dvi

main.dvi CAD 2001 12 1 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape ref0177/html/index.html.,, View Encoding Japanese

More information

<91E63589F161>

<91E63589F161> ハードウェア実験 組み込みシステム入門第 5 回 2010 年 10 月 21 日 順序論理回路の実験 前回予告した今回の内容 次回も IC トレーナを使って 順序論理回路についての実験を行います 内部に 状態 を持つ場合の動作記述について 理解します 個々の IC を接続し SW 入力と LED の点灯表示とで論理回路としての動作を検証します それぞれの IC( 回路素子 ) ごとに真理値表を作成します

More information

Quartus II はじめてガイド - EDA ツールの設定方法

Quartus II はじめてガイド - EDA ツールの設定方法 ALTIMA Corp. Quartus II はじめてガイド EDA ツールの設定方法 ver.10.0 2010 年 12 月 ELSENA,Inc. Quartus II はじめてガイド EDA ツールの設定方法 目次 1. はじめに... 3 2. サポート環境... 3 3. 操作方法... 4 3-1. 論理合成ツールとのインタフェース設定... 4 3-2. シミュレータ ツールとのインタフェース設定...

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2016 年度 5 セメスター クラス C3 D1 D2 D3 計算機工学 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x

More information

VLD Kazutoshi Kobayashi

VLD Kazutoshi Kobayashi VLD Kazutoshi Kobayashi (kobayasi@kuee.kyoto-u.ac.jp) 2005 8 26-29 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape

More information

Nios II Flash Programmer ユーザ・ガイド

Nios II Flash Programmer ユーザ・ガイド ver. 8.0 2009 年 4 月 1. はじめに 本資料は Nios II 開発環境においてフラッシュメモリ または EPCS へのプログラミングを行う際の参考マニュアルです このマニュアルでは フラッシュメモリの書き込みの際に最低限必要となる情報を提供し さらに詳しい情報はアルテラ社資料 Nios II Flash Programmer User Guide( ファイル名 :ug_nios2_flash_programmer.pdf)

More information

Quartus II はじめてガイド - EDA ツールの設定方法

Quartus II はじめてガイド - EDA ツールの設定方法 ALTIMA Corp. Quartus II はじめてガイド EDA ツールの設定方法 ver.14 2015 年 4 月 Rev.1.1 ELSENA,Inc. Quartus II はじめてガイド EDA ツールの設定方法 目次 1. 2. 3. はじめに...3 サポート環境...4 操作方法...5 3-1. 3-2. 論理合成ツールとのインタフェース設定... 5 シミュレーション ツールとのインタフェース設定...

More information

回路 7 レジスタ ( 同期イネーブル及び非同期リセット付 ) 入力データを保持するのに用いる記憶素子 使用用途として, マイクロプロセッサ内部で演算や実行状態の保持に用いられる Fig4-2 のレジスタは, クロック信号の立ち上がり時かつ 信号が 1 のときに外部からの 1 ビットデータ R をレ

回路 7 レジスタ ( 同期イネーブル及び非同期リセット付 ) 入力データを保持するのに用いる記憶素子 使用用途として, マイクロプロセッサ内部で演算や実行状態の保持に用いられる Fig4-2 のレジスタは, クロック信号の立ち上がり時かつ 信号が 1 のときに外部からの 1 ビットデータ R をレ 第 4 回 VHDL 演習 2 プロセス文とステートマシン プロセス文を用いるステートマシンの記述について学ぶ 回路 6 バイナリカウンタ (Fig.4-1) バイナリカウンタを設計し, クロック信号に同期して動作する同期式回路の動作を学ぶ ⅰ) リスト 4-1 のコードを理解してから, コンパイル, ダウンロードする ⅱ) 実験基板上のディップスイッチを用いて, 発生するクロック周波数を 1Hz

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

LSI LSI

LSI LSI EDA EDA Electric Design Automation LSI LSI FPGA Field Programmable Gate Array 2 1 1 2 3 4 Verilog HDL FPGA 1 2 2 2 5 Verilog HDL EDA 2 10 BCD: Binary Coded Decimal 3 1 BCD 2 2 1 1 LSI 2 Verilog HDL 3 EDA

More information

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor VHDL を使った PLD 設計のすすめ PLD 利用のメリット 小型化 高集積化 回路の修正が容易 VHDL 設計のメリット 汎用の設計になる ( どこのデバイスにも搭載可能 ) 1/16 2001/7/13 大久保弘崇 http://www.aichi-pu.ac.jp/ist/~ohkubo/ 2/16 設計の再利用が促進 MIL 記号の D での設計との比較 Verilog-HDL などでも別に同じ

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2018 年度クラス C3 D1 D2 D3 情報科学基礎 I 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x n ), i

More information

Quartus Prime はじめてガイド - デバイス・プログラミングの方法

Quartus Prime はじめてガイド - デバイス・プログラミングの方法 ALTIMA Corp. Quartus Prime はじめてガイドデバイス プログラミングの方法 ver.15.1 2016 年 3 月 Rev.1 ELSENA,Inc. Quartus Prime はじめてガイド デバイス プログラミングの方法 目次 1. 2. 3. 4. はじめに...3 プログラミング方法...5 Auto Detect 機能...14 ISP CLAMP 機能...17

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

授業のあとで 情報処理工学 : 第 3 回 10 進数を 16 進数に変換する方法と 16 進数を 10 進数に変換する方法は 標準的な方法でも良いですか? 履修申告は済みましたか? 割り算 方法 ) 54 余り 6 16 ) 3 余り 3 ) 0 第 4 回へ 201

授業のあとで 情報処理工学 : 第 3 回 10 進数を 16 進数に変換する方法と 16 進数を 10 進数に変換する方法は 標準的な方法でも良いですか? 履修申告は済みましたか? 割り算 方法 ) 54 余り 6 16 ) 3 余り 3 ) 0 第 4 回へ 201 授業のあとで 情報処理工学 : 第 3 回 10 進数を 16 進数に変換する方法と 16 進数を 10 進数に変換する方法は 標準的な方法でも良いですか? 履修申告は済みましたか? 割り算 方法 54 10 36 16 16 ) 54 余り 6 16 ) 3 余り 3 ) 0 第 4 回へ 2013/10/30 2 授業のあとで (#2) したがって 54 10 36 16 ここまでの復習 2/10/16

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション マイコンプログラミング演習 I 第 04-05 回 LEDを用いたI/O 制御担当 : 植村 実験の目的 本実験ではマイコンシステムを用いた信号の入出力の制御方法を理解することを目的とし, マイコンのアーキテクチャを理解 実装するとともに, アセンブラによるプログラミング技術の習得を行う. 回路の構成として,PIC16F84A を用いてスイッチを入力とする LED の点灯 / 消灯の出力操作を行う回路ならびにアセンブラプログラムを実装する.

More information

電卓の設計 1

電卓の設計 1 電卓の設計 1 FPGA Express と MAXPLUS2 に よる FPGA 設計 FPGA EXPRESS RTL circuit.edf circuit.acf RTL MAXPLUS2 FPGA circuit.acf circuit.sof, ttf, pof SRAM 2 どうして電卓なの? その場で 10 キーを使って動かせる プロセッサだと プログラムを考えたり メモリとのインタフェースが必要

More information

ディジタル電子回路 設計演習課題

ディジタル電子回路 設計演習課題 Arch 研究室スキルアップ講座 NEXYS4 による 24 時間時計 仕様書および設計例 1 実験ボード (NEXYS4) 外観 ダウンロード (USB) ケーブル接続端子 FPGA:Xilinx 社製 Artix7 XC7A100T-CSG324 7 セグメント LED8 個 LED16 個 リセット SW スライドスイッチ (16 個 ) 押しボタンスイッチ (5 個 ) 2 実験ボードブロック図

More information

HW-Slides-05.ppt

HW-Slides-05.ppt ハードウェア実験 組み込みシステム入門第 5 回 2012 年 10 月 18 日 順序論理回路の実験 このスライドの ゲートの動作記述の部分は 藤井先生のスライドから多くをいただいています 藤井先生に慎んでお礼申し上げます 2 今日の内容! 以下の論理回路を動作させる 1. D フリップフロップ回路 2. 4 進カウンタ回路 ( 同期式 ) 3. 10 進カウンタ回路! シフトレジスタを作成して

More information

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE 差し替え版 第 7 回マイクロプロセッサの VHDL 記述 マイクロプロセッサ全体および主要な内部ユニットの,VHDL 記述の例を示す. 1)MPU(Micro Processor Uit) Module 1MPU のエンティティ記述とコントローラの例以下は, 簡単な MPU の VHDL 記述の例である ただし, アーキテクチャ部分は, 命令読み込みと実行の状態遷移のみを実現したステートマシンである

More information

Kazutoshi Kobayashi (kobayasi kit.ac.jp)

Kazutoshi Kobayashi (kobayasi kit.ac.jp) Kazutoshi Kobayashi (kobayasi kit.ac.jp) 2009 11 24-25 1 1 1.1.................................. 1 1.2,............................ 1 2 2 2.1 FPGA.................... 2 2.2 Verilog-HDL........................

More information

VLSI工学

VLSI工学 25/1/18 計算機論理設計 A.Matsuzawa 1 計算機論理設計 (A) (Computer Logic Design (A)) 東京工業大学大学院理工学研究科電子物理工学専攻 松澤昭 3. フリップフロップ回路とその応用 25/1/18 計算機論理設計 A.Matsuzawa 2 25/1/18 計算機論理設計 A.Matsuzawa 3 注意 この教科書では記憶回路を全てフリップフロップと説明している

More information

, FPGA Verilog-HDL

, FPGA Verilog-HDL Kazutoshi Kobayashi (kobayasi@kuee.kyoto-u.ac.jp) 2007 12 19-20 1 1 1.1...................................... 1 1.2,................................. 1 2 2 2.1 FPGA......................... 2 2.2 Verilog-HDL.............................

More information

「電子政府推奨暗号の実装」評価報告書

「電子政府推奨暗号の実装」評価報告書 2011 情財第 399 号 情報セキュリティ対策基盤整備事業 電子政府推奨暗号の実装 評価報告書 平成 24 年 12 月 [ 改訂履歴 ] 日付改訂内容 2012 年 12 月 11 日評価報告書初版発行 2012 年 12 月 21 日 2. 評価結果 内のデータを修正 ( 表 1-1 表 1-2 表 2-1 表 2-2 表 3-1 表 3-2 表 4-1 表 4-2 表 5-1 表 5-2

More information

三菱電機マイコン機器ソフトウエア株式会社

三菱電機マイコン機器ソフトウエア株式会社 MU500-RX サンプル回路仕様書 三菱電機マイコン機器ソフトウエア株式会社 2012-5-9 1 概要 1.1 目的本仕様書は MU500-RX と MU500-RK で実現する 1 秒カウンタの仕様について記述するものである マイコンで 1 秒を生成し 表示は 7 セグメント LED を用いる また 開始 / 停止は Push-SW を使う 1.2 関連文書 MU500-RX

More information

改訂履歴 改訂日付 改訂内容 2014/11/01 初版発行 2017/01/16 Studuino web サイトリニューアルに伴う改訂 2017/04/14 Studuino web サイトリニューアルに伴うアクセス方法の説明変更 2018/01/22 Mac 版インストール手順変更に伴う改訂

改訂履歴 改訂日付 改訂内容 2014/11/01 初版発行 2017/01/16 Studuino web サイトリニューアルに伴う改訂 2017/04/14 Studuino web サイトリニューアルに伴うアクセス方法の説明変更 2018/01/22 Mac 版インストール手順変更に伴う改訂 Arduino IDE 環境 設定手順書 Windows/Mac 用 2014/11/01 作成 2018/01/22 改訂 改訂履歴 改訂日付 改訂内容 2014/11/01 初版発行 2017/01/16 Studuino web サイトリニューアルに伴う改訂 2017/04/14 Studuino web サイトリニューアルに伴うアクセス方法の説明変更 2018/01/22 Mac 版インストール手順変更に伴う改訂

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル ALTIMA Corp. ver.14 2014 年 8 月 Rev.1 ELSENA,Inc. 目次 1. はじめに...3 1-1. フロー概要... 3 2. ハードウェア...4 2-1. 2-2. 2-3. 2-4. 2-5. ハードウェア プロジェクトの作成 ( Quartus II )... 4 コンフィギュレーション モードの設定... 5 Qsys にてシステムを構成し HDL を生成...

More information

PLDとFPGA

PLDとFPGA PLDFPGA 2002/12 PLDFPGA PLD:Programmable Logic Device FPGA:Field Programmable Gate Array Field: Gate Array: LSI MPGA:Mask Programmable Gate Array» FPGA:»» 2 FPGA FPGALSI FPGA FPGA Altera, Xilinx FPGA DVD

More information

Presentation Title

Presentation Title コード生成製品の普及と最新の技術動向 MathWorks Japan パイロットエンジニアリング部 東達也 2014 The MathWorks, Inc. 1 MBD 概要 MATLABおよびSimulinkを使用したモデルベース デザイン ( モデルベース開発 ) 紹介ビデオ 2 MBD による制御開発フローとコード生成製品の活用 制御設計の最適化で性能改善 設計図ですぐに挙動確認 MILS:

More information

WAGO PROFIBUS バスカプラ/コントローラと、QJ71PB92Dとのコンフィグレーションマニュアル

WAGO PROFIBUS バスカプラ/コントローラと、QJ71PB92Dとのコンフィグレーションマニュアル < 概要 > WAGO-I/O-SYSTEM750 シリーズ PROFIBUS バスカプラ / コントローラと 三菱電機 製 PROFIBUS ユニット QJ71PB92D とのコンフィグレーション手順を説明しております < 使用機器接続図 > 下記機器を準備し 図の通り接続しました WAGO-I/O-SYSTEM PROFIBUS バスカプラ / コントローラ 750-xxx および I/O モジュール

More information

ModelSim - アルテラ・シミュレーション・ライブラリ作成および登録方法

ModelSim - アルテラ・シミュレーション・ライブラリ作成および登録方法 ALTIMA Corp. ModelSim アルテラ シミュレーション ライブラリ作成および登録方法 ver.10 2013 年 3 月 Rev.1 ELSENA,Inc. 目次 1. はじめに... 3 2. 操作方法... 6 2-1. Quartus II におけるシミュレーション ライブラリの作成... 6 2-2. ライブラリの登録... 10 2-3. ライブラリの選択... 14 3.

More information

<4D F736F F F696E74202D C190DD B A CB48D65208E DC58F49205B8CDD8AB B83685D>

<4D F736F F F696E74202D C190DD B A CB48D65208E DC58F49205B8CDD8AB B83685D> 今さら聞けない高位合成 ~ 一から学ぶ高位合成 ~ シャープ株式会社電子デバイス事業本部副参事山田晃久 1 ハードウェア設計と抽象度 要求仕様 動作仕様設計制約 ( コスト 性能 消費電力 ) システムの実現方式を決定システム設計 ( 動作レベル設計 ) ( アーキテクチャ アルゴリズム ) システム分割 (HW/SW) 機能ブロック RTL 記述 機能設計 (RTL 設計 ) 論理合成 ハードウェアの処理を設計

More information

FPGAによる24時間時計回路

FPGAによる24時間時計回路 の設計 通信処理ネットワーク研究室 10ec062 志村貴大 1. まえがき今回 24 時間時計回路の設計を行った理由は FPGA を用いた論理回路設計の基礎を学ぶにあたり ハード及びソフト双方の基本技術を一度に習得できる題材であると推測したためである 24 時間時計を構成するモジュールの設計を終えた今 その推測は正しかったものと自負している 本レポートは 復習を兼ねた制作記録としてだけではなく 自分と同じ回路設計初心者が学習の参考にできるものにしたいと考えている

More information

1. はじめに 本書は スプリット演算器 MFS2 用コンフィギュレータソフトウェア の取扱方法 操作手順 注意事項などを説明したものです Windows の操作や用語を理解している方を前提にしています Windows の操作や用語については それぞれのマニュアルを参照してください 1.1. MFS

1. はじめに 本書は スプリット演算器 MFS2 用コンフィギュレータソフトウェア の取扱方法 操作手順 注意事項などを説明したものです Windows の操作や用語を理解している方を前提にしています Windows の操作や用語については それぞれのマニュアルを参照してください 1.1. MFS スプリット演算器 MFS2 用コンフィギュレータソフトウェア MFS2CFG バージョン 0.02 取扱説明書 1/10 NM-9307 改 2 1. はじめに 本書は スプリット演算器 MFS2 用コンフィギュレータソフトウェア の取扱方法 操作手順 注意事項などを説明したものです Windows の操作や用語を理解している方を前提にしています Windows の操作や用語については それぞれのマニュアルを参照してください

More information

Studuino ライブラリ環境設定Windows編

Studuino ライブラリ環境設定Windows編 Studuino ライブラリセット 環境設定手順書 Windows 編 本資料は Studuino ライブラリのセットアップ手順書になります 以下の作業の前に 本資料を参考に Arduino 言語開発環境を設定して下さい Arduino 言語で加速度センサーを制御する Studuino プログラミング環境で Arduino 言語に変換したソースを編集する もくじ 1. Arduino IDE のインストール...

More information

Studuinoライブラリ環境設定Mac編

Studuinoライブラリ環境設定Mac編 Studuino ライブラリセット 環境設定手順書 Mac 編 本資料は Studuino ライブラリのセットアップ手順書になります 以下の作業の前に 本資料を参考に Arduino 言語開発環境を設定して下さい Arduino 言語で加速度センサーを制御する Studuino プログラミング環境で Arduino 言語に変換したソースを編集する もくじ 1. Arduino IDE のインストール...

More information

(Microsoft Word - \214\264\215e B_\217\221\202\253\215\236\202\335\225\224.docx)

(Microsoft Word - \214\264\215e B_\217\221\202\253\215\236\202\335\225\224.docx) トランジスタ技術 2009 年 3 月号特集気軽にはじめる FPGA 第 5 章マルチチャネル信号発生器信号発生器の製作 ~はんだ付け不要ロジックの自在さを生かす~ ISE WebPACK を使って FPGA にソースを書き込むまでの手順 坂本三直 プロジェクトプロジェクトの新規生成 / 読み込み : CQ 出版社の HP より本スタータキット用のプロジェクトをダウンロードしてください. パソコン上にコピーできたら,Xilinx

More information

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法 ver. 8.1 2009 年 3 月 1. はじめに Nios II 開発ボードに実装されているメモリ用のコンポーネントは SOPC Builder の中にあらかじめ用意されています しかし 実際に基板を作成した場合には Nios II 開発ボードに実装されているメモリと同じ仕様の製品でない限り SOPC Builder であらかじめ用意されたメモリ用のコンポーネントを使用することはできません この場合

More information

オンチップ・メモリ クイック・ガイド for Cyclone III

オンチップ・メモリ クイック・ガイド for Cyclone III ver.9.1 2010 年 1 月 1. はじめに アルテラ社製 FPGA デバイスにおいてオンチップ メモリ (FPGA 内部で RAM や ROM などを構成 ) を実現するには Memory Compiler メガファンクションを使用します Memory Compiler メガファンクションは Cyclone シリーズ, Arria シリーズ, Stratix シリーズ, HardCopy

More information

インテル(R) Visual Fortran コンパイラ 10.0

インテル(R) Visual Fortran コンパイラ 10.0 インテル (R) Visual Fortran コンパイラー 10.0 日本語版スペシャル エディション 入門ガイド 目次 概要インテル (R) Visual Fortran コンパイラーの設定はじめに検証用ソースファイル適切なインストールの確認コンパイラーの起動 ( コマンドライン ) コンパイル ( 最適化オプションなし ) 実行 / プログラムの検証コンパイル ( 最適化オプションあり ) 実行

More information

Nios II SBT Flash Programmer ユーザ・ガイド

Nios II SBT Flash Programmer ユーザ・ガイド ALTIMA Corp. Nios II SBT Flash Programmer ユーザ ガイド ver.9.1 2010 年 12 月 ELSENA,Inc. 目次 1. はじめに... 3 2. 使用条件... 3 3. GUI 操作手順... 3 3-1. SOF ファイルをダウンロード... 4 3-1-1. Quartus II Programmer の起動... 4 3-1-2. SOF

More information

Quartus II はじめてガイド - デバイス・プログラミング方法

Quartus II はじめてガイド - デバイス・プログラミング方法 - Quartus II はじめてガイド - デバイス プログラミング方法 ver. 9.1 2010 年 1 月 1. はじめに この資料では Quartus II の Programmer の操作方法を紹介しています Programmer を使用し デバイスにプログラミング ( デバイスへの書き込み ) を行います アルテラのデバイスへデータを書き込むときには プログラミング ハードウェアを使用します

More information

Spectrum Setup 画面が現れます Install Tab の各項目に マウス カーソルを合わせると 項目の詳細説明 が表示されます 通常はデフォルトの選択で問題ありませんが LabVIEW Driver Matlab Driver が必要な場合は 選択します 6. Install sel

Spectrum Setup 画面が現れます Install Tab の各項目に マウス カーソルを合わせると 項目の詳細説明 が表示されます 通常はデフォルトの選択で問題ありませんが LabVIEW Driver Matlab Driver が必要な場合は 選択します 6. Install sel NETBOX_ 最初にお読み下さい.docx NETBOX をご評価 ご購入頂きありがとうございます 本ドキュメントは Windows 環境での NETBOX の設置 LAN 接続 ドライバ ソフトウエア (Control Center, SBench6) インストール 動作確認まで順を追って説明する簡易版になります 説明内容は Windows7 環境の画面表示をベースとしておりますが Windows10

More information

Maser - User Operation Manual

Maser - User Operation Manual Maser 3 Cell Innovation User Operation Manual 2013.4.1 1 目次 1. はじめに... 3 1.1. 推奨動作環境... 3 2. データの登録... 4 2.1. プロジェクトの作成... 4 2.2. Projectへのデータのアップロード... 8 2.2.1. HTTPSでのアップロード... 8 2.2.2. SFTPでのアップロード...

More information

DWR-S01D Updater 取扱説明書 発行日 :2011/2/28

DWR-S01D Updater 取扱説明書 発行日 :2011/2/28 DWR-S01D Updater 取扱説明書 発行日 :2011/2/28 目次 概要概要...3 機能機能...3 準備準備するものするもの...3 本ソフトウェアソフトウェアについてについて...3 インストールインストール手順手順...4 USB ドライバーのインストールインストール手順手順...8 デバイスマネージャーデバイスマネージャーからの USB ドライバーのインストールインストール手順手順...11

More information

Microsoft Word - DWR-S01D_Updater_取扱説明書_120514A.doc

Microsoft Word - DWR-S01D_Updater_取扱説明書_120514A.doc DWR-S01D Updater 取扱説明書 発行日 :2012/5/14 目次 概要...3 機能...3 準備するもの...3 本ソフトウェアについて...3 インストール手順...4 USBドライバーのインストール手順...8 デバイスマネージャーからのUSBドライバーのインストール手順...11 アップデート手順...16 アップデート後の確認...17 アップデートに失敗した場合...17

More information

AN1526 RX開発環境の使用方法(CS+、Renesas Flash Programmer)

AN1526 RX開発環境の使用方法(CS+、Renesas Flash Programmer) RX 開発環境の使用方法 (CS+ Renesas Flash Programmer) 第 2 版 2018 年 03 月 13 日 1. 概要 1.1 概要 本アプリケーションノートでは RX シリーズで使用する開発環境についての解説を行います 解説を行う開発環境は以下の 3 つです 1.RX ファミリ用 C/C++ コンパイラパッケージ 2.Renesas Flash Programmer(RFP)

More information

CR-USB 仕様書 株式会社測商技研 JS カード用データ転送用カードリーダー CR-USB 仕様書 取扱説明書 2012 年 07 月 31 日版 株式会社測商技研 1. 概要 本器は当社製自動観測装置で記録した JS カードデータ

CR-USB 仕様書 株式会社測商技研 JS カード用データ転送用カードリーダー CR-USB 仕様書 取扱説明書 2012 年 07 月 31 日版 株式会社測商技研   1. 概要 本器は当社製自動観測装置で記録した JS カードデータ JS カード用データ転送用カードリーダー 取扱説明書 2012 年 07 月 31 日版 http://www.sokusho-giken.co.jp/ 1. 概要 本器は当社製自動観測装置で記録した JS カードデータをパソコンへ転送することができます パソ コンとは USB 接続となっているので転送速度が速く バスパワー方式を採用しているので別途電源 を接続する必要がありません 小型軽量なため

More information

Microsoft Word - 19-d代 試é¨fi 解ç�fl.docx

Microsoft Word - 19-d代 試é¨fi 解ç�fl.docx 2019 年度ディジタル代数期末試験解答例 再評価試験は期末試験と同程度の難しさである. しっかり準備して受けるように. 1. アドレスが 4 バイトで表わされた画像処理専用プロセッサが幾つかのデータを吐き出して停まってしまった. そのデータの 1 つはレジスタ R0 の中身で,16 進表示すると (BD80) 16 であった. このデータに関して, 以下の問に対する回答を対応する箱内に書け. (1)

More information

Nios II 簡易シミュレーション

Nios II 簡易シミュレーション ver. 8.1 2009 年 3 月 1. はじめに この資料は 別資料である Nios II 簡易チュートリアル を終えた後 Nios II システムのデザインを ModelSim で RTL シミュレーションを行う場合の操作マニュアルです この資料では ModelSim-Altera を使用していますが ModelSim PE ModelSim SE でも同様にシミュレーションが可能です この資料においてのシミュレーション環境は

More information

(Microsoft PowerPoint - TINA_Creating_PCB.ppt [\214\335\212\267\203\202\201[\203h])

(Microsoft PowerPoint - TINA_Creating_PCB.ppt [\214\335\212\267\203\202\201[\203h]) TINA 操作チュートリアル プリント配線基板の (PCB) 作成 ilink アイリンク合同会社 231-0023 横浜市中区山下町 256 ヴィルヌーブ横浜関内 1F111 TEL:045-663-5940 FAX:045-663-5945 ilink_sales@ilink.co.jp http://www.ilink.co.jp 1 プリント配線基板の (PCB) 作成 フットプリントの確認と変更

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 3.2 スイッチングの方法 1 電源の回路図表記 電源ラインの記号 GND ラインの記号 シミュレーションしない場合は 省略してよい ポイント : 実際には V CC と GND 配線が必要だが 線を描かないですっきりした表記にする 複数の電源電圧を使用する回路もあるので 電源ラインには V CC などのラベルを付ける 2 LED のスイッチング回路 LED の明るさを MCU( マイコン ) で制御する回路

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 ADC A/D コンバータ ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ ADC の概要 ソフトウエア トリガ セレクト モード 連続変換モードのプログラム サンプル紹介 2 ADC の概要 3 ADC のブロック図 パワー オフが可能 入力 選択 記憶 比較 基準電圧 変換結果

More information

プログラミング基礎

プログラミング基礎 C プログラミング Ⅰ 授業ガイダンス C 言語の概要プログラム作成 実行方法 授業内容について 授業目的 C 言語によるプログラミングの基礎を学ぶこと 学習内容 C 言語の基礎的な文法 入出力, 変数, 演算, 条件分岐, 繰り返し, 配列,( 関数 ) C 言語による簡単な計算処理プログラムの開発 到達目標 C 言語の基礎的な文法を理解する 簡単な計算処理プログラムを作成できるようにする 授業ガイダンス

More information

ReTRY HUB

ReTRY HUB USB デバイス接続制御アダプター ReTRY HUB 型番 CT USB4HUB 設定ソフト Ver1.0 版 マニュアル http://www.centech.jp 2017/04/21 製品仕様 商品名 型番 ReTRY HUB CT USB4HUB サイズ 縦 75mm x 横 120mm x 高さ15mm( 突起部含まず ) 重量 約 230g( 本体のみ ) 消費電流 12V 30mA(

More information

Microsoft PowerPoint - 01_Vengineer.ppt

Microsoft PowerPoint - 01_Vengineer.ppt Software Driven Verification テストプログラムは C 言語で! SystemVerilog DPI-C を使えば こんなに便利に! 2011 年 9 月 30 日 コントローラ開発本部コントローラプラットフォーム第五開発部 宮下晴信 この資料で使用するシステム名 製品名等は一般にメーカーや 団体の登録商標などになっているものもあります なお この資料の中では トレードマーク

More information

? FPGA FPGA FPGA : : : ? ( ) (FFT) ( ) (Localization) ? : 0. 1 2 3 0. 4 5 6 7 3 8 6 1 5 4 9 2 0. 0 5 6 0 8 8 ( ) ? : LU Ax = b LU : Ax = 211 410 221 x 1 x 2 x 3 = 1 0 0 21 1 2 1 0 0 1 2 x = LUx = b 1 31

More information

Microsoft PowerPoint - FPGA

Microsoft PowerPoint - FPGA PLD と FPGA VLD 講習会 京都大学小林和淑 1 PLD FPGA って何 PLD: Programmable Logic Device プログラム可能な論理素子 FPGA: Field Programmable Gate Array 野外でプログラム可能な門の隊列? Field: 設計現場 Gate Array: 論理ゲートをアレイ上に敷き詰めたLSI MPGA: Mask Programmable

More information

Microsoft PowerPoint - 01-VerilogSetup-2019.pptx

Microsoft PowerPoint - 01-VerilogSetup-2019.pptx 2019 年 4 月 26 日ハードウエア設計論 :3 ハードウエアにおける設計表現 ハードウエア設計記述言語 VerilogHDL ~ 種々の記述 ~ ALU の実装とタイミングに関して always @(A or B or C) Ubuntu を起動し verilog が実行できる状態にしておいてください 79 演習 4: 簡単な演算器 1 入力 A:8 ビット 入力 B:8 ビット 出力 O:8

More information

1. ボードの複数台制御 コンフィグ ROM から FPGA が起動できる場合を想定しています FPGA 回路には 特定のレジスタアドレスにプリセットしたデータが必要です 製品出荷時のサンプル FPGA 回路では レジスタ No.3 を 8bit 幅に設定し FPGA 外部の 4bit ディップスイ

1. ボードの複数台制御 コンフィグ ROM から FPGA が起動できる場合を想定しています FPGA 回路には 特定のレジスタアドレスにプリセットしたデータが必要です 製品出荷時のサンプル FPGA 回路では レジスタ No.3 を 8bit 幅に設定し FPGA 外部の 4bit ディップスイ LabVIEW 用リファレンス制御アプリケーション RefAppLV の使い方 概要 LabVIEW 開発ツールで設計したリファレンス制御アプリケーションです LabVIEW を所有していないユー ザ環境でも インストーラを利用して RefAppLV.exe を利用することができます 機能 1. 複数台ボード制御 2. USB コンフィグ機能 3. レジスタアクセス機能 4. 拡張レジスタアクセス機能

More information

研究成果報告書

研究成果報告書 様式 C-19 科学研究費補助金研究成果報告書 平成 23 年 5 月 31 日現在 機関番号 :52601 研究種目 : 基盤研究 (C) 研究期間 :2008~2010 課題番号 :20500765 研究課題名 ( 和文 ) 工業高専におけるシステム LSI 設計技術教育システムの開発 研究課題名 ( 英文 ) HDL Logic Circuit Design Laboratory in Tokyo

More information

スライド 1

スライド 1 2018 年 6 月 20 日 ( 水 ) 弘前工業高等学校情報技術科見学会 模擬講義 (40 分 09:45~10:30 458 プログラミング室 ) PLD 演習システム体験 弘前大学理工学部電子情報工学科一條健司 サポート学生浅野 (4 年 ) 日下部 (4 年 ) 高室 (4 年 ) 佐藤 ( 修士 2 年 ) 増田 ( 修士 2 年 ) 三ケ田 ( 修士 2 年 ) 印の椅子に着席して下さい

More information

問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN

問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN 第 8 回中間試験前の演習 問.VHDL ソースコードを読む () 次の VHDL のソースコードが記述しているゲート回路の回路図を示せ. use IEEE.STD_LOGIC_64.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Logic is port ( A : in std_logic_vector(3

More information

Microsoft PowerPoint LC1_14_論理回路シミュレータ.ppt

Microsoft PowerPoint LC1_14_論理回路シミュレータ.ppt の期末試験 実施日 : 7/( 金 ) 限 @ 教室 ( 参照不可, 定規 OK) 成績評価 : 中間 5%, 期末 5%( 試験成績のみ ) ( 第 回 ) 特別講義 : 論理回路シミュレータ. 論理回路の基本 ( 復習 ). シミュレータ (Multiim). 回路シミュレータの概要. 設計実例 : H,F, 簡易電卓など 出題 : 前半 (~6 回 )/, 後半 (8 回 ~)/ 教科書 +(

More information

Microsoft PowerPoint - LogicCircuits09note.ppt [互換モード]

Microsoft PowerPoint - LogicCircuits09note.ppt [互換モード] 組み合わせ回路と順序回路 論理回路 第 9 回フリップフロップ http://www.info.kindai.ac.jp/lc 38 号館 4 階 N-4 内線 5459 takasi-i@info.kindai.ac.jp 組み合わせ回路 ある時刻の信号が 現在の信号だけで決まる回路 順序回路 ある時刻の信号が 現在の信号だけでなく 過去の信号の影響も受ける回路 ( 回路内にバッファ メモリがある

More information

スライド 1

スライド 1 FPGA/HDLを活用したソフトウェア並列処理の構築 goyoki @ 並列プログラミングカンファレンス 自己紹介 goyoki(hatena/twitter) 千里霧中 http://d.hatena.ne.jp/goyoki/ 組込みエンジニア Doxygen 日本語メンテナ 主にテスト関連コミュニティで情報発信 yomite.swtest xunit Test Patterns 読書会等 概要

More information

2.5. Verilog 19 Z= X + Y - Z A+B LD ADD SUB ST (X<<1)+(Y<<1) X 1 2 LD SL ST 2 10

2.5. Verilog 19 Z= X + Y - Z A+B LD ADD SUB ST (X<<1)+(Y<<1) X 1 2 LD SL ST 2 10 2.5. Verilog 19 Z= X + Y - Z A+B LD 0 0001 0000 ADD 1 0110 0001 SUB 2 0111 0010 ST 2 1000 0010 (X

More information

卒業論文 巡回冗長検査 CRC32 のハード / ソフト最適分割の検討 氏名 : 伊藤大喜学籍番号 : 指導教員 : 山崎勝弘教授提出日 : 2009 年 2 月 19 日 立命館大学理工学部電子情報デザイン学科

卒業論文 巡回冗長検査 CRC32 のハード / ソフト最適分割の検討 氏名 : 伊藤大喜学籍番号 : 指導教員 : 山崎勝弘教授提出日 : 2009 年 2 月 19 日 立命館大学理工学部電子情報デザイン学科 卒業論文 巡回冗長検査 CRC32 のハード / ソフト最適分割の検討 氏名 : 伊藤大喜学籍番号 : 2260050004-3 指導教員 : 山崎勝弘教授提出日 : 2009 年 2 月 19 日 立命館大学理工学部電子情報デザイン学科 内容概要本論文では LSI 設計の主流となっているハードウェア記述言語の Verilog-HDL を用いて CRC32 回路を設計することで Vreilog-HDL

More information

インテル® Stratix®10 デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール・ユーザーガイド

インテル®  Stratix®10 デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール・ユーザーガイド 更新情報 フィードバック 最新版をウェブからダウンロード : PDF HTML 目次 目次 1 インテル Stratix デバイスの LAB および の概要... 3 2 HyperFlex レジスター... 4...5 3.1 LAB... 5 3.1.1 MLAB... 6 3.1.2 ローカル インターコネクトおよびダイレクトリンク インターコネクト...6 3.1.3 キャリーチェーンのインターコネクト...

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M

Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル 413180100 19.4 システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M21/M22/M23/M24/M25 テクニカルマニュアル 413556900 21.4 システムリセットコントローラ

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

< B8CDD8AB B83685D>

< B8CDD8AB B83685D> () 坂井 修一 東京大学大学院情報理工学系研究科電子情報学専攻東京大学工学部電子情報工学科 / 電気電子工学科 はじめに アウトオブオーダ処理 工学部講義 はじめに 本講義の目的 の基本を学ぶ 場所 火曜日 8:40-0:0 工学部 号館 4 ホームページ ( ダウンロード可能 ) url: http://www.mtl.t.u-tokyo.ac.jp/~sakai/hard/ 教科書 坂井修一

More information

不可能への挑戦株式会社日昇テクノロジー低価格 高品質が不可能? 日昇テクノロジーなら可能にする Cyclone II EP2C5T144 ボード マニュアル 株式会社日昇テクノロジー /01/13 copyright

不可能への挑戦株式会社日昇テクノロジー低価格 高品質が不可能? 日昇テクノロジーなら可能にする Cyclone II EP2C5T144 ボード マニュアル 株式会社日昇テクノロジー /01/13 copyright Cyclone II EP2C5T144 ボード マニュアル 株式会社日昇テクノロジー http://www.csun.co.jp info@csun.co.jp 2012/01/13 copyright@2012 ホームページ :http://www.csun.co.jp メール :info@csun.co.jp 1 第一章 Cyclone II/EP2C5T144 ボードの概要...3 1.1

More information

Quartus II はじめてガイド - Convert Programming File の使い方

Quartus II はじめてガイド - Convert Programming File の使い方 ALTIMA Corp. Quartus II はじめてガイド Convert Programming File の使い方 ver.14 2015 年 1 月 Rev.1 ELSENA,Inc. Quartus II はじめてガイド Convert Programming File の使い方 目次 1. 2. はじめに...3 操作方法...3 2-1. 2-2. 2-3. Convert Programming

More information

ZVH_VIEWER

ZVH_VIEWER R&S FSH4View 操作手順書 Rev 1 ローデ シュワルツ ジャパン株式会社 1 ローデ シュワルツ ジャパン FSH4View 操作手順書 1 FSH4View 操作手順 1.FSH4Viewの起動 2.FSHとPCの接続 3.FSHメモリ内データの転送 4. 測定画像の操作 5. 測定データを数値データへ変換 6. クイック ネーミング機能の設定 2 ローデ シュワルツ ジャパン FSH4View

More information

Quartus II はじめてガイド - Device & Pin Options 設定方法

Quartus II はじめてガイド - Device & Pin Options 設定方法 - Quartus II はじめてガイド - Device & Pin Options 設定方法 ver.9.1 2010 年 5 月 1. はじめに この資料は Quartus II における Device & Pin Options の設定に関して説明しています Device & Pin Options ダイアログ ボックスでは 現在のプロジェクトで選択されているデバイスにおけるデバイス オプションとピン

More information