Untitled Document



Similar documents
ProVisionaire Control V3.0セットアップガイド

1 1.1 (JCPRG) 30 Nuclear Reaction Data File (NRDF) PC GSYS2.4 JCPRG GSYS2.4 Java Windows, Linux, Max OS X, FreeBSD GUI PNG, GIF, JPEG X Y GSYS2

Specview Specview Specview STSCI(Space Telescope SCience Institute) VO Specview Web page htt

0.2 Button TextBox: menu tab 2

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for

グラフ数値読み取りシステム (GSYS2.4) 利用の手引

New version (2.15.1) of Specview is now available Dismiss Windows Specview.bat set spv= Specview set jhome= JAVA (C:\Program Files\Java\jre<version>\

double float

course pptx

gnuplot.dvi

第7章 レンダリング

第7章 レンダリング

C

2


BRC-X1000

untitled

スライド タイトルなし

GNU Emacs GNU Emacs

GM-F520S/GM-F470S/GM-F420S

Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool


フリーセルプロの使い方

(Microsoft PowerPoint - VC16 \203`\203\205\201[\203g\203\212\203A\203\213.ppt)

13 Student Software TI-Nspire CX CAS TI Web TI-Nspire CX CAS Student Software ( ) 1 Student Software 37 Student Software Nspire Nspire Nspir


HA8000シリーズ ユーザーズガイド ~BIOS編~ HA8000/RS110/TS10 2013年6月~モデル

ZEMAX Nagata DLL Volume-CAD c Copyright by RIKEN All Rights Reserved : : ( )

超初心者用

X Window System X X &

LiveCode初心者開発入門サンプル

2008 DS T050049

ProVAL Recent Projects, ProVAL Online 3 Recent Projects ProVAL Online Show Online Content on the Start Page Page 13

HIS-CCBASEver2

Compatibility list: vTESTstudio/CANoe

ARA1351A_00cover_03

1

Nios II ハードウェア・チュートリアル

2

Microsoft Word - PIVマニュアル.doc

6 Tgif William Chia-Wei Chang tgif 3.0 pixmap URL Tgif 6.1: Tgif

BASICとVisual Basic

NL-20取扱説明書_操作編

HA8000-bdシリーズ RAID設定ガイド HA8000-bd/BD10X2

RT300i/RT140x/RT105i 取扱説明書

dTVIIman.PDF

Lab GPIO_35 GPIO

web06.dvi

RT300/140/105シリーズ 取扱説明書

untitled

f(x) x S (optimal solution) f(x ) (optimal value) f(x) (1) 3 GLPK glpsol -m -d -m glpsol -h -m -d -o -y --simplex ( ) --interior --min --max --check -

\\afs001-0m0005\project02\A32\M

Step 1 Feature Extraction Featuer Extraction Feature Extraction Featuer Extraction Image Analysis Start>Programs>Agilent-Life Sciences>Feature Extract

1 # include < stdio.h> 2 # include < string.h> 3 4 int main (){ 5 char str [222]; 6 scanf ("%s", str ); 7 int n= strlen ( str ); 8 for ( int i=n -2; i

NL-22/NL-32取扱説明書_操作編

スライド 1

1 VisBAR edu H 2 O.....

RHT-G15

LC304_manual.ai

設定手順

RX600 & RX200シリーズ アプリケーションノート RX用仮想EEPROM

リファレンス


10/ / /30 3. ( ) 11/ 6 4. UNIX + C socket 11/13 5. ( ) C 11/20 6. http, CGI Perl 11/27 7. ( ) Perl 12/ 4 8. Windows Winsock 12/11 9. JAV

DL1720/DL1740ディジタルオシロスコープユーザーズマニュアル

0527-PanMark

¥¤¥ó¥¿¡¼¥Í¥Ã¥È·×¬¤È¥Ç¡¼¥¿²òÀÏ Âè11²ó

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

Copyright c 2006 Zhenjiang Hu, All Right Reserved.

2

MCS-8M

jssst-ocaml.mgp

Technische Beschreibung P82R SMD

2.2 Sage I 11 factor Sage Sage exit quit 1 sage : exit 2 Exiting Sage ( CPU time 0m0.06s, Wall time 2m8.71 s). 2.2 Sage Python Sage 1. Sage.sage 2. sa

Express5800/340Hb-Rユーザーズガイド(セットアップ編)

2 A I / 58

: gettoken(1) module P = Printf exception End_of_system (* *) let _ISTREAM = ref stdin let ch = ref ( ) let read () = (let c =!ch in ch := inp

A-GAGE High - Resolution MINI ARRAY Instruction Manual Printed in Japan J20005M

HT-CT150

作業手順手引き

main.dvi

2

midicontrolsurfaces60_J.book

LSM5Pascal Ver 3.2 GFP 4D Image VisArt Carl Zeiss Co.,Ltd.

CM1-GTX

スライド 1

インターネット入門

TF Editor V4.0 ユーザーガイド

TH-42/47/55LF6J,TH-42/47/55LF60J

Copyright c 2008 Zhenjiang Hu, All Right Reserved.

netcdf

TM-m30 詳細取扱説明書

microSTATION Operation guide

For_Beginners_CAPL.indd

joho09.ppt

# let st1 = {name = "Taro Yamada"; id = };; val st1 : student = {name="taro Yamada"; id=123456} { 1 = 1 ;...; n = n } # let string_of_student {n

RHT-G900

BRADY Worldwide, Inc. BRADY BRADY BRADY BMP 51 BRADY Worldwide, Inc. Microsoft Windows Microsoft BRADY 2011 BRADY Worldwide, Inc. All Rights Reserved

42PHD60123_ p65

JavaScript 1.! DOM Ajax Shelley Powers,, JavaScript David Flanagan, JavaScript 2

Transcription:

AVS/Express 2000-11-15 A340-9203-03 Rev.A

1. 2. 3. 4. Copyright 2000 KGT Inc. All Right Reserved Printed in Japan AVS AVS/Express Developer AVS/Express Viz Advanced Visual System FLEXlm Globetrotter Software

ÁÂÃÄÅÆÇÈ ÉÁÂÃÄ

ÁÂÃÄÅÆÇÈ ÉÁÂÃÄ

ÁÂÃÄÅÆÇÈ ÉÁÂÃÄ

ÁÂÃÄÅÆÇÈ ÁÂÃÄ

ÁÂÃÄÅÆÇÈ ÁÂÃÄ

ÁÂÃÄÅÆÇÈ ÁÂÃÄ MACHINE pc(intel) alpha_nt sysedit

ÁÂÃÄÅÆÇÈ ÁÂÃÄ set MACHINE=pc

ÁÂÃÄÅÆÇÈ ÁÂÃÄ

ÁÂÃÄÅÆÇÈ!

"# "#$ V V V

"# "#$ V V

"# "#$

"# "#%&'()*+,-./0

"# "#%&'()*+,-./0

"# "#%&'()*+,-./0 Applications MultiWindowApp

"# "#%&'()*+,-./0 Readers Libraries Libraries Main, Accessories,...

"# "#%&'()*+,-./0 Root Libraries Templates Applications Main Standard Objecst STD MODS Data_IO Filters Readers Writers Filters int param1;

"# "#%&'()*+,-./0

"# "#%&'()*+,-./0

"# "#%&'()*+,-./0

"# "#%&'()*+,-./0

"# "#%&'()*+,-./0

"# "#%&'()*+,-./0

"# "#%&'()*+,-./0 Open

"# "#%&'()*+,-./0 link

"# "#%&'()*+,-./0

"# "#%&'()*+,-./0

"# "#%&'()*+,-./0

"# "#%&'()*+,-./0 Add Output Port) (Add Input Port) a = 1 c = 3

"# "#%&'()*+,-./0 input + input group

"# "#%&'()*+,-./0 float group

"# "#%&'()*+,-./0

"# "#%&'()*+,-./0 Library Workspaces avsenv lib bin v

"# "#%&'()*+,-./0

"# "#%&'()*+,-./0 Developer Viz

"# "#%&'()*+,-./0

"# "#%&'()*+,-./0

"# "#%&'()*+,-./0

"# "#%&'()*+,-./0

"# "#%&'()*+,-./0

"# "#%&'()*+,-./0

"# "#%&'()*+,-./0

"# "#%&'()*+,-./0

"# "#%&'()*+,-./0 --- Error detected in: module: DVwrite image --- Can t get nnodes -------------------------------------------------- --- Error detected in: module: DVwrite image --- Invalid field data --------------------------------------------------

"# "#%&'()*+,-./0

"# "#%&'()*+,-./0

"# "#%&'()*+,-./0

"# 123 4 5-678!

"# 123 4 5-678! global

"# 123 4 5-678! - grp_array[3]

"# 123 4 5-678! --- Error detected in: module: OM V --- error: can t find object named: Application --------------------------------------------------

"# 123 4 5-678!

"# 9:;-<=

"# 9:;-<=

"# 9:;-<=

"# >?,-:;- type attribute ref_mode object_name properties array_decl int +read ^ in_array <NEx=10, NEy=10> [10] { int a1 = 100; int a2 = 200; }; value_expr subobject_decls

"# >?,-:;-

"# >?,-:;- type +attribute ref_mode object_name properties array_decl C size

"# >?,-:;- subobject_decls value_expr {} {} ; 2.5.4 V 2-55

"# >?,-:;-

"# >?,-:;- ref_mode object_name +type +attributes delete_attrs + ) grp1 grp2 grp2 grp1 + x req notify delete_attrs - x notify VCP

"# >?,-:;- properties array_decl subobject_decls value_expr <> x NEx 100 C size {} {} ; x z 2.5.4 V 2-55 object_name

"# >?,-:;-

"# >?,-:;- C = 345; = 3.45; = 3.45el; = AVS ; \ < > array_obj[index] array_obj index AVS/Express 2-60 = < hi world >; = object1; => object1; = array_obj[0]; => array_obj[0]; => array_obj[int1+2]; = my_int * (a + b); => b <+> 3;

"# >?,-:;- V AVS/Express = abs(-90); Null NULL NULL NULL =; =>;

"# >?,-:;- int1 = 2; array1[] = {1,2}; array2[] = {3, int1+2}; array3[] = {array1,array2, {5,6}}; array_obj[start:end]... array_obj start end array_obj[index][] array_obj index [] int int1; int my_array[2] = int1+2; my_array my_array[2] = {int1+2, int1+2} my_array[2] => int1+2; int one_d_array[2]; int any_array[] = one_d_array; any_array => one_d_array; int a1[4][3] = {0,1,2,3,4,5,6,7,8,9,10,11}; int a2[3][2] = a1[0:2][1:2]; int a2[3][2] = {a1[0][1], a1[0][2], a1[1][1], a1[1][2], a1[2][1], a1[2][2]}; int a1[4][3] = {0,1,2,3,4,5,6,7,8,9,10,11}; int a2[] = a1[][0]; int a2[] = {a1[0][0], a1[1][0], a1[2][0], a1[3][0]}; int a3[] = a1[0]; int a3[] = {a1[0][0], a1[0][1], a1[0][2], a1[0][3]}

"# >?,-:;- V AVS/Express int a1[3] = {1,2,3}; int a2[] = a1*3; int a2[] = {a1[0]*3, a1[1]*3, a1[2]*3}; int a1[2] = {5,6}; int a2[2] = {3,4}; int a3[] = pow(a1, a2); int a3[] = {pow(a1[0], a2[0]), pow(a1[1], a2[1])}; int a3[] = {pow(5,3), pow(6,4)}; --- Error detected in: module: OMmatch_dims --- unable to match: 2D array with 2D array, [3] doesn t match [6] -------------------------------------------------- --- Error detected in: module: OMprim --- object: array_a has invalid value or type for assignment to: Root.Applications.DefaultApplication.array_B --------------------------------------------------

"# >?,-:;-

"# >?,-:;- + - * / % - -C -1*C + float int + float A=3.1; string B = A+234; B= 3.1234 & AND OR ^ exclusive OR ~ && AND OR ==!= < > <= >= integer Read-Write <+> Read-Write <*> Read-Write! Read-Write Read-Write +

"# >?,-:;-

"# >?,-:;-

"# 9@;A

"# 9@;A

"# 9@;A $print [object] $dprint [object] $save [object] $save_usr [object] $list [object] $count_objs [object] UIfield x,y Read_Geom $print Read_Geom $list

"# 9@;A $array_dims [array_object] $array_size [array_object] $int [object] $float [object] $double [object] $real [object] $str [object] $ptr [object] $get_array [array_obj] $get_str_array [array_obj] $get_str_array_val array_obj index $sub_array [array_obj] float float double double float 16 array_obj index index n n-1 a[10] 0 3 a[0] a[3]

"# 9@;A $get_data_type [object] $obj_path [object] $get_obj_att attribute [object] $get_obj_prop property [object] $user_template [object] $set_data_type new_type [object] $set_obj_name [object] new_name $move [object] new_parent_obj attribute property $link [object1] object2 $obj_pval [object] $obj_val [object] $obj_ref [object] $get_array_ref [object] $refcnt [object] $refs_to [object] object1 object2 a=>.b $obj_pval a.b Arr0 => Arr1 + Arr2 + 1

"# 9@;A $save_project [proj_dir] $save_project_as proj_dir $save_compiled_project [- option] [proj_dir] [object] Projects- >Save Project- >Save as -build: -no_inst: -ne: -into_exec: V -save_usr: Project->Save Compiled Project.. $cur_seq $obj_seq [object] $obj_seq_base [object] $obj_seq

"# 9@;A $obj_seq_ptrs [object] $obj_id [object] $obj_proc [object] $echo string $match [downstream_obj] upstream_obj $ematch [downstream_obj] upstream_obj $notify [object] $deps [methods_obj] $resolve [object] $set_trace ops_list ID ID ID upstream_obj downstream_obj 2.2.5 2-20 upstream_obj downstream_obj (exact match) 2.2.5 2-20 trace ops_list all destroy subobj set_val get_val notify invoke compile array

"# 9@;A $unset_trace ops_list $set_arr_trace ops_list $set_verbose ops_list $unset_verbose ops_list $valid [object] $timer_start $timer_get set_trace functions events ctx push/pop files lib flibrary paths lines V procs set_verbose $timer_start $pause $push [-exe_state] $pop $include v_file Enter $pop -exe_state -prog -usr -trn $print,$save,$save_usr $push V V #define symbol #undef symbol #ifdef symbol

"# 9@;A #ifdef symbol #else #endif V symbol #ifdef #define #ifdef $help [format] $open [object] $quit $local_quit $compile [object] format all V v_cmd v_cmd $help help summary V summary v_cmd v_cmd V +buffered V 2-27 () V $open V AVS/Express VCP VCP ProcTemplates proc.v Project->Compile

"# 9@;A $generate [object] $type [type] $sever_info $shell shell_cmd $set_file_env env_var $setenv ENV_VAR [value] $compile Makefile make AVS/Express $type prim OM_BOSS ID OM_BOSS_2 ID OM_ROOT_OBJ ID -client AVS/Express # setenv MY_FILE /tmp/foo.v # express OM(Root) -> $set_file_env MY_FILE OM(Root) -> $include $MY_FILE ENV_VAR value NULL

"# BCDCEÄ abs(num) acos(angle) add(addend1, addend2,...) num angle (arc cosine) (sumsum sum = addend1 + addend2 +... addend1, addend2...

"# BCDCEÄ asin(angle) atan(angle) cos(angle) cosh(angle) deviation(array) divide(dividend, div1, div2,...) exp(x) log(x) log10(x) modulo(num1, num2,...) multiply(factor1, factor2,...) pow(x, y) rand(range, seed) sin(angle) sinh(angle) sqrt(x) strcmp(str1, str2) strlen(str) sub(minuend, sub1, sub2,...) tan(angle) tanh(angle) angle (arc sine) angle (arc tangent) angle (cosine) angle (hyperbolic cosine) array (standard deviation) quotient quotient quotient = dividend / div1 / div2 /... e x e x e x 10 x modulo modulo modulo = num1 % num2 %... product product product = factor1 * factor2 *... x y x y range seed ID angle (sine) angle (hyperbolic sine) x x str1 str2 str diff diff diff = minuend - sub1 - sub2 -... angle (tangent) angle (hyperbolic tangent)

"# BCDCEÄ and(arg1, arg2,...) or(arg1, arg2,...) xor(arg1, arg2,...) AND C arg1 && arg2 &&... OR C arg1 arg2... XOR C ((arg1 ^ arg2) ^...) array_size(array) array_dims(array) array array int my_arry[2][3][4]; 3 array_dims(my_array) {2, 3, 4}

"# BCDCEÄ combine_array(arr1, arr2,..) concat_array(arr1, arr2,...) index_of(array) (n) : arr1[1][2][3], arr2[1][2][4] n-1 : [1][2] n-1 n arr1[l][m][x]; arr2[l][m][y]; result[l][m][x+y]; int arr1[1][2][3] = {1,2,3,4,5,6}; int arr2[1][2][4] = {11, 22, 33, 44, 55, 66, 77, 88}; int combine[1][2][7] = combine_array(arr1, arr2); combine[1][2][7] = {{{1,2,3,11,22,33,44},{4,5,6,55,66,77,88}}} combine_array combine[1][2][7] = {{{1,2,3,4,5,6,11},{22,33,44,55,66,77,88}}} (group) group array[5] { int index => index_of(array); }; index group

"# BCDCEÄ init_array(size, start, end) magnitude(array) start end size size float float[] => init_array(5, 1, 100); {1., 25.75, 50.5, 75.25, 100.} float array[5] = {1.,2.,3.,4.,5.}; float result => magnitude({1,2,3,4,5}); result = 7.416... = + + + + max_array(array, flag, null_val) (n ) n-1 float array[2][3] = {1,2,3, 1,2,3}; result[2] = {3.7416, 3.7416} NULL null_val NULL flag=1 null_val NULL flag=0 float array[2][3] = {1,2,3, 1,2,3}; result[3] = {1,2,3}

"# BCDCEÄ min_array(array, flag, null_val) prod(array1, array2,...) str_array(string_obj, delimiter) sum(array1,..., arrayn) sum_array(array) NULL null_val NULL flag=1 null_val NULL flag=0 string_obj delimiter string a = One;Two;Three ; string b[3] = str_array(a, ; ); b[3] = { One, Two, Three } array1[0]+array1[m]+... + arrayn[0] + arrayn[m] array sun() array[3] = {1, 2, 3 array[] = {array[0], array[0] + array[1], array[0] + array[1] + array[2]} = {1, 3, 6}

"# BCDCEÄ cache(expr) data_type_of(object) getenv(env_var_name) expr expr AVS/Express group create_data { float + write out[]; method_upd func = create_data ; }; float max => max_array(out); out max V AVS/Express max out max_array V float max => cache(max_array(out)); float object = 1.0; string type => data_type_of(object); type = float

"# BCDCEÄ is_valid(object) merge(grp1, grp2,...) grp1, grp2,... merge() grp1 grp2 merge() group a { int sub1 = 10, sub2 = 20; }; group b { int sub2 = 30, sub3 = 40; }; group &c => merge(a, b); name_of(object) num_subobjs(object) -> c { -> $print sub1 int sub1 = 10; -> $print sub2 int sub2 = 20; -> $print sub3 int sub3 = 40; -> VCP group grp1 { string str1 => name_of(<-); } str1 = grp1

"# BCDCEÄ str_format(printf_fmt) switch(index, arg1, arg2,...) C printf printf_fmt [string] %[field1].[prec1][conv1] %[field2].[prec2][conv2], [value1], [value2] [string] [field] [prec] [conv] [value] int :d, i, o, u, x, X real :e, E, f, g, G string :s pointer :p string str1 = str_format( print this : %5d, 3); str1 = print this: 3 string arr1[] => str_format( %10.3f, {1.2345, 2.3456, 3.4567}); arr1[0] = 1.234 arr1[1] = 2.345 arr1[2] = 3.456 index index=1 arg1 index=2 arg2 index NULL switch() VCP -> int i; -> switch x { -> int index => i; -> int val1 = 10; -> int val2 = 20; -> }; -> int result => x; i 1,2 result

F,G,H I;J,K4,> HLM - $ User Interface

F,G,H I;J,K4,> HLM - NOPQR %&'( )* +, -. /0

F,G,H I;J,K4,> HLM - NOPQR %&' ( )* +, -. /0 width, height 250

F,G,H I;J,K4,> HLM - NOPQR %&'( )* +, -. /0 Read-Write

F,G,H I;J,K4,> HLM - NOPQR %&' ( )* +, -. /0

F,G,H I;J,K4,> HLM - NOPQR %&'( )* +, -. /0 macro CalcSqrt Open MutiWindowApp

F,G,H I;J,K4,> HLM - NOPQR %&' ( )* +, -. /0 UImod_panel UImod_panel UImod_panel

F,G,H I;J,K4,> HLM - NOPQR %&'( )* +, -. /0

F,G,H I;J,K4,> HLM - NOPQR %&' ( )* +, -. /0 UIframe.width UIdial.width

F,G,H I;J,K4,> HLM - NOPQR %&'( )* +, -. /0

F,G,H I;J,K4,> HLM - NOPQR %&' ( )* +, -. /0 ObjMbar.v

F,G,H I;J,K4,> HLM - NOPQR %&'( )* +, -. /0 ObjButton1.v

F,G,H I;J,K4,> HLM - NOPQR %&' ( )* +, -. /0 UIbutton ObjButton2.v

F,G,H I;J,K4,> HLM - NOPQR %&'( )* +, -. /0 ObjButton3.v UIfield C UIshell#1 A UIbutton ObjButton4.v

F,G,H I;J,K4,> HLM - NOPQR %&' ( )* +, -. /0

F,G,H I;J,K4,> HLM - NOPQR %&'( )* +, -. /0

F,G,H I;J,K4,> HLM - NOPQR %&' ( )* +, -. /0

F,G,H I;J,K4,> HLM - NOPQR %&'( )* +, -. /0 UIpanel

F,G,H I;J,K4,> HLM - NOPQR %&' ( )* +, -. /0 set

F,G,H I;J,K4,> HLM - NOPQR %&'( )* +, -. /0

F,G,H I;J,K4,> HLM - NOPQR %&' ( )* +, -. /0 ObjNewSlice.v

F,G,H I;J,K4,> HLM - NOPQR ST UI UI UI UI UI UI UI

F,G,H I;J,K4,> HLM - NOPQR ST

F,G,H I;J,K4,> HLM - NOPQR ST

F,G,H I;J,K4,> HLM - NOPQR ST UIoption.set 0,1

F,G,H I;J,K4,> HLM - NOPQR ST

F,G,H I;J,K4,> HLM - NOPQR ST

F,G,H I;J,K4,> HLM - NOPQR ST

F,G,H I;J,K4,> HLM - NOPQR ST

F,G,H I;J,K4,> HLM - NOPQR ST

F,G,H I;J,K4,> HLM - NOPQR ST

F,G,H I;J,K4,> HLM - NOPQR ST

F,G,H I;J,K4,> HLM - NOPQR ST

F,G,H I;J,K4,> HLM - NOPQR ST

F,G,H I;J,K4,> HLM - NOPQR ST

F,G,H I;J,K4,> HLM - NOPQR UV

F,G,H I;J,K4,> HLM - /W. X,Y Z ; NOPQR

F,G,H I;J,K4,> HLM - /W.X,YZ ; NOPQR UI

F,G,H I;J,K4,> HLM - /W. X,Y Z ; NOPQR

F,G,H I;J,K4,> HLM - /W.X,YZ ; NOPQR Editors IUI

F,G,H I;J,K4,> HLM - I;J,K4,>[\ UIcmd UIcmdList Label &

F,G,H I;J,K4,> HLM - I;J,K4,>[\ UIcmd Message Windows *.x AVS *.bmp Windows UNIX *.x AVS *.xbm X

F,G,H I;J,K4,> HLM - I;J,K4,>[\ UIbutton.labelPixmap!"!# $ % &'() < >/data/image/avs.x avs_image UNIX filename MultiWindowApp tooltip

F,G,H I;J,K4,> HLM - I;J,K4,>[\!"!# $ % &'() - fontattributes family = times

F,G,H I;J,K4,> HLM - I;J,K4,>[\!"!# $ % &'() times

F,G,H I;J,K4,> HLM - I;J,K4,>[\

F,G,H I;J,K4,> HLM - I;J,K4,>[\

F,G,H I;J,K4,> HLM - I;J,K4,>[\ UIpixmapCursor UIframe

F,G,H I;J,K4,> HLM - @Y;]^_Ç

F,G,H I;J,K4,> HLM - @Y;]^_Ç

F,G,H I;J,K4,> HLM - `a#b

F,G,H I;J,K4,> HLM - `a#b ObjLabel1.v

F,G,H I;J,K4,> HLM - `a#b

F,G,H I;J,K4,> HLM - `a#b

F,G,H I;J,K4,> HLM - `a#b

c&d3 +,0 $

c&d3+,0 efghfihejkil!"!#$ % trigger input output output input on_inst 0

c&d3 +,0 efghfihejkil ObjCpyOnChg.v

c&d3+,0 khm!"!#$ % v_command V trigger mode 0 v_command push, pop push v_commnad pop 0 push,pop v_command = int v = 1; v = v+1; push int v = 1; pop push v = v+1; pop push, pop V

c&d3 +,0 khm!"!#$ % no_errors sync active on_inst *relative 0 V 0 V V 0 V 0 v_command 0 1 0 v_command V

c&d3+,0 khm

c&d3 +,0 nfkohmheqr!"!#$ % filename V V trigger *relative active 0 v_command 0 1 on_inst 0

c&d3+,0 nfkohmheqr

c&d3 +,0 QiRkie!"!#$ % Value active *Group active 0,1,2 0 Value 1 Value 0 1 1 0 2 Value AppInstance1.v

c&d3+,0 QiRkie AppInstance2.v

c&d3 +,0 QiRkie

c&d3+,0 nff!"!#$ % reset 1 count start_val 0 reset_back 1 count end_val 0 run 1 start_val end_val incr count 0 run_back 1 end_val start_val incr count 0 step 1 count incr 1 1 0 step_back 1 count incr 1 1 0

c&d3 +,0 nff!"!#$ % cycle 1 start_val end_val end_val start_val 2 start_val end_val start_val end_val run 0 cycle 0 done 1 0 start_val end_val incr count

c&d3+,0 nff

c&d3 +,0 RQp!"!#$ % TimeStep mmsec Val 1000 1 Active 1 1 Val Val ValStep ValStep TimeStep = 1000 ValStep = 1 Val = 1,2,3,... 1 ValStep = 2 1 Val = 2,4,6,...

c&d3+,0 RQp

c&d3 +,0 RQp ObjTimer2.v ObjTimer3.v

c&d3+,0 RQp

c&d3 +,0 QRhfe!"!#$ % do_exit 1 exit status exit 0 ObjExitP.v

c&d3+,0 QRhfe

c&d3 +,0 qrsfphoqr!"!#$ % xrot, yrot, zrot x,y,z xtrans, ytrans, ztrans x,y,z xcenter, ycenter, zcenter scale shell_viz absolute 1 absolute 0 xrot, xtrans 0 1 reset

c&d3+,0 qrsfphoqr!"!#$ % abs_xxx abs_ &xform xform

c&d3 +,0 qrqethfe!"!#$ % obj_in pick_id ID coord_id ID node_data_array_id ID vert_index cell_set_id ID conn_id ID conn_index cell_data_array_id ID cell_index point

c&d3+,0 qrqethfe!"!#$ % nnode_data values_array value

c&d3 +,0 qrqethfe

c&d3+,0 qrqethfe 0,0,0

c&d3 +,0 qrqethfe

c&d3+,0 qrqethfe AppPick4.v

c&d3 +,0 qrqethfe

c&d3+,0 ruqrej!"!#$ % &in[] index out ObjSwitch.v

c&d3 +,0 ruqrej AppSwitch.v

v+,w,xy $

v+,w,xy z{ã Transform GDxform_edit

v+,w,xy z{ã

v+,w,xy z{ã

v+,w,xy z{ã

v+,w,xy z{ã UI

v+,w,xy z{ã

v+,w,xy z{ã

v+,w,xy z{ã AppXform.v

v+,w,xy z{ã

v+,w,xy z{ã AppGroup.v

v+,w,xy z{ã

v+,w,xy @}> H I~; -

v+,w,xy @}> H I~; -

v+,w,xy @}> H I~; - Z

v+,w,xy @}> H I~; - *+,-./012- " 3 4 5 6 789&' :; [0][0] track_mode 1 2 Z 3 [0][1] 0 0 [0][2] -1-1 [1][0] Shift -1 Shift -1 [1][1] Shift 1 Shift 1 [1][2] Shift -1 Shift -1 [2][0] Ctrl -1 Ctrl -1

v+,w,xy @}> H I~; - *+,-./012- " 3 4 5 6 789&' :; [2][1] Ctrl 2 Ctrl 2 [2][2] Ctrl -1 Ctrl -1 [3][0] Alt -1 Alt -1 [3][1] Alt -1 Alt -1 [3][2] Alt -1 Alt -1

v+,w,xy @}> H I~; - -

v+,w,xy };A}H JI-0/I9;

v+,w,xy };A}H JI-0/I9;

v+,w,xy };A}H JI-0/I9;

v+,w,xy };A}H JI-0/I9;

v+,w,xy };A}H JI-0/I9;

v+,w,xy };A}H JI-0/I9;

v+,w,xy Y,;ÅÆÇÈ Scene

v+,w,xy Y,;ÅÆÇÈ "!#$ % Uviewer3D, Uviewer2D, Uviewer UIshell UIframe UI

v+,w,xy Y,;ÅÆÇÈ

v+,w,xy Y,;ÅÆÇÈ

v+,w,xy Y,;ÅÆÇÈ

v+,w,xy Y,;ÅÆÇÈ!"!#$ % input dmap props modes texture

v+,w,xy Y,;ÅÆÇÈ!"!#$ % altobj pickable

v+,w,xy Y,;ÅÆÇÈ Modes.mode[0] Modes.mode[1] Modes.mode[2] Modes.mode[3] Modes.mode[4] Modes.normals Modes.outline

v+,w,xy Y,;ÅÆÇÈ

v+,w,xy Y,;ÅÆÇÈ!"!# $ % < = > col[3] Type General Object Primary Color HSV RGB hi1_col[3] Type General Object Secondary Color HSV RGB hi2_col[3] Type General Object Tertiary Color HSV RGB material[4] Type Surface material[0] : Ambient material[1] : Diffuse material[2] : Specular material[3] : Gloss spec_col[3] Type Surface Metal RGB trans Type Surface Opacity draw_mode Type Point/Line Drawing Mode line_width Type Point/Line Line Thickness line_style Type Point/Line Line Style line_aa Type Point/Line Smooth lines dir_pt_size Type Point/Line Glyph Size subdiv Type Point/Line sub division

v+,w,xy Y,;ÅÆÇÈ!"!# $ % < = > jitter Type General Jitter Level cull Type Surface Culling Mode voxel_interp Type Volume Interpolation ray_algo Type Volume Ray Algorithm ray_norm Type Volume Distance Normalize fat_ray Type Volume Fat Ray sfp_absorb Type Volume SFP Absorption sfp_emit Type Volume SFP Emission Inherit Inherit

v+,w,xy Y,;ÅÆÇÈ

v+,w,xy Y,;ÅÆÇÈ!"!#$ % xform Top teapot 2 Top teapot Obj

v+,w,xy Y,;ÅÆÇÈ

v+,w,xy Y,;ÅÆÇÈ!"!#$ % < = tile Tile alpha Blending filter Interpolation type Type

v+,w,xy Y,;ÅÆÇÈ Alternate

v+,w,xy Y,;ÅÆÇÈ

v+,w,xy Y,;ÅÆÇÈ!"!# $ % < = > type Light General Type state Light General Light On col[3] Light General HSV RGB att[2] Light Attributes Attenuation 1, 2 concen Light Attributes Concentration angle Light Attributes Spread Angle

v+,w,xy Y,;ÅÆÇÈ -

v+,w,xy Y,;ÅÆÇÈ!"!# $ % < = > show_lights Light General Show Lights amb_state Light Ambient Light On amb_col[3] Light Ambient HSV RGB nlights lights DefaultLight

v+,w,xy Y,;ÅÆÇÈ

v+,w,xy Y,;ÅÆÇÈ!"!# $ % < = > type Camera General 3D 2D Camera Type auto_norm Camera General Auto Normalize norm_scale Camera General Normalize Scale extents Camera General Extents mapping Camera General Mapping image_pass Camera General Image Pass pickable Camera General Pickable from[3]/up[3]/at[3] Camera Tripod From, Up, At scale Camera Lens Global Scale perspec Camera Lens Perspective On hither Camera Clipping Planes Clipping Planes On front Camera Clipping Planes Front back Camera Clipping Planes Back fov Camera Lens Field Of View wsize Camera Lens Viewport Size

v+,w,xy Y,;ÅÆÇÈ!"!# $ % < = > depth_cue Camera Depth Cueing Depth Cueing On depth_front Camera Depth Cueing Front Depth Cue depth_back Camera Depth Cueing Back Depth Cue depth_scale Camera Depth Cueing Depth Cue Scale jitter_scale Camera General Jitter Scale

v+,w,xy Y,;ÅÆÇÈ

v+,w,xy Y,;ÅÆÇÈ!"!# $ % < = > renderer View General Renderer update 1 list_update 1 refresh View General Refresh 1 mode View Options Update Automatically (Manual) refresh 1 buffer View Options Buffer Mode accel View Options Accelerate clear 0 timer View General Timer pcache View Options Pcache XGL aspect View Options Aspect back_col[3] View General HSV RGB stereo View Stereo Stereo On SUN, SGI video View Stereo Full Screen On SGI stereo_distance View Stereo Distance

v+,w,xy Y,;ÅÆÇÈ!"!# $ % < = > stereo_angle View Stereo Separation Angle video_off light_info cameras blend_mode View Options Blend Mode ts_enable View True Scale Enable ts_scale View True Scale Map Scale ts_xorig View True Scale X Origin ts_yorig View True Scale Y Origin trigger 1 handle UIrenderView output_enable View Output Enable config_flags AVS/Express full_view_width full_view_height cur_light selected 5-55 5.6 5-56 frames View General Timer

v+,w,xy Y,;ÅÆÇÈ!"!# $ % < = > seconds View General Timer fps View General Timer

v+,w,xy Y,;ÅÆÇÈ

v+,w,xy Y,;ÅÆÇÈ!"!# $ % < = > type AVS/Express flip Flip clear Clear buffers.fb_type Frame Buffer Type buffers.zb_type Z Buffer Type buffers.framebuffer buffers.zbuffer Z output buffers.framebuffer

v+,w,xy Y,;ÅÆÇÈ

v+,w,xy Y,; Scene_Selector

v+,w,xy Y,;

v+,w,xy Y,;!"!#$ % current_view scene_mode 0:new_scene 1:share_scene 2,3 camera_mode 0:3D 3D 1:2D 2D 2:Both Uviewer do_create 1 do_destroy 1 destroy_active do_destroy 1 0

v+,w,xy Y,;

v+,w,xy,j H v+,w,%stƒ J, Scene_Editor

v+,w,xy,j H v+,w,%stƒ J, UI

v+,w,xy,j H v+,w,%stƒ J,

v+,w,xy,j H v+,w,%stƒ J,

v+,w,xy,j H v+,w,%stƒ J,

v+,w,xy,j H v+,w,%stƒ J,

v+,w,xy,j H v+,w,%stƒ J,

v+,w,xy ňƒ Š AppContSketch1.v

v+,w,xy ňƒ Š AppContSketch2.v

v+,w,xy ňƒ Š AppDrawCursor1.v

v+,w,xy ňƒ Š AppDrawCursor2.v AppDrawLine1.v AppDrawLine2.v

v+,w,xy ňƒ Š AppEditMesh.v

v+,w,xy ňƒ Š

v+,w,xy ňƒ Š AppV2Raster.v

v+,w,xy ňƒ Š

v+,w,xy *+,-./0 AppAppl1.v

v+,w,xy *+,-./0

v+,w,xy *+,-./0

v+,w,xy *+,-./0

v+,w,xy *+,-./0 AppAppl2.v

v+,w,xy *+,-./0 AppAppl3.v

v+,w,xy *+,-./0

v+,w,xy *+,-./0

v+,w,xy *+,-./0 -

v+,w,xy *+,-./0 AppProfile1.v

v+,w,xy *+,-./0 ContinuousSketch

v+,w,xy *+,-./0 ObjCreateXY.v macro createxy { float startx => <-.ContinuousSketch.cont_sketch.GDmap2d_ss.out_mesh.coordinates.values[0][0]; float starty => <-.ContinuousSketch.cont_sketch.GDmap2d_ss.out_mesh.coordinates.values[0][1]; float endx => <-.ContinuousSketch.cont_sketch.GDmap2d_ss.out_mesh.coordinates.values[1][0]; float endy => <-.ContinuousSketch.cont_sketch.GDmap2d_ss.out_mesh.coordinates.values[1][1]; float difx => (endx - startx) / 4; float dify => (endy - starty) / 4; float xy[5][2] => { {startx, starty}, {startx+difx, starty+dify}, {startx+difx*2, starty+dify*2}, {startx+difx*3, starty+dify*3}, {endx, endy} }; }; line_mesh V AppProfile2.v

v+,w,xy *+,-./0

v+,w,xy *+,-./0 ObjMinMax.v group minmax { int min[] => { ContinuousSketch.cont_sketch.GDmap2d_ss.out_mesh.coordinates.min_vec[0], ContinuousSketch.cont_sketch.GDmap2d_ss.out_mesh.coordinates.min_vec[1] }; int max[] => { ContinuousSketch.cont_sketch.GDmap2d_ss.out_mesh.coordinates.max_vec[0], ContinuousSketch.cont_sketch.GDmap2d_ss.out_mesh.coordinates.max_vec[1] }; };

v+,w,xy *+,-./0 V AppCrop1.v

v+,w,xy *+,-./0

Œ

Œ

Œ

Œ

Œ

Œ

Œ

Œ

Œ

Œ

Œ

AVS/Express 1999 1 1999 11 1 2000 11 3 1 Copyright 1999 2000 KGT Inc. 2-8-8 160-0022 Printed in Japan