Report Template

Size: px
Start display at page:

Download "Report Template"

Transcription

1 MachXO2 On-Chip Flash memory 補足説明資料 - TN1204/TN1205/TN1246 補足資料 - 1

2 目次 1 このドキュメントの概要 3 2 On-chip Flash memory アクセスの概要 On-Chip Flash memory の構成 On-chip Flash memory へのアクセス経路 ツール上の設定の注意事項 IPexpress での設定 Spreadsheet View での設定 CFM へのアクセス手順 CFM のプログラム CFM データの Read コンフィグデータのダウンロード UFM へのアクセス手順 UFM のプログラム UFM データの Read 各種 I/F からのアクセス波形 Wishbone バスからのアクセス波形 Wishbone バスから CFM/UFM へのアクセスルール 各種アクセス波形サンプル I2C からのアクセス波形 I2C から CFM/UFM へのアクセスルール I2C からのアクセス波形サンプル SPI からのアクセス波形 SPI から CFM/UFM へのアクセスルール SPI からのアクセス波形サンプル データの Read(CFM) EFB のシミュレーション テストベンチ作成時の注意点 I2C マクロの入出力信号 Wishbone バスへの入力データ Feature Row について 39 9 その他注意事項 改訂履歴 46 2

3 1 このドキュメントの概要 このドキュメントでは MachXO2 のプログラミングとコンフィグレーションについて記載された TN1204 及び内蔵されている Flash memory へのアクセス方法について記載された TN1205/TN1246 の両ドキュメントについて 補足情報を説明します 基本動作や オペランド等の詳細については 下記の TN1204/1205/TN1246 に掲載いたしておりますので 必ずご確認下さい TN1204 : MachXO2 プログラミングとコンフィグレーション使用ガイド TN1205 : MachXO2 ユーザフラッシュメモリとハードマクロ制御機能の使用方法 TN1246 : ( 日本語タイトル ) 3

4 2 On-chip Flash memory アクセスの概要 2.1 On-Chip Flash memory の構成 On-chip Flash memory は 大きく 3 つの領域に分かれています 1 つ目はコンフィグレーション用のデータを格納する領域 (Configuration Flash Memory 以下 CFM) 2 つ目はユーザが任意のデータを Program/Read するために使用できる領域 (User Flash Memory 以下 UFM) 3 つ目は特定用途 (I2C アドレスや Trace ID セキュリティ等 ) のために使用できる領域です (Feature Row 9 章 ) 各デバイスの CFM/UFM ページサイズについては TN1204/1205 を参照下さい CFM セクター 0 ( コンフィグレーション用フラッシュメモリ ) USERCODE セクター 1 UFM ( ユーザ用フラッシュメモリ ) フィーチャ行 図 2-1 On-chip Flash メモリの構成 2.2 On-chip Flash memory へのアクセス経路 MachXO2 には Embedded Functional Block( 以下 EFB) と呼ばれるハードマクロにて On-chip Flash memory を含む複数のハードマクロが搭載されており Wishbone バスで接続されています ( 図 2-2) したがって On-chip Flash memory へのアクセスも Wishbone バス経由で行います EFB 図 2-2 MachXO2 ハードマクロ間の接続 4

5 Wishbone バスには複数の I/F( ペリフェラル ) が接続されていますが On-Chip Flash memory にアクセスできるのは以下の 3 つのみです Secondary I2C からは On-Chip Flash memory にアクセスできません Primary I2C Slave-SPI Wishbone I/F( ユーザーロジックと Wishbone バス間の I/F) 各 I/F からのアクセス方法については 4 章以降で説明します 5

6 3 ツール上の設定の注意事項 On-chip Flash memory にアクセスするには ツール上での設定が必要になります ツール上の設定は 2 種類あり 1 つは Spreadsheet View による SPI/I2C ポートのアクティブ化 もう 1 つは IPexpress によるデザインへの EFB マクロのインスタンス です 必要な設定は アクセス先 (Flash memory 内の使用する領域 ) やアクセス元の種類によって決まります ( 表 3-1) なお EFB を明示的にインスタンスした場合 インスタンスにより有効化されたポート設定はグレーアウトし 自動的に Enable と表示され変更できません アクセス先 CFM UFM アクセス元 表 3-1 On-chip Flash memory アクセスとツールの設定 モード設定 (Spreadsheet View) EFB インスタンス (IPexpress) コメント SPI / I2C 必要不要コンフィグイメージの更新 WB 不要 必要 可能ですが推奨しません SPI / I2C 不要 必要 WB 不要必要 3.1 IPexpress での設定 UFM 領域にアクセスする場合 または Wishbone I/F から On-chip Flash memory にアクセスする場合は デザインに EFB モジュールを組み込む必要があります EFB はモジュール生成プログラム [IPexpress] を使用して生成します IPexpress は Lattice Diamond のツールバーのアイコンをクリックすると起動します 図 3-1 IPexpress の起動 6

7 IPexpress の左側のパネルから [EFB] を選択すると 右側のパネルへの入力がアクティブになります [File Name] 欄にファイル (= モジュール ) 名を入力し [Module Output] 欄で出力する HDL ソースのタイプ (VHDL or Verilog HDL) を選択します この 2 つを入力するとパネル右下の [Customize] ボタンがアクティブになるのでクリックすると EFB の設定ウインドウが起動します 起動した直後は [EFB Enables] タブだけがアクティブになっています まずこのタブで使用する I/F の選択を行います I/F 名とチェックボックスが表示されているので 使用する I/F のチェックボックスにチェックを入れます ( 図 3-2) UFM にアクセスする場合は 必ず [User Flash Memory] にチェックを入れてください つ以上の I/F にチェックが入っていると モジュールにその I/F と Wishbone I/F が追加されます I2C から On-chip Flash memory にアクセスする場合 かならず [Primary] を選択してください [Secondary] からは On-chip Flash memory にはアクセスできません 図 3-2 ハードマクロ選択タブ 7

8 [User Flash Memory] にチェックが入っていると [UFM] タブがアクティブになります このタブでは使用するページ数と UFM の初期値を設定できます デバイスが変わると使用できるページ数や最小ページアドレスも変わります 必ず Lattice Diamond で使用するデバイスを選択した状態で設定を行ってください また 使用するデバイスを変える場合は 必ず EFB を再生成してください 図 3-3 UFM の設定 ページ数は [Enter Number of Pages] 欄に入力します デフォルトは 1 ページです 使用するページ数を入力すると [Initialization Data Starts at Page] 欄にアクセス可能な先頭ページ番号が表示されます UFM へはページ番号を指定してアクセスするので この先頭ページ番号は記録しておいてください ページ数の次は UFM の初期値を設定します デフォルトでは [User Flash memory is Initialized With all 0s] にチェックが入っており 初期値は全て 0 になります 0 以外の初期値を設定したい場合は Upload User Flash Memory Initialization Data File] にチェックを入れ その下の欄で初期値を記述したファイルを指定します 8

9 3.2 Spreadsheet View での設定使用するのが CFM のみで かつアクセス元が I2C か SPI の場合 (Wishbone I/F を使用しない場合 ) は デザインに EFB を組み込むのではなくツール上で I2C または SPI をイネーブルにする設定を行います 設定は Spreadsheet View で行います Spreadsheet View は Lattice Diamond のツールバーからアイコンをクリックすると起動します Spreadsheet View は複数のタブから構成されています ポートのイネーブル設定は [Global Preference] タブにあります [SLAVE_SPI_PORT] が SPI ポートのイネーブル設定 [I2C_PORT] が I2C ポートのイネーブル設定です CFM へのアクセスに使用するポートを [ENABLE] に設定してください ( 図 3-4) 図 3-4 Spreadsheet View の設定 9

10 4 CFM へのアクセス手順 4.1 CFM のプログラム CFM にコンフィグデータをプログラムするには 図 4-1 の手順で行います 図 4-1 CFM データプログラム手順 各種 I/F と CFM 間のアクセス有効化 CFM へのアクセスを開始する際に必ず行います アクセスには ロジック部分の動作を強制的に停止させてアクセスを行うダイレクトモードと ロジックは動作させたままアクセスを行うトランスペアレントモードの 2 つがあり それぞれのモード用に有効化が用意されています 表 4-1 I/F の有効化 オペランド Write Data Read Data 備考 isc_enable 0xC6 0x08, 0x00, 0x ダイレクトモード lsc_enable_x 0x74 0x08, 0x00, 0x トランスペアレントモード 10

11 データの消去 On-Chip flash memory のデータを消去します Flash memory はその構造上 データの上書き が出来ないためプログラム前には必ずデータの消去を行う必要があります 表 4-2 Erase Flash オペランド Write Data Read Data 備考 erase_flash 0x0E 下記参照 消去対象をオペランドで選択 オペランド : 0000 ucfs ( バイナリ表記 3byte) u : UFM セクタを消去対象 (0 : 消去しない 1 : 消去する ) c : CFM セクタを消去対象 (0 : 消去しない 1 : 消去する ) f : Feature セクタを消去対象 (0 : 消去しない 1 : 消去する ) s : SRAM セクタを消去対象 注 ) (0 : 消去しない 1 : 消去する ) 消去対象はオペランド 1byte 目の下位 4bit で指定します 下記に例を示します デバイスをすべて消去する場合 下記例 1 を使用してください 例 1. command 0x0E + operand 0x0E, 0x00, 0x00 例 2. command 0x0E + operand 0x0C, 0x00, 0x00 例 3. command 0x0E + operand 0x04, 0x00, 0x00 例 4. command 0x0E + operand 0x08, 0x00, 0x00 例 5. command 0x0E + operand 0x01, 0x00, 0x00 UFM/CFM/Feature Row すべてを消去 UFM と CFG の両セクタ消去 CFG セクタのみ消去 UFM セクタのみ消去 SRAM セクタのみ消去 注 ) 通常 SRAM を選択して意図的に消去する必要はございません SRAM とその他の領域は 同時にイレース指定して消去できません また SRAM をイレース対象とする為には 表 4-1 の isc_enable でダイレクトモード (0xC6) を使用し 付随するオペランドには 0x08, 0x00, 0x00 ではなく 0x00, 0x00, 0x00 を指定する必要があります 11

12 消去完了の確認 消去入力からデータ消去の完了までは時間がかかります プログラムは消去完了後にしか行えないので プログラム入力前に消去の完了を確認する必要があります データの消去を確認するためには On-chip Flash memory 内の [busy フラグ ] を read して値をチェックします [busy フラグ ]=0 が消去完了を表し [busy フラグ ]=1 は まだ消去中であることを表します [busy フラグ ] を read するは 2 つあります 1 つは [busy フラグ ] のみを read する [check_busy] もう 1 つは [busy フラグ ] と一緒に内部の各種ステータスのフラグを read する [read_status] です 表 4-3 busy フラグ確認 オペランド Write Data Read Data 備考 check_busy 0xF0 0x00, 0x00, 0x bit MSB が busy フラグ read_status 0x3C 0x00, 0x00, 0x bit 上位データから出力される Read data[31:0] のビット [12] が busy フラグ なお データの消去は最大でも 5 秒で完了するため 消去入力から 5 秒後以降であれば 確認をスキップして次のを実行しても構いません アドレスの初期化 プログラム前にページアドレスの初期化を行います 表 4-4 アドレスの初期化 オペランド Write Data Read Data 備考 init_address 0x46 0x00, 0x00, 0x データのプログラム 1 ページ (16byte) 分のデータのプログラムを行います ページアドレスはプログラム実行時に自動的にインクリメントされます 表 4-5 ページプログラム & アドレスインクリメント オペランド Write Data Read Data 備考 prog_incr_nv 0x70 0x00, 0x00, 0x00 16byte -- ページアドレスは自動的に インクリメントされる 1 回の実行で 1 ページプログラムします 全てのデータをプログラムするには 必要なページ数分を繰り返す必要があります 12

13 プログラム完了の確認 データの消去と同じく プログラムも入力から完了まで時間がかかります このためデータの消去の場合と同様に 次のページプログラム実行前に [busy フラグ ] をチェックして処理状況を確認する必要があります 使用するも消去の確認と同じです ( 表 4-3) プログラム完了フラグの設定 全てのデータのプログラムが完了したら デバイスにそれを認識させるためのフラグを設定します 表 4-6 コンフィグデータプログラム完フラグ設定 オペランド prog_done 0x5E 0x00, 0x00, 0x Write Data Read Data 備考 各 I/F と CFM 間のアクセス無効化 プログラムが完了したら 各 I/F からの CFM へのアクセスを無効化します 有効化の場合と異なりは 1 つ ( モードに依存しない ) です 表 4-7 アクセス無効化 オペランド Write Data Read Data 備考 isc_disable 0x26 0x00, 0x バイパス 各 I/F と CFM 間のアクセス無効化後 続けて下記バイパスも必要です 表 4-8 バイパス オペランド bypass 0xFF 0xFF, 0xFF, 0xFF Write Data Read Data 備考 プログラム完了後に引き続きデータの read 等を行う場合は アクセス無効化とバイパスはスキップ可能 13

14 4.2 CFM データの Read CFM のデータを Read するには 図 4-2 の手順で行います 各種 I/F と CFM 間のアクセス有効化 図 4-2 CFM データ Read 手順 CFM へのアクセスを開始する際に必ず行います アクセスには ロジック部分の動作を強制的に停止させてアクセスを行うダイレクトモードと ロジックは動作させたままアクセスを行うトランスペアレントモードの 2 つがあり それぞれのモード用に有効化が用意されています 表 4-9 I/F の有効化 オペランド Write Data Read Data 備考 isc_enable 0xC6 0x08, 0x00, 0x ダイレクトモード lsc_enable_x 0x74 0x08, 0x00, 0x トランスペアレントモード アドレスの初期化 Read 実行前にページアドレスの初期化を行います 表 4-10 アドレスの初期化 オペランド Write Data Read Data 備考 init_address 0x46 0x00, 0x00, 0x

15 データの Read Read はページ単位で行いますが 複数ページをまとめて Read することが出来ます リードデータの指定はオペランドによる詳細設定が必要です 表 4-11 Read & アドレスインクリメント オペランド Write Data Read Data 備考 read_incr_nv 0x73 下記詳細 --- 下記詳細 ダミーデータ設定とリード ページ数の関係に注意 オペランド : pp pppp pppp pppp ( バイナリ表記 3byte) WISHBONE/SPI 時 : pp pppp pppp pppp ( バイナリ表記 3byte) WISHBONE/SPI/I2C 時 pp pp : リードするページ数を指定 1 ページの場合 読み出すページ数 ( つまり 1) 1 以上の場合 読み出すページ数 +1 I2C ではオペランドの 1 バイト目に注意 WISHBONE 側の最大読み出し速度は 36MHz です 各 I/F と CFM 間のアクセス無効化 データの Read が完了したら 各 I/F からの CFM へのアクセスを無効化します 有効化の場合と異なりは 1 つ ( モードに依存しない ) です 表 4-12 アクセス無効化 オペランド isc_disable 0x26 0x00, 0x Write Data Read Data 備考 バイパス 各 I/F と CFM 間のアクセス無効化後 続けて下記バイパスも必要です 表 4-13 バイパス オペランド bypass 0xFF 0xFF, 0xFF, 0xFF Write Data Read Data 備考 15

16 4.3 コンフィグデータのダウンロード CFM に書き込んだデータの SRAM 領域へのダウンロード ( コンフィグレーション ) は 表 4-15( 後述 ) の refresh を使用し図 4-3 の手順で行います 図 4-3 の手順の代わりに XO2 デバイスの電源を OFF-ON することでも CFM に書き込んだデータを SRAM 領域へダウンロードすることが可能です 図 4-3 データダウンロード手順 16

17 各種 I/F と CFM 間のアクセス有効化 CFM へのアクセスを開始する際に必ず行います アクセスには ロジック部分の動作を強制的に停止させてアクセスを行うダイレクトモードと ロジックは動作させたままアクセスを行うトランスペアレントモードの 2 つがあり それぞれのモード用に有効化が用意されています 表 4-14 I/F の有効化 オペランド Write Data Read Data 備考 isc_enable 0xC6 0x08, 0x00, 0x ダイレクトモード lsc_enable_x 0x74 0x08, 0x00, 0x トランスペアレントモード ダウンロード CFM からコンフィグ SRAM にデータをダウンロードします 表 4-15 アドレスの初期化 オペランド Write Data Read Data 備考 refresh 0x79 0x00, 0x 各 I/F と CFM 間のアクセス無効化 データの Read が完了したら 各 I/F からの CFM へのアクセスを無効化します 有効化の場合と異なりは 1 つ ( モードに依存しない ) です 表 4-16 アクセス無効化 オペランド isc_disable 0x26 0x00, 0x Write Data Read Data 備考 バイパス 各 I/F と CFM 間のアクセス無効化後 続けて下記バイパスも必要です 表 4-17 バイパス オペランド Write Data Read Data 備考 bypass 0xFF 0xFF, 0xFF, 0xFF

18 5 UFM へのアクセス手順 5.1 UFM のプログラム UFM にデータをプログラムするには 図 5-1 の手順で行います 図 5-1 UFM データプログラムの手順 各種 I/F と UFM 間のアクセス有効化 UFM へのアクセスを開始する際に必ず行います アクセスには ロジック部分の動作を強制的に停止させてアクセスを行うダイレクトモードと ロジックは動作させたままアクセスを行うトランスペアレントモードの 2 つがあり それぞれのモード用に有効化が用意されています 表 5-1 I/F の有効化 オペランド Write Data Read Data 備考 isc_enable 0xC6 0x08, 0x00, 0x ダイレクトモード lsc_enable_x 0x74 0x08, 0x00, 0x トランスペアレントモード 18

19 データの消去 On-Chip flash memory の UFM 領域のデータ全てを消去します Flash memory はその構造上 データの上書き が出来ないため プログラム前には必ずデータの消去を行う必要があります UFM を消去する場合 UFM 消去専用の (0xCB) を使用する方法と Erase Flash (0x0E) を使用しオペランドで UFM を選択 (0x08, 0x00, 0x00) する方法があります ページ単位の消去はできません UFM の全てのページのデータが消去されます 特定のページのみ消去したい場合や 特定のページデータのみ書き換えたい場合 UFM にプログラムされている必要なデータを 内蔵 SRAM メモリ (Embedded Block RAM) 等に一時退避し UFM 全消去後書き戻す工夫が必要です 表 5-2 Erase UFM オペランド Write Data Read Data 備考 Erase UFM 0xCB 0x00, 0x00, 0x UFM 領域の全データ消去 表 5-3 Erase Flash オペランド Write Data Read Data 備考 erase_flash 0x0E 下記参照 消去対象をオペランドで選択 オペランド : 0000 ucfs ( バイナリ表記 3byte) u : UFM セクタを消去対象 (0 : 消去しない 1 : 消去する ) c : CFM セクタを消去対象 (0 : 消去しない 1 : 消去する ) f : Feature セクタを消去対象 (0 : 消去しない 1 : 消去する ) s : SRAM セクタを消去対象 注 ) (0 : 消去しない 1 : 消去する ) 消去対象はオペランド 1byte 目の下位 4bit で指定します 下記に例を示します UFM のみを消去する場合 下記例 4 を使用してください 例 1. command 0x0E + operand 0x0E, 0x00, 0x00 例 2. command 0x0E + operand 0x0C, 0x00, 0x00 例 3. command 0x0E + operand 0x04, 0x00, 0x00 例 4. command 0x0E + operand 0x08, 0x00, 0x00 例 5. command 0x0E + operand 0x01, 0x00, 0x00 UFM/CFM/Feature Row すべてを消去 UFM と CFG の両セクタ消去 CFG セクタのみ消去 UFM セクタのみ消去 SRAM セクタのみ消去 注 ) 通常 SRAM を選択して意図的に消去する必要はございません SRAM とその他の領域は 同時にイレース指定して消去できません また SRAM をイレース対象とする為には 表 5-1 の isc_enable でダイレクトモード (0xC6) を使用し 付随するオペランドには 0x08, 0x00, 0x00 ではなく 0x00, 0x00, 0x00 を指定する必要があります 19

20 消去完了の確認 消去入力からデータ消去の完了までは時間がかかります プログラムは消去完了後にしか行えないので プログラム入力前に消去の完了を確認する必要があります データの消去を確認するためには On-chip Flash memory 内の [busy フラグ ] を read して値をチェックします [busy フラグ ]=0 が消去完了を表し [busy フラグ ]=1 は まだ消去中であることを表します [busy フラグ ] を read するは 2 つあります 1 つは [busy フラグ ] のみを read する [check_busy] もう 1 つは [busy フラグ ] と一緒に内部の各種ステータスのフラグを read する [read_status] です 表 5-4 busy フラグ確認 オペランド Write Data Read Data 備考 check_busy 0xF0 0x00, 0x00, 0x bit MSB が busy フラグ read_status 0x3C 0x00, 0x00, 0x bit 上位データから出力される Read data[31:0] のビット [12] が busy フラグ なお データの消去は最大でも 5 秒で完了するため 消去入力から 5 秒後以降であれば 確認をスキップして次のを実行しても構いません Program 開始アドレスの指定 プログラム前にページアドレスの指定を行います direct_address 0xB4 0x00, 0x00, 0x00 0x40, + address 3byte( 内 14bit 使用 ) 表 5-5 アドレスの初期化 オペランド Write Data Read Data 備考 -- ページアドレスを指定 データのプログラム 1 ページ (16byte) 分のデータのプログラムを行います ページアドレスはプログラム実行時に自動的にインクリメントされます 表 5-6 ページプログラム & アドレスインクリメント オペランド Write Data Read Data 備考 prog_incr_nv 0xC9 0x00, 0x00, 0x00 16byte -- ページアドレスは自動的に インクリメントされる 1 回の実行で 1 ページプログラムします 全てのデータをプログラムするには 必要なページ数分を繰り返す必要があります 20

21 プログラム完了の確認 データの消去と同じく プログラムも入力から完了まで時間がかかります このためデータの消去の場合と同様に 次のページプログラム実行前に [busy フラグ ] をチェックして処理状況を確認する必要があります 使用するも消去の確認と同じです ( 表 4-3) プログラム完了フラグの設定 全てのデータのプログラムが完了したら デバイスにそれを認識させるためのフラグを設定します 表 5-7 コンフィグデータプログラム完フラグ設定 オペランド prog_done 0x5E 0x00, 0x00, 0x Write Data Read Data 備考 各 I/F と UFM 間のアクセス無効化 プログラムが完了したら 各 I/F からの UFM へのアクセスを無効化します 有効化の場合と異なりは 1 つ ( モードに依存しない ) です 表 5-8 アクセス無効化 オペランド isc_disable 0x26 0x00, 0x Write Data Read Data 備考 バイパス 各 I/F と UFM 間のアクセス無効化後 続けて下記バイパスも必要です 表 5-9 バイパス オペランド bypass 0xFF 0xFF, 0xFF, 0xFF Write Data Read Data 備考 プログラム完了後に引き続きデータの read 等を行う場合は アクセス無効化とバイパスはスキップ可能 21

22 5.2 UFM データの Read CFM のデータを Read するには 図 5-2 の手順で行います 図 5-2 UFM データ Read 手順 22

23 各種 I/F と UFM 間のアクセス有効化 UFM へのアクセスを開始する際に必ず行います アクセスには ロジック部分の動作を強制的に停止させてアクセスを行うダイレクトモードと ロジックは動作させたままアクセスを行うトランスペアレントモードの 2 つがあり それぞれのモード用に有効化が用意されています 表 5-10 I/F の有効化 オペランド Write Data Read Data 備考 isc_enable 0xC6 0x08, 0x00, 0x ダイレクトモード lsc_enable_x 0x74 0x08, 0x00, 0x トランスペアレントモード リード開始アドレスの指定 Read 実行前にページアドレスの指定を行います 表 5-11 アドレスの初期化 オペランド direct_address 0xB4 0x00, 0x00, 0x00 0x40, + address 3byte( 内 14bit 使用 ) Write Data Read Data 備考 -- ページアドレスを指定 23

24 データの Read Read はページ単位で行いますが 複数ページをまとめて Read することが出来ます リードデータの指定はオペランドによる詳細設定が必要です 表 5-12 Read & アドレスインクリメント オペランド Write Data Read Data 備考 read_incr_nv 0xCA 下記詳細 --- 下記詳細 ダミーデータ設定とリード ページ数の関係に注意 オペランド : pp pppp pppp pppp ( バイナリ表記 3byte) WISHBONE/SPI 時 : pp pppp pppp pppp ( バイナリ表記 3byte) WISHBONE/SPI/I2C 時 pp pp : リードするページ数を指定 1 ページの場合 読み出すページ数 ( つまり 1) 1 以上の場合 読み出すページ数 +1 I2C ではオペランドの 1 バイト目に注意 WISHBONE 側の最大読み出し速度は 36MHz です 各 I/F と UFM 間のアクセス無効化 データの Read が完了したら 各 I/F からの UFM へのアクセスを無効化します 有効化の場合と異なりは 1 つ ( モードに依存しない ) です 表 5-13 アクセス無効化 オペランド Write Data Read Data 備考 isc_disable 0x26 0x00, 0x バイパス 各 I/F と UFM 間のアクセス無効化後 続けて下記バイパスも必要です 表 5-14 バイパス オペランド Write Data Read Data 備考 bypass 0xFF 0xFF, 0xFF, 0xFF

25 6 各種 I/F からのアクセス波形 6.1 Wishbone バスからのアクセス波形 Wishbone バスから CFM/UFM へのアクセスルール Wishbone から UFM/CFM へのアクセスを行う場合 まずアドレス 0x70 に値 0x80 を write して wishbone バスから flash メモリコントローラへのアクセスを Enable にします ( フレーム オープン ) その後 アドレス 0x71 に UFM/CFM へのを順次 write します 最後にアドレス 0x70 に値 0x00 を write して wishbone バスから flash メモリコントローラへのアクセスを disable にします ( フレーム クローズ ) これらのは SPI の CS アサート / ディアサート I2C のスタートコンディション / ストップコンディションに相当します 図 6-1 Wishbone バスから UFM へのアクセスルール アドレス 0x70 へのデータ 0x80 の write は I2C の [START] コンディションまたは SPI の CS アサートに相当 アドレス 0x70 へのデータ 0x00 の write は I2C の [STOP] コンディションまたは SPI の CS アサートに相当

26 6.1.2 各種アクセス波形サンプル CFM/UFM との I/F の有効化 wb_clk_i wb_cyc_i wb_stb_i wb_we_i wb_adr_i[7:0] 70 N/A 71 N/A 71 N/A 71 N/A 71 N/A 70 N/A wb_dat_i[7:0] 80 N/A 74 N/A O8 N/A OO N/A OO N/A OO N/A wb_dat_o N/A wb_ack_o 図 6-2 UFM との I/F 有効化 CFM の Erase wb_clk_i wb_cyc_i wb_stb_i wb_we_i wb_adr_i[7:0] 70 N/A 71 N/A 71 N/A 71 N/A 71 N/A 70 N/A wb_dat_i[7:0] wb_dat_o wb_ack_o 80 N/A 0E N/A OC N/A OO N/A OO N/A OO N/A N/A 図 6-3 CFM の Erase UFM の Erase wb_clk_i wb_cyc_i wb_stb_i wb_we_i wb_adr_i[7:0] 70 N/A 71 N/A 71 N/A 71 N/A 71 N/A 70 N/A wb_dat_i[7:0] 80 N/A CB N/A OO N/A OO N/A OO N/A OO N/A wb_dat_o N/A wb_ack_o 図 6-4 UFM の Erase 26

27 Erase/ プログラム完了の確認 wb_clk_i wb_cyc_i wb_stb_i wb_we_i wb_adr_i[7:0] 70 N/A 71 N/A 71 N/A 71 N/A 71 N/A 73 N/A 70 N/A wb_dat_i[7:0] wb_dat_o 80 N/A F0 N/A OO N/A OO N/A OO N/A OO N/A wb_ack_o 図 6-5 check_busy による確認 MSB が busy フラグ ページアドレスの初期化 (CFM アクセス時 ) wb_clk_i wb_cyc_i wb_stb_i wb_we_i wb_adr_i[7:0] 70 N/A 71 N/A 71 N/A 71 N/A 71 N/A 70 N/A wb_dat_i[7:0] wb_dat_o wb_ack_o 80 N/A 46 N/A OO N/A OO N/A OO N/A 図 6-6 ページアドレスの初期化 N/A OO N/A ページアドレス指定 (UFM アクセス時 ) wb_clk_i wb_cyc_i wb_stb_i wb_we_i wb_adr_i[7:0] 70 N/A 71 N/A 71 N/A 71 N/A 71 N/A 71 N/A 71 N/A 71 N/A 71 N/A 70 N/A wb_dat_i[7:0] wb_dat_o 80 N/A B4 N/A OO N/A OO N/A OO N/A 04 N/A N/A N/A N/A OO N/A N/A wb_ack_o 図 6-7 ページアドレス指定 ページアドレス 27

28 ページプログラム + アドレスインクリメント (CFM) wb_clk_i wb_cyc_i wb_stb_i wb_we_i wb_adr_i[7:0] 70 N/A 71 N/A 71 N/A 71 N/A 71 N/A 71 N/A 71 N/A 71 N/A 71 N/A 70 N/A wb_dat_i[7:0] 80 N/A 7O N/A OO N/A OO N/A OO N/A N/A N/A N/A N/A OO N/A wb_dat_o N/A wb_ack_o 図 6-8 CFM のプログラム 16byte データ ページプログラム + アドレスインクリメント (UFM) wb_clk_i wb_cyc_i wb_stb_i wb_we_i wb_adr_i[7:0] 70 N/A 71 N/A 71 N/A 71 N/A 71 N/A 71 N/A 71 N/A 71 N/A 71 N/A 70 N/A wb_dat_i[7:0] 80 N/A C9 N/A OO N/A OO N/A OO N/A N/A N/A N/A N/A OO N/A wb_dat_o N/A wb_ack_o 図 6-9 UFM のプログラム 16byte データ 28

29 プログラム完了フラグの設定 wb_clk_i wb_cyc_i wb_stb_i wb_we_i wb_adr_i[7:0] 70 N/A 71 N/A 71 N/A 71 N/A 71 N/A 70 N/A wb_dat_i[7:0] wb_dat_o wb_ack_o 80 N/A 5E N/A OO N/A OO N/A OO N/A 図 6-10 プログラム完了フラグの設定 N/A OO N/A CFM/UFM との I/F の無効化 wb_clk_i wb_cyc_i wb_stb_i wb_we_i wb_adr_i[7:0] 70 N/A 71 N/A 71 N/A 71 N/A 71 N/A 70 N/A wb_dat_i[7:0] 80 N/A 26 N/A OO N/A OO N/A OO N/A OO N/A wb_dat_o N/A wb_ack_o 図 6-11 CFM/UFM との I/F の無効化 29

30 データの Read(CFM) wb_clk_i wb_cyc_i wb_stb_i wb_we_i wb_adr_i[7:0] wb_dat_i[7:0] wb_dat_o wb_ack_o 70 N/A 71 N/A 71 N/A 71 N/A 71 N/A 73 N/A 73 N/A 73 N/A 73 N/A 73 N/A 80 N/A 73 N/A 1O N/A MM N/A NN N/A N/A N/A Dummy データ 16byte の Read 73 N/A N/A 73 N/A 73 N/A 70 N/A N/A N/A OO N/A ダミーデータ 16byte 2 指定した先頭ページのデータ 3 先頭ページ + MMNN -1 ページのデータ 図 6-12 CFM のデータ Read 30

31 データの Read(UFM) wb_clk_i wb_cyc_i wb_stb_i wb_we_i wb_adr_i[7:0] wb_dat_i[7:0] wb_dat_o wb_ack_o 70 N/A 71 N/A 71 N/A 71 N/A 71 N/A 73 N/A 73 N/A 73 N/A 73 N/A 73 N/A 80 N/A CA N/A 1O N/A MM N/A NN N/A N/A N/A Dummy データ 16byte の Read 73 N/A N/A 73 N/A 73 N/A 70 N/A N/A N/A OO N/A ダミーデータ 16byte 2 指定した先頭ページのデータ 3 先頭ページ + MMNN -1 ページのデータ 図 6-13 UFM からのデータ Read 31

32 6.2 I2C からのアクセス波形 I2C から CFM/UFM へのアクセスルール外部の I2C マスタから XO2 の CFM/UFM にアクセスする際 Read データがないアクセスでは 1 度のアクセスでや入力データを連続して入力します しかし Read データがあるアクセス (read_status, check_busy, read_incr_nv ) では I2C としてのアクセスは 2 回必要になります 1 度目のアクセスで データを Read するための等を write し 2 回目のアクセスで該当するデータを read します ( 図 6-16 図 6-17 参照 ) なお このアクセス間の区切りには [Repeated START condition] を使用します I2C からのアクセス波形サンプル CFM/UFM との I/F の有効化 SDA START Condition 0xC6(Comand) 0x08 (Operand) Slave Address A A A A 0x00 (Operand) 0x00 (Operand) A STOP Condition SCL 図 6-14 I/F の有効化 A ACK ページアドレス指定 (UFM アクセス時 ) 0000_ aa_aaaa aaaa_aaaa Erase/ プログラム完了確認 図 6-15 ページアドレス指定 SCK START Condition 0xF0(Comand) 0x00 (Operand) 0x00 (Operand) 0x00 (Operand) SDA Slave Address A A A A A Repeated START Condition Slave Address A A STOPCondition busy flag 図 6-16 check_busy による確認 32

33 データの Read(CFM) START Condition 0x73(Comand) 0x10 (Operand) 0xMM (Operand) 0xNN (Operand) SCK SDA Slave Address A A A MM A NN A Repeated START Condition Slave Address A Dummy 0 A Dummy 1 Dummy14 A Dummy 15 A CFM rea data0 A STOPCondition A ACK A A CFM read last data A 図 6-17 CFM からのデータ Read 33

34 6.3 SPI からのアクセス波形 SPI から CFM/UFM へのアクセスルール MachXO2 は SPI のチップセレクト信号を 2 つ持っています 1 つは SPI を介して内部の Wishbone バスにアクセスする際に使用するチップセレクト もう 1 つは CFM/UFM へアクセスする際に使用するチップセレクトです CFM/UFM へのアクセスに使用するチップセレクトは [pinout list] の [Dual Function] 欄に [SN] と記載されているピンに割り当てられます かならずこのチップセレクトを使用してください SPI からのアクセス波形サンプル CFM/UFM との I/F の有効化 0xC6(Comand) 0x08 (Operand)0x00 (Operand)0x00 (Operand) CCLK SI SN 図 6-18 CFM/UFM との I/F の有効化 Erase/ プログラム完了確認 0xF0(Comand) 0x00 (Operand) 0x00 (Operand) 0x00 (Operand) CCLK SI N/A SN SO Hi-Z 図 6-19 check_busy による確認 Busy flag 34

35 6.3.3 データの Read(CFM) CCLK SI SN SO 0x73(Comand) 0x10 (Operand) 0xMM (Operand) 0xNN (Operand) MM NN N/A Hi-Z Dummy 0 N/A N/A Dummy 1 Dummy 2 Dummy14 Dummy 15 CFM read データ 0 CFM read データ 1 CFM read last データ N/A 図 6-20 CFM からのデータの Read 35

36 7 EFB のシミュレーション 7.1 テストベンチ作成時の注意点 EFB のシミュレーションには 最上位階層のテストベンチに以下の 2 つのモジュールのインスタンスが必要です また これらのモジュールのインスタンス名は以下のものでないと エラーになります 表 7-1 GSR/PUR のインスタンス名 モジュール名インスタンス名備考 GSR GSR_INST Global Set/Reset 出力モジュール PUR PUR_INST Power Up Set/Reset 出力モジュール エラメッセージの例 # ELAB2: Fatal Error: ELAB2_0036 Unresolved hierarchical reference to "GSR_INST.GSRNET" from module "testbench.u1_efb_i2c_ms.efbinst_0" (module not found). # ELAB2: Last instance before error: /u1_efb_i2c_ms/efbinst_0 # KERNEL: Error: E8005 : Kernel process initialization failed. # Error: Fatal error occurred during simulation initialization. 記述例 library ieee, std; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith; use ieee.std_logic_textio.all; use std.textio.all; library ovi_machxo2; use ovi_machxo2.all; entity testbench is end testbench; architecture BL of testbench is component GSR port( GSR : in std_logic ); end component; component PUR port( PUR : in std_logic ); end component; 36

37 Begin GSR_INST : GSR port map( GSR => wb_xrst_i ); PUR_INST : PUR port map( PUR => c_vcc ); end BL; 7.2 I2C マクロの入出力信号 I2C マクロからの出力は I2C の仕様どおり 0 または Z です I2C マクロから 1 や H は出力されません 従って外部で H レベルへのプルアップ処理が必要になります 図 7-1 I2C マクロ入出力ポートの接続と記述例 37

38 7.3 Wishbone バスへの入力データ Wishbone バスから EFB にアクセスする場合 クロックに対してデータの遅延が小さい場合は wb_ack_o 信号がテクニカルノート TN1205 のタイムチャートとは違うタイミングでアサートされます ( 図 7-2 図 7-3) ただし Read/Write アクセス自体は正しく行われます 図 7-2 WISHBONE バスのアクセス波形 (TN1205) 図 7-3 WISHBONE バスのシミュレーション波形 ( 入力データ遅延なし ) シミュレーション時の wb_ack_o のタイミングを TN1205 と同じにするためには WISHBONE バスへの入力信号に クロックに対して 20ps 以上の遅延をつけてください 図 7-4 WISHBONE バスのシミュレーション波形 ( 入力データ遅延あり ) 38

39 8 Feature Row について Feature Row は 64bit と 16bit の 2 部構成となっており 各種設定を保存します 未書き込み状態のデバイスでは All-0 となっております (5bit 部に関してはアクセス不可 ) ispvm の Feature Row Editor で jedec フィアルを読み込むことで 設定済内容を確認できます DIAMOND Programmer では実デバイスと接続後に DIAMOND Programmer の Operation Security Read Feature Row にて同内容を確認できます 図 8-1 Feature Row Editor による表示例 64bit 部 : Custom ID Code[0-31], TraceID[32-39], I2C Slave Address[40-47], Dual Boot Golden Address [48-63] 16bit 部 : Secure password[0], DEC ONLY[1], Password Enable FLASH[2], Password Enable ALL[3], 上記 4bit は必ずデフォルトの 0 でご利用下さい ( 注 my_assp Enable[4], PROGRAMN Preference Disable[5], INIT Preference Enable[6], DONE Preference Enable[7], JTAG Preference Disable[8], S-SPI Preference Disable[9], I2C Preference Disable[10], M-SPI Preference Disable [11], BOOT Sector1[12], BOOT Sector2[13], Reserve[14-15] 5bit 部 : セキュリティ設定部 によるアクセス不可 (jedec では 21 行目に記載 ) CONFIG_SECURE 設定 [0], ONE_TIME_PROGRAM 設定 [1-4] 注 ) XO2 デバイスはこの 4bit 部の機能は使用できません この部分が 0 以外に設定されると 書き込みが完了している回路の動作は継続しますが 以降 ispvm によるアクセスで下記エラーとなり デバイスの書き換えができなくなります "A Flash Protect key is required. Please select a different operation in the Advanced Security Programming Mode." Execution time: **:** milliseconds 39

40 Feature Row の Program / Erase Feature Row へのアクセスは ispvm によるプログラミング 及び ispvm で生成された SVF を使用する場合 自動的に行われます それ以外の手法でアクセスする場合 対象エリア毎に下記 2 を使用します Feature Row 部分ではデバイスの重要な情報がございます 誤って間違ったセッティングを行うと 最悪の場合デバイスにアクセスできなくなりますので 設定内容を十分お確かめください プログラム LSC_PROG_FEATURE (0xE4) + オペランド 0x00, 0x00, 0x00 : 前半 64bit に対して使用 例 ) 0xE4, 0x00, 0x00, 0x00, + 0x データは jedec ファイルの下から 5 行目にある E の後ろの 64bit です 通常オール 0 になっています 例 ) NOTE FEATURE_ROW* E jedece での並び順は LSB-MSB が逆になっております LSC_PROG_FEABITS (0xF8) + オペランド 0x00, 0x00, 0x00: 後半 16bit に対して使用 例 ) 0xF8, 0x00, 0x00, 0x00, + 0x**** データは jedec ファイルの下から 4 行目にある下記の様な 16bit です 値は JTAG I2C SPI の使用状況で変わります 例 ) * jedece での並び順は LSB-MSB が逆になっております 上記例では 0xF8, 0x00, 0x00, 0x00, + 0x0520 となります リード ISC_READ_FEATURE (0xE7) + オペランド 0x00, 0x00, 0x00 : 前半 64bit についてリードデータは 64bit です ISC_READ_FEABITS (0xFB) + オペランド 0x00, 0x00, 0x00 : 後半 16bit についてリードデータは 16bit です 40

41 My_ASSP 機能にて デバイス ID を書き換えた場合の復旧策 My_ASSP 機能を使用してデバイス ID を書き換えると ispvm や SVF デバッガを使用したプログラミングフローで下記エラーとなり デバイスにアクセスできなくなります この場合下記フローにてアクセス可能です Step1. ispvm のプログラミングフローを実行すると ispvm は下記エラーを表示して 今書き込もうとしているデバイスのデバイス ID がどんな値に設定されているか表示します ( 下記は 0x に設定済デバイスの例 ) Device1 LCMXO2-1200ZE: The ID has been changed to 0x Please click on the Save button to save the new Device's ID. Step2. IspVM のジェネレート SVF メニューより Jedec からデバッグツールで使用する SVF を生成します Step3. 生成した SVF をテキストエディタで開き 下記に示す IDCODE チェックステップの値を Step2 で確認できたデバイス ID に書き換え 保存します ! Check the IDCODE ISPEN LOW;! Shift in IDCODE_PUB(0xE0) opcode SDR 32 TDI ( ); SDR 32 TDI ( ) TDO (C204D480) <= この部分の値 MASK (FFFFFFFF); ISPEN HIGH; Step4. Step3 にて編集した SVF を SVF デバッガツールを使用してデバイスにプログラミングします 41

42 9 その他注意事項 UFM/CFM へ透過モード (0x74) でアクセスする場合の注意点 透過モード (0x74) を使用して CFM/UFM へのインターフェイスをイネーブルすると ディセーブル (0x26)+ バイパス (0xFF) を使用してインターフェイスをディセーブルにするまでの間 次のようなデバイスの一部機能が一時的にディセーブルになります パワーコントローラ GSR( グローバル セット リセット ) ハードマクロ ユーザ SPI ポート それを踏まえた上で使用下さい GSR については デザインにて専用の GSR リソースを使用せず汎用配線リソースを使用する方法もございます デザインで意図的に GSR を使用していない場合でも DIAMOND のデフォルト設定で GSR を自動的に使用します デザインで GSR を使用しない為には Strategy 設定の Map Design 画面にて Infer GSR の Value を False に変更します 図 9-1 GSR を使用しない設定 42

43 Wishbone / I2C / SPI の複数 IF から EFB リソースにアクセスする場合 アクセス有効化 (isc_enable/isc_enable_x) と アクセス無効化 (isc_disable + bypass) は いずれの IF から発行しても効果は同じです 発行元の IF のみが有効化されるのではなく すべての IF が有効化されます 同様に アクセス無効化もいずれの IF から発行してもその効果は同じです 例えば Wishbone と I2C を使用するデザインの場合 I2C からアクセス有効化を発行すると 有効後は Wishbone からも I2C からも CFM/UFM リソースにアクセスすることができます 最後に Wishbone からアクセス無効化を発行して終了させることもできます これら 3 種の IF には優先順位があります 優先順位は高い方から SPI I2C Wishbone となります 例えば I2C アクセス中 Wishbone からのは受け付けません 逆に I2C アクセス中に SPI からのアクセスが開始されると I2C アクセスは中断され SPI アクセスが開始されます XO2 の EFB では これらの IF 間の調停機能は実装していません 調停が必要な場合 お客様回路にて実装していただく必要があります プログラミング時の注意事項 XO2 の内部 CFM に回路データを書きこむことをプログラミングと呼びます プログラミングは JTAG/SPI/I2C から可能です ブランクデバイス及び消去済デバイスでは 3 つのポートが全て有効化されており お客様の回路データが書き込まれたデバイスでは 指定のポートのみが有効化されます ( デフォルト設定の場合 JTAG のみ有効 ) これら 3 種の IF には優先順位があります 優先順位は高い方から JTAG SPI I2C となります 例えば JTAG アクセス中 SPI からのは受け付けません 逆に I2C アクセス中に SPI からのアクセスが開始されると I2C アクセスは中断され SPI アクセスが開始されます ブランクデバイスや消去済デバイスをボード上でプログラミングする際は 特に注意が必要です 例えば I2C ポートからプログラミングする場合 有効化されている SPI ポートの SN( スレーブチップセレクト ) に L レベル信号 ( アクティブ状態 ) が与えられると SPI ポートが優先権を得ます その結果 CFM/UFM ポートに対する I2C アドレスに対して ACK が返らず I2C ポートは応答しません コンフィグレーション時の注意事項 XO2 の内部 CFM から SDM(Self Download Mode) により起動する事 及び内部 SRAM に JTAG/SPI/I2C から回路データを直接書き込む事をコンフィグレーションと呼びます これら 4 種の IF には優先順位があります 優先順位は高い方から JTAG SPI I2C SDM となります 例えば SPI ポート有効化されている場合 SDM でコンフィグレーション中に SPI ポートの SN( スレーブチップセレクト ) に L レベル信号 ( アクティブ状態 ) が与えられると SPI ポートが優先権を得ます その結果 SDM によるコンフィグレーションは中断されコンフィグレーションが完了しません 43

44 I2C アドレスの使い分け プライマリ I2C アドレスは 設計時に IPexpress にて 上位 5bit/8bit をお客様指定します DIAOND1.4 までは下位 2bit は IPexpress ツール画面上グレーアウトしており 01 と固定値で表示されています ただし 下位 2bit は 2 種の値を持っており "00" のアドレスと "01" のアドレスで以下アクセス先を切り分けております DIAMOND2.0 からは 3 種類の組み合わせが全て IPexpress ツール画面上に表示されます デバイスのプログラミングは CFM へアクセスします 上位 5bit/8bit ユーザ指定 + 00 上位 5bit/8bit ユーザ指定 + 01 : UFM/CFM/ デバイス ID 等へのスレーブアドレス : ユーザモードにおけるプライマリ I2C ポートへのスレーブアドレス 下位 01/00 いずれでも 正しいアドレスなので ACK は返ります 例えば ユーザ指定の上位 5bit を と指定し UFM へアクセスする際 正しいアドレスは 100_0000 となります この場合誤って 100_0001 を用いた場合でも ACK は返りますのでご注意下さい なお ブランクデバイスではアドレス 7bit がすべて固定されており 100_0000 となります その為 複数のブランクデバイスを同一の I2C バス上に接続して マスタ I2C コントローラから UFM/CFM にアクセスすることはできません あらかじめ I2C アドレスを個別の値にプログラミングしたデバイスであれば そのような使用方法は可能です I2C マスタ時のグリッジ EFB I2C マスタのシミュレーション及び実機動作にて スタートコンディションの後の最初の SDA の L 区間に 約 7.2ns 程度のハザードが一回発生します これは XO2 デバイスの I2C-IF 部の構造に起因するもので 回避できません 実際のボードでは I2C のクロックエッジはレートも遅く バスのプルアップ等の負荷も与えられる為 このハザードは減衰し悪影響を与えることは無いと考えられます 図 9-2 I2C マスタ時のグリッジ 完了までの時間 の中には 完了までに時間がかかるものがあります 基本は busy をチェックしていただくか 下記時間ウエイトする等ご考慮下さい Flash 1 ページ毎に isc_enable に対して program_done に対して : 200usec : 5usec : 200usec 44

45 Wishbone バス未使用時のポート処理 EFB マクロをインスタンスするが Wishbone バスは使用しない場合 未使用の Wishbone バスは下記処理をいたします I2C や SPI の各ポートを使用する場合 I2C や SPI のポートは必要に応じて使用します wb_clk_i => '0', -- 固定値入力 wb_rst_i => '0', -- 固定値入力 wb_cyc_i => '0', -- 固定値入力 wb_stb_i => '0', -- 固定値入力 wb_we_i => '0', -- 固定値入力 wb_adr_i => " ", -- 固定値入力 wb_dat_i => " ", -- 固定値入力 wb_dat_o => open, -- 未使用 ( 未接続 ) wb_ack_o => open, -- 未使用 ( 未接続 ) i2c1_scl => -- 必要に応じて使用 i2c1_sda => -- 必要に応じて使用 i2c1_irqo => -- 必要に応じて使用 spi_miso => -- 必要に応じて使用 spi_mosi => -- 必要に応じて使用 spi_clk => -- 必要に応じて使用 spi_scsn => -- 必要に応じて使用 wbc_ufm_irq => -- 必要に応じて使用 なを wb_clk_i 及び wb_rst_i は WB IF 側のアクセス回路にのみ影響するクロック及びリセット信号です これらの信号がアサートされていても ディアサートされていても I2C IF や SPI IF 側の動作には影響ございません 45

46 10 改訂履歴 表 10-1 改訂履歴 バージョン リリース 改訂内容 Ver1.0 Jun 初版リリース Ver1.1 Aug 章および 5 章の CFM/UFM インターフェイス有効化の説明を修正 これに伴い 表 4-9 表 4-14 表 5-1 表 5-10 も修正 Ver1.2.2 Feb TN1204 v1.3/tn1205 v2.9 の内容を反映 5 章追記 ( リード詳細 消去詳細 バイパス ) 8 章 Feature Row について 9 章 その他注意事項 追記 Ver1.2.3 Sep 章 Wishbone バス未使用時の処理を追記 refresh/isc_disable のオペランドを TN1246 での 2byte に統一 TN1246 について記載 Ver1.2.4 Oct 章その他注意事項についてアップデート Ver1.2.5 Dec 章表 4-11, 5 章表 5-12 オペランドの内訳を TN1246 の内容で更新 5 章表 5-5, 5-11 オペランドの内訳を TN1246 の内容で更新 6 章図 6-15 波形誤記修正 Ver1.2.6 Aug 章プログラミング時の注意事項 コンフィグレーション時の注意事項を追記 Ver1.2.7 Sep 章図 8-1 更新 46

Report Template

Report Template MachXO2 EFB(Embedded Function Block) 1 目次 1 このドキュメントの概要 3 2 EFB の構成 4 3 EFB とハードマクロの生成と注意事項 5 3.1 EFB Enables タブの設定... 5 3.2 I2C タブの設定... 6 3.3 SPI タブの設定... 7 3.4 Timer/Counter タブの設定... 9 4 Wishbone から

More information

Report Template

Report Template 日本語マニュアル 第 16 章 ( 本 日本語マニュアルは 日本語による理解のため一助として提供しています その作成にあたっては各トピックについて それぞれ可能な限り正確を期しておりますが 必ずしも網羅的ではなく 或いは最新でない可能性があります また 意図せずオリジナル英語版オンラインヘルプやリリースノートなどと不一致がある場合もあり得ます 不明箇所について又は疑義が生じた場合は ラティスセミコンダクター正規代理店の技術サポート担当にお問い合わせ頂くか

More information

Notes and Points for TMPR454 Flash memory

Notes and Points for TMPR454 Flash memory 表紙 TMPR454 内蔵 Flash メモリ対応版手順書 株式会社 DTS インサイト ご注意 (1) 本書の内容の一部または 全部を無断転載することは禁止されています (2) 本書の内容については 改良のため予告なしに変更することがあります (3) 本書の内容について ご不明な点やお気付きの点がありましたら ご連絡ください (4) 本製品を運用した結果の影響については (3) 項にかかわらず責任を負いかねますのでご了承ください

More information

PowerPoint Presentation

PowerPoint Presentation VME Embedded System ユーザーズマニュアル ~ Slim VME Embedded ~ Tecstar Page: 1 Agenda 1. VME Embedded System 概要 2. VME Embedded の特徴 3. Embedded Overview 4. VMEファイルとHEXファイルについて 5. Slim VME について 6. Deployment Toolの起動方法について

More information

スライド 1

スライド 1 RX62N 周辺機能紹介データフラッシュ データ格納用フラッシュメモリ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ データフラッシュの概要 プログラムサンプル 消去方法 書き込み方法 読み出し方法 FCUのリセット プログラムサンプルのカスタマイズ 2 データフラッシュの概要 3 データフラッシュとは フラッシュメモリ

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

Report Template

Report Template 日本語マニュアル 第 21 章 シミュレーション ユーザーガイド ( 本 日本語マニュアルは 日本語による理解のため一助として提供しています その作成にあたっては各トピックについて それぞれ可能な限り正確を期しておりますが 必ずしも網羅的ではなく 或いは最新でない可能性があります また 意図せずオリジナル英語版オンラインヘルプやリリースノートなどと不一致がある場合もあり得ます 疑義が生じた場合は ラティスセミコンダクター正規代理店の技術サポート担当にお問い合わせ頂くか

More information

ModelSim-Altera - RTL シミュレーションの方法

ModelSim-Altera - RTL シミュレーションの方法 ALTIMA Corp. ModelSim-Altera RTL シミュレーションの方法 ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. 目次 1. 2. 3. はじめに...3 RTL シミュレーションの手順...4 RTL シミュレーションの実施...5 3-1. 3-2. 新規プロジェクトの作成... 5 ファイルの作成と登録... 7 3-2-1. 新規ファイルの作成...

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

任意の間隔での FTP 画像送信イベントの設定方法 はじめに 本ドキュメントでは AXIS ネットワークカメラ / ビデオエンコーダにおいて任意の間隔で画像を FTP サー バーへ送信するイベントの設定手順を説明します 設定手順手順 1:AXIS ネットワークカメラ / ビデオエンコーダの設定ページ

任意の間隔での FTP 画像送信イベントの設定方法 はじめに 本ドキュメントでは AXIS ネットワークカメラ / ビデオエンコーダにおいて任意の間隔で画像を FTP サー バーへ送信するイベントの設定手順を説明します 設定手順手順 1:AXIS ネットワークカメラ / ビデオエンコーダの設定ページ はじめに 本ドキュメントでは AXIS ネットワークカメラ / ビデオエンコーダにおいて任意の間隔で画像を FTP サー バーへ送信するイベントの設定手順を説明します 設定手順手順 1:AXIS ネットワークカメラ / ビデオエンコーダの設定ページにアクセスする 1.Web ブラウザを起動します FW v6.50 以下の場合は Internet Explorer を FW v7.10 以降の場合は

More information

WAGO PROFIBUS バスカプラ/コントローラと、QJ71PB92Dとのコンフィグレーションマニュアル

WAGO PROFIBUS バスカプラ/コントローラと、QJ71PB92Dとのコンフィグレーションマニュアル < 概要 > WAGO-I/O-SYSTEM750 シリーズ PROFIBUS バスカプラ / コントローラと 三菱電機 製 PROFIBUS ユニット QJ71PB92D とのコンフィグレーション手順を説明しております < 使用機器接続図 > 下記機器を準備し 図の通り接続しました WAGO-I/O-SYSTEM PROFIBUS バスカプラ / コントローラ 750-xxx および I/O モジュール

More information

Microsoft Word - Manual-FlashLock V224-T05_Japanese.doc

Microsoft Word - Manual-FlashLock V224-T05_Japanese.doc Security Application Program - LOCK User Manual V2.24-T05 目次 A. 始めに...2 B. 機能概要...2 C. 準備...3 D. ソフトウェアの使用方法...4 D1. ソフトウェアの起動...4 D2. パスワード設定 / パスワード変更...6 D3. パスワード削除...8 D4. ログイン...10 E. バージョン情報...18

More information

Microsoft PowerPoint LC_15.ppt

Microsoft PowerPoint LC_15.ppt ( 第 15 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 言語を使った設計 (2) 2.1 HDL 設計入門 2.2 FPGA ボードの設計デモ配布資料 VHDL の言語構造と基本文法 2.1 HDL 設計入門 EDAツール : メンター社製品が有名 FPGAベンダーのSW 1 1 仕様設計 にも簡易機能あり 2 3 2 HDLコード記述 3 論理シミュレーション 4 4 論理合成

More information

Report Template

Report Template 日本語マニュアル 第 11 章 フロアプランニングと リソース配置指定 ( 本 日本語マニュアルは 日本語による理解のため一助として提供しています その作成にあたっては各トピックについて それぞれ可能な限り正確を期しておりますが 必ずしも網羅的ではなく 或いは最新でない可能性があります また 意図せずオリジナル英語版オンラインヘルプやリリースノートなどと不一致がある場合もあり得ます 疑義が生じた場合は

More information

Notes and Points for TM4C123Gx Internal Flash memory

Notes and Points for TM4C123Gx Internal Flash memory 表紙 TI 社製 TM4C123GH6PM 内蔵 Flash メモリ対応手順書 株式会社 DTS インサイト ご注意 (1) 本書の内容の一部または 全部を無断転載することは禁止されています (2) 本書の内容については 改良のため予告なしに変更することがあります (3) 本書の内容について ご不明な点やお気付きの点がありましたら ご連絡ください (4) 本製品を運用した結果の影響については (3)

More information

DSP5Dアップグレードガイド

DSP5Dアップグレードガイド DSP5D アップグレードガイド このガイドでは DSP5D の各種ファームウェアを最新にアップデートする手順を説明します 必ずお読みください アップデート作業は お客様ご自身の責任において行なっていただきます アップデートを実行する前に 必要なデータはバックアップしておいてください PM5D とカスケード接続している場合は DSP5D をアップデートすると PM5D のアップデートも必要になる場合があります

More information

オンチップ・メモリ クイック・ガイド for Cyclone III

オンチップ・メモリ クイック・ガイド for Cyclone III ver.9.1 2010 年 1 月 1. はじめに アルテラ社製 FPGA デバイスにおいてオンチップ メモリ (FPGA 内部で RAM や ROM などを構成 ) を実現するには Memory Compiler メガファンクションを使用します Memory Compiler メガファンクションは Cyclone シリーズ, Arria シリーズ, Stratix シリーズ, HardCopy

More information

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装 LIM Corp. Nios II - PIO を使用した I 2 C-Bus (2 ワイヤ ) マスタの実装 ver.1.0 2010 年 6 月 ELSEN,Inc. 目次 1. はじめに... 3 2. 適用条件... 3 3. システムの構成... 3 3-1. SOPC Builder の設定... 3 3-2. PIO の設定... 4 3-2-1. シリアル クロック ライン用 PIO

More information

Microsoft Word - 実験4_FPGA実験2_2015

Microsoft Word - 実験4_FPGA実験2_2015 FPGA の実験 Ⅱ 1. 目的 (1)FPGA を用いて組合せ回路や順序回路を設計する方法を理解する (2) スイッチや表示器の動作を理解し 入出力信号を正しく扱う 2. スケジュール項目 FPGAの実験 Ⅱ( その1) FPGAの実験 Ⅱ( その2) FPGAの実験 Ⅱ( その3) FPGAの実験 Ⅱ( その4) FPGAの実験 Ⅱ( その5) FPGAの実験 Ⅱ( その6) FPGAの実験 Ⅱ(

More information

Nios II Flash Programmer ユーザ・ガイド

Nios II Flash Programmer ユーザ・ガイド ver. 8.0 2009 年 4 月 1. はじめに 本資料は Nios II 開発環境においてフラッシュメモリ または EPCS へのプログラミングを行う際の参考マニュアルです このマニュアルでは フラッシュメモリの書き込みの際に最低限必要となる情報を提供し さらに詳しい情報はアルテラ社資料 Nios II Flash Programmer User Guide( ファイル名 :ug_nios2_flash_programmer.pdf)

More information

[DS50-N A] BIOS マニュアル BIOS セットアップユーティリティとは BIOS セットアップユーティリティとは BIOS の設定を確認 変更するためのツールです セットアップユーティリティは 本体に内蔵されているマザーボード上のフラッシュメモリーに格納されています このユ

[DS50-N A] BIOS マニュアル BIOS セットアップユーティリティとは BIOS セットアップユーティリティとは BIOS の設定を確認 変更するためのツールです セットアップユーティリティは 本体に内蔵されているマザーボード上のフラッシュメモリーに格納されています このユ BIOS マニュアル BIOS セットアップユーティリティとは BIOS セットアップユーティリティとは BIOS の設定を確認 変更するためのツールです セットアップユーティリティは 本体に内蔵されているマザーボード上のフラッシュメモリーに格納されています このユーティリティで定義される設定情報は チップセット上の CMOS RAM と呼ばれる特殊な領域に格納 されます この設定情報は マザーボードに搭載されているバックアップ電池により保存されます

More information

CommCheckerManual_Ver.1.0_.doc

CommCheckerManual_Ver.1.0_.doc 通信チェックツール (CommChecker) 取扱説明書 (Ver.1.0) 2009 ESPEC Corp. 目次 1. 使用条件 4 2. ダウンロード & インストール 5 3. 環境設定 6 3-1.RS-485 通信 6 3-2.RS-232C 通信 7 3-3.GPIB 通信 8 4. ソフトウェアの使用方法 9 4-1. 起動 9 4-2. 通信設定 10 (1)RS485 通信 10

More information

Maser - User Operation Manual

Maser - User Operation Manual Maser 3 Cell Innovation User Operation Manual 2013.4.1 1 目次 1. はじめに... 3 1.1. 推奨動作環境... 3 2. データの登録... 4 2.1. プロジェクトの作成... 4 2.2. Projectへのデータのアップロード... 8 2.2.1. HTTPSでのアップロード... 8 2.2.2. SFTPでのアップロード...

More information

Notes and Points for ADuCM320 Internal Flash memory

Notes and Points for ADuCM320 Internal Flash memory 表紙 ANALOG DEVICES 社製 ADuCM320 内蔵 Flash メモリ対応手順書 株式会社 DTS インサイト ご注意 (1) 本書の内容の一部または 全部を無断転載することは禁止されています (2) 本書の内容については 改良のため予告なしに変更することがあります (3) 本書の内容について ご不明な点やお気付きの点がありましたら ご連絡ください (4) 本製品を運用した結果の影響については

More information

PC にソフトをインストールすることによって OpenVPN でセキュア SAMBA へ接続することができます 注意 OpenVPN 接続は仮想 IP を使用します ローカル環境にて IP 設定が被らない事をご確認下さい 万が一仮想 IP とローカル環境 IP が被るとローカル環境内接続が行えなくな

PC にソフトをインストールすることによって OpenVPN でセキュア SAMBA へ接続することができます 注意 OpenVPN 接続は仮想 IP を使用します ローカル環境にて IP 設定が被らない事をご確認下さい 万が一仮想 IP とローカル環境 IP が被るとローカル環境内接続が行えなくな 操作ガイド Ver.2.3 目次 1. インストール... - 2-2. SAMBA Remote 利用... - 9-2.1. 接続確認... - 9-2.2. 自動接続... - 11-2.3. 編集... - 13-2.4. インポート... - 16-2.5. 削除... - 18-2.6. 参考資料 ( 接続状況が不安定な場合の対処方法について )... - 19-2.6.1. サービスの再起動...

More information

GenieATM 6300-T / 6200-T シリーズ 1. 基本的な機器オペレーションのために 1-1. 機器への接続 機器への接続方法は 以下の 2 通りがあります シリアルポートを使用してログインする LAN 経由で Telnet または SSH を使用して仮想 Interface からロ

GenieATM 6300-T / 6200-T シリーズ 1. 基本的な機器オペレーションのために 1-1. 機器への接続 機器への接続方法は 以下の 2 通りがあります シリアルポートを使用してログインする LAN 経由で Telnet または SSH を使用して仮想 Interface からロ 1. 基本的な機器オペレーションのために 1-1. 機器への接続 機器への接続方法は 以下の 2 通りがあります シリアルポートを使用してログインする LAN 経由で Telnet または SSH を使用して仮想 Interface からログインする 工場出荷時は装置の IP アドレスが設定されていないので Telnet, SSH ではログインできません この資料では シリアルポートを使用する場合の方法を説明します

More information

ファイル メニューのコマンド

ファイル メニューのコマンド CHAPTER43 次のオプションは Cisco Configuration Professional(Cisco CP) の [ ファイル ] メニューから利用できます 実行コンフィギュレーションを PC に保存 ルータの実行コンフィギュレーションファイルを PC 上のテキストファイルに保存します 43-1 設定をルータに配信する 第 43 章 設定をルータに配信する このウィンドウでは Cisco

More information

ServerView with Data ONTAP-v™ PowerChute® Network Shutdown 設定について

ServerView with Data ONTAP-v™ PowerChute® Network Shutdown 設定について ServerView with Data ONTAP-v TM PowerChute Network Shutdown 設定について 富士通株式会社 2011 年 6 月 目次 1. はじめに...2 2. 待ち時間の計画...2 3. PowerChute Network Shutdown のインストール...4 4. PowerChute Network Shutdown の設定...7 5.

More information

二次元連続動的計画法による知的画像処理システム ImageFileSelector RTC 機能仕様書 ImageFileSelectorRTC Ver.1.0 ( 株 ) 東日本計算センター 1 / 11

二次元連続動的計画法による知的画像処理システム ImageFileSelector RTC 機能仕様書 ImageFileSelectorRTC Ver.1.0 ( 株 ) 東日本計算センター 1 / 11 機能仕様書 ImageFileSelectorRTC Ver.1.0 ( 株 ) 東日本計算センター 1 / 11 改版履歴 Ver 改版日 内容 0.5 2016/02/15 新規作成 0.6 2016/03/1 GUI 釦配置変更 1.0 2016/3/14 初版リリース 2 / 11 目次 目次...3 1. はじめに...4 1.1. 対象読者... 4 1.2. 適応範囲... 4 1.3.

More information

Microsoft Word combine.doc

Microsoft Word combine.doc 32bit 64bit 環境兼用インストーラーの作成 (InstallScript プロジェクト ) 注 ) このドキュメントは InstallShield 2011 Premier Edition を基に作成しています InstallShield 2011 以外のバージョンでは設定名などが異なる場合もあります 概要 InstallScript 形式プロジェクトにて 32Bit 64Bit 両方の環境に対応したインストーラを作成する場合

More information

Report Template

Report Template 1 ( ) 4... 4... 4 ispvm system... 5... 6... 6... 7 I/O... 7 USB... 9... 12 ( )... 14... 15 ( ) 16... 16 Dual Boot... 16 Primary Image file... 19 USERCODE/UES... 21 I/O... 22... 24 ATE... 26 SVF... 29 SVF...

More information

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法 ver. 8.1 2009 年 3 月 1. はじめに Nios II 開発ボードに実装されているメモリ用のコンポーネントは SOPC Builder の中にあらかじめ用意されています しかし 実際に基板を作成した場合には Nios II 開発ボードに実装されているメモリと同じ仕様の製品でない限り SOPC Builder であらかじめ用意されたメモリ用のコンポーネントを使用することはできません この場合

More information

MSP430 CCSv5 を使い Flash Memory 内容と version 情報を確認する方法 ( テクニック編 ) Rev: PIC Trout 今回は 下記の2 件について説明します 1) CCSv5 を使用して MSP430 の Flash Memory 内容を

MSP430 CCSv5 を使い Flash Memory 内容と version 情報を確認する方法 ( テクニック編 ) Rev: PIC Trout 今回は 下記の2 件について説明します 1) CCSv5 を使用して MSP430 の Flash Memory 内容を MSP430 CCSv5 を使い Flash Memory 内容と version 情報を確認する方法 ( テクニック編 ) Rev:01 10.4.2013 PIC Trout 今回は 下記の2 件について説明します 1) CCSv5 を使用して MSP430 の Flash Memory 内容を確認する方法 JTAG アクセスができるデバイス ( セキュリティ Fuse 断ではできません ) に対して

More information

1. ボードの複数台制御 コンフィグ ROM から FPGA が起動できる場合を想定しています FPGA 回路には 特定のレジスタアドレスにプリセットしたデータが必要です 製品出荷時のサンプル FPGA 回路では レジスタ No.3 を 8bit 幅に設定し FPGA 外部の 4bit ディップスイ

1. ボードの複数台制御 コンフィグ ROM から FPGA が起動できる場合を想定しています FPGA 回路には 特定のレジスタアドレスにプリセットしたデータが必要です 製品出荷時のサンプル FPGA 回路では レジスタ No.3 を 8bit 幅に設定し FPGA 外部の 4bit ディップスイ LabVIEW 用リファレンス制御アプリケーション RefAppLV の使い方 概要 LabVIEW 開発ツールで設計したリファレンス制御アプリケーションです LabVIEW を所有していないユー ザ環境でも インストーラを利用して RefAppLV.exe を利用することができます 機能 1. 複数台ボード制御 2. USB コンフィグ機能 3. レジスタアクセス機能 4. 拡張レジスタアクセス機能

More information

Upload path ファイル送信先ディレクトリのパスを指定します ホームディレクトリに画像を送信する場合は空白のまま サブディレクトリに画像を送信する場合はディレクトリ名を指定します さらに下位のディレクトリを指定する場合は \ マークを利用します 例 ) ホームディレクトリ以下の camera

Upload path ファイル送信先ディレクトリのパスを指定します ホームディレクトリに画像を送信する場合は空白のまま サブディレクトリに画像を送信する場合はディレクトリ名を指定します さらに下位のディレクトリを指定する場合は \ マークを利用します 例 ) ホームディレクトリ以下の camera はじめに 本ドキュメントでは AXIS ネットワークカメラ / ビデオエンコーダのファームウエアバージョン 5.4x 以降で 定期的に画像を FTP サーバへ送信するための設定手順を説明します 設定手順手順 1:AXIS ネットワークカメラ / ビデオエンコーダの設定ページにアクセスする 1. Internet Explorer などの Web ブラウザを起動します 2. Web ブラウザの URL

More information

プレインストールOSリカバリ手順書

プレインストールOSリカバリ手順書 CPU-SB300 シリーズ プレインストール OS リカバリ手順書 OS の起動ができなくなった場合のリカバリ手順について説明します 注意 商品添付のリカバリメディアをお使いになる前に 添付の使用権許諾契約書 ( 各 OS の END USER LICENSE AGREEMENT および Easy Restore 使用権許諾契約書 ) をよくお読みいただき 同意いただける場合に限り 本リカバリメディアをご使用ください

More information

Quartus Prime はじめてガイド - デバイス・プログラミングの方法

Quartus Prime はじめてガイド - デバイス・プログラミングの方法 ALTIMA Corp. Quartus Prime はじめてガイドデバイス プログラミングの方法 ver.15.1 2016 年 3 月 Rev.1 ELSENA,Inc. Quartus Prime はじめてガイド デバイス プログラミングの方法 目次 1. 2. 3. 4. はじめに...3 プログラミング方法...5 Auto Detect 機能...14 ISP CLAMP 機能...17

More information

Microsoft Word - NanoPhotometer用PCソフトウエア操作説明書 Rev 1.00.doc

Microsoft Word - NanoPhotometer用PCソフトウエア操作説明書 Rev 1.00.doc NanoPhotometer 用 PC ソフトウエア操作説明書 < 目次 > 1. 概要... 1 2. 動作環境... 1 3. PC との接続... 1 4. ソフトウエアインストール... 1 5. PVC の起動と初期設定... 2 5.1. アクセスコード... 2 5.2. シリアルポート番号の設定... 2 5.3. プリンターの選択... 3 5.4. コンフィグレーション... 4

More information

スライド 1

スライド 1 1 1. 2 2. 3 isplever 4 5 6 7 8 9 VHDL 10 VHDL 4 Decode cnt = "1010" High Low DOUT CLK 25MHz 50MHz clk_inst Cnt[3:0] RST 2 4 1010 11 library ieee; library xp; use xp.components.all; use ieee.std_logic_1164.all;

More information

スライド 1

スライド 1 isplever CLASIC 1.2 Startup Manual for MACH4000 Rev.1.0 isplever_ CLASIC Startup_for_MACH4000_Rev01.ppt Page: 1 1. Page 3 2. Lattice isplever Design Flow Page 4 3. Page 5 3-1 Page 6 3-2 Page 7 3-3 Page

More information

目次 ページ 1. 本マニュアルについて 3 2. 動作環境 4 3. ( 前準備 ) ライブラリの解凍と保存 5 4. モデルのインポート 6 5. インポートしたモデルのインピーダンス計算例 8 6. 補足 単シリーズ 単モデルのインポート お問い合わせ先 21 2

目次 ページ 1. 本マニュアルについて 3 2. 動作環境 4 3. ( 前準備 ) ライブラリの解凍と保存 5 4. モデルのインポート 6 5. インポートしたモデルのインピーダンス計算例 8 6. 補足 単シリーズ 単モデルのインポート お問い合わせ先 21 2 SIMetrix/SIMPLIS ライブラリ ユーザーマニュアル 2018 年 8 月 株式会社村田製作所 Ver1.0 1 22 August 2018 目次 ページ 1. 本マニュアルについて 3 2. 動作環境 4 3. ( 前準備 ) ライブラリの解凍と保存 5 4. モデルのインポート 6 5. インポートしたモデルのインピーダンス計算例 8 6. 補足 単シリーズ 単モデルのインポート

More information

Microsoft Word - COP_MasterSim_Installation_Supplement_A00.doc

Microsoft Word - COP_MasterSim_Installation_Supplement_A00.doc Anybus CANopen Master Simulator インストール手順補足説明資料 Version: A00 エイチエムエス インダストリアルネットワークス株式会社 222-0033 神奈川県横浜市港北区新横浜 3-19-5 新横浜第 2 センタービル 6F TEL : 045-478-5340 FAX : 045-476-0315 URL www.anybus.jp EMAIL セールス

More information

Upload path ファイル送信先ディレクトリのパスを指定します ホームディレクトリに画像を送信する場合は空白のまま サブディレクトリに画像を送信する場合はディレクトリ名を指定します さらに下位のディレクトリを指定する場合は \ マークを利用します 例 ) ホームディレクトリ以下の camera

Upload path ファイル送信先ディレクトリのパスを指定します ホームディレクトリに画像を送信する場合は空白のまま サブディレクトリに画像を送信する場合はディレクトリ名を指定します さらに下位のディレクトリを指定する場合は \ マークを利用します 例 ) ホームディレクトリ以下の camera はじめに 本ドキュメントでは AXIS ネットワークカメラ / ビデオエンコーダのファームウエアバージョン 5.5x 以降で 任意の間隔で画像を FTP サーバへ送信するための設定手順を説明します 設定手順手順 1:AXIS ネットワークカメラ / ビデオエンコーダの設定ページにアクセスする 1. Internet Explorer などの Web ブラウザを起動します 2. Web ブラウザの URL

More information

Quartus II はじめてガイド - Convert Programming File の使い方

Quartus II はじめてガイド - Convert Programming File の使い方 ALTIMA Corp. Quartus II はじめてガイド Convert Programming File の使い方 ver.14 2015 年 1 月 Rev.1 ELSENA,Inc. Quartus II はじめてガイド Convert Programming File の使い方 目次 1. 2. はじめに...3 操作方法...3 2-1. 2-2. 2-3. Convert Programming

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? レジスタ アクセスの拡張機能 1. レジスタ アクセスの概要 Smart-USB Plus 製品で利用できるレジスタ アクセスとは FPGA 内にハードウエア レジスタを実装し ホスト PC の制御ソフトウエアから USB 経由でそれらのレジスタに値を設定したり レジスタの設定値を読み出すことができる機能です このレジスタ アクセス制御には USB バス仕様に基づく コントロール転送 を利用しています

More information

デジタル回路入門

デジタル回路入門 Open-It FPGA トレーニングコース ( 初級編 ) 第 9 版 2. 組み合わせ回路入門 2.4. 実習 FPGA への実装 2013 年 5 月 10 日修正 まずは動かしてみましょう!! 詳細内容は明日説明します Open-It FPGA トレーニングコース ( 初級 ) 2 FPGA への実装方法 HDL コード Synthesize 論理合成 4 つの要素へ変換 最適化 ISE Implementation

More information

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用]

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用] 2007.11.12 集積回路工学 Matsuzawa Lab 1 集積回路工学 東京工業大学 大学院理工学研究科 電子物理工学専攻 2007.11.12 集積回路工学 Matsuzawa Lab 2 1. 1. ハードウェア記述言語 (VHDL で回路を設計 ) HDL 設計の手順や基本用語を学ぶ RTL とは? Register Transfer Level レジスタ間の転送関係を表現したレベル慣例的に以下のことを行う

More information

更新履歴 日付 Ver. 変更内容 作成者 備考 2012/10/ 初版作成 河野 2016/09/ TSND151,AMP-151 対応 足立 i

更新履歴 日付 Ver. 変更内容 作成者 備考 2012/10/ 初版作成 河野 2016/09/ TSND151,AMP-151 対応 足立 i DataDownloader マニュアル 2016 年 9 月 30 日第 2 版 株式会社 ATR-Promotions 注 1) 本ソフトウエアは修正や改良に伴い, 予告無く仕様を変更する場合があります. 予めご了承下さい. また, マニュアルに記載されている社名および製品名は, 一般に各社の商標もしくは登録商標です. 更新履歴 日付 Ver. 変更内容 作成者 備考 2012/10/29 1.00

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介安全機能 ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ 安全機能の概要 フラッシュ メモリ CRC 演算機能 RAM パリティ エラー検出機能 データの保護機能 RAM ガード機能 SFR ガード機能 不正メモリ アクセス機能 周辺機能を使用した安全機能 周波数検出機能 A/D

More information

Microsoft Word PXシリーズプリンタドライバインストール説明書(Win8・10-32・64bit)

Microsoft Word PXシリーズプリンタドライバインストール説明書(Win8・10-32・64bit) プリンタードライバーインストール説明書 (Wndows10 32/64bit) 999-00-49-00-03 Windows10 32/64bit のドライバーについて プリンタードライバーのインストール手順について Card-Ⅲ プリンターを例に説明します 他のプリンターについてもプリンター名が異なるだけでインストール手順は同じです 64 ビットプリンタードライバーのインストールで進めます (32

More information

PowerPoint Presentation

PowerPoint Presentation VME Embedded System ユーザーズマニュアル ~ Full VME Embedded ~ Tecstar Page: 1 Agenda 1. VME Embedded System 概要 2. VME Embedded の特徴 3. Embedded Overview 4. VMEファイルとHEXファイルについて 5. Deployment Toolの起動方法について 6. VME

More information

PRONETA

PRONETA PRONETA 操作概要 PROFINET IO デバイスの無償診断ツール シーメンス株式会社デジタルファクトリー事業本部ファクトリーオートメーション部 2015 年 12 月 22 日 目次 ここで紹介している操作は PRONETA バージョン 2.2 を基にしています PRONETA 概要 3 動作環境と起動方法 4 ホーム画面 5 ネットワーク解析画面 6 IOチェック画面 9 設定画面 13

More information

1. ST-LINK Utility のダウンロード Windows7 PC にインストールする場合について説明します 1.1. STMicroelectronics のサイト STMicroelectronics のサイトを開きます ここに ST-LINK と入力して検索します ( 右側の虫眼鏡を

1. ST-LINK Utility のダウンロード Windows7 PC にインストールする場合について説明します 1.1. STMicroelectronics のサイト STMicroelectronics のサイトを開きます ここに ST-LINK と入力して検索します ( 右側の虫眼鏡を STM32 ST-LINK Utility のインストールと使用方法 V002 2014/04/03 STMicroelectronics 社の CPU STM32 シリーズにプログラムを書き込むために ST-LINK Utility を使用します 書き込むファイルの種類はおもにバイナリファイル (*.bin) またはヘキサファイル (*.hex) です ST-LINK Utility のインストールとプログラムの書き込み方法について説明します

More information

(Microsoft Word - \216\346\220\340SiTCP-VME-Master\(Rev26\).doc)

(Microsoft Word - \216\346\220\340SiTCP-VME-Master\(Rev26\).doc) SiTCP VME-Master Master module Mode2 BBT-002 002-2 取扱説明書 Rev 2.6 (June21, 2016) 変更履歴 Rev 変更日 変更ページ 変更内容 0.4 2008/02/13 P12 Address Fix モード時の制限事項を追加 0.5 2008/02/14 P3, 11 非整列転送の非サポートを明記 1.0 2008/04/04 P6

More information

PowerPoint Presentation

PowerPoint Presentation SSPI Embedded System ユーザーズマニュアル Tecstar Page: 1 Agenda 1. SSPI Embedded System 概要 2. SSPI Embedded の特徴 3. Deployment Toolの起動方法について 4. SSPI Embedded 用意されているファイル 5. ソースファイルについて 6. SSPI Embedded ユーザーフロー 7.

More information

2015/04/01 改定 オムロン DeviceNet ユニット CJ1W-DRM21 に関するコンフィグレーション作業について 1. 概要 DeviceNet ユニット CJ1W-DRM21 を装着したオムロン製 CJ2 シリーズと WAGO-I/0-SYSTEM DeviceNet 対応バスカ

2015/04/01 改定 オムロン DeviceNet ユニット CJ1W-DRM21 に関するコンフィグレーション作業について 1. 概要 DeviceNet ユニット CJ1W-DRM21 を装着したオムロン製 CJ2 シリーズと WAGO-I/0-SYSTEM DeviceNet 対応バスカ オムロン DeviceNet ユニット CJ1W-DRM21 に関するコンフィグレーション作業について 1. 概要 DeviceNet ユニット CJ1W-DRM21 を装着したオムロン製 CJ2 シリーズと WAGO-I/0-SYSTEM DeviceNet 対応バスカプラ 750-306 を使ったリモート I/O システムとの接続に関するコンフィグレーション方法について説明いたします 2. システム構成本書で用いるシステム構成例の内容を以下の表に示します

More information

本資料のご使用につきましては 次の点にご留意願います 本資料の内容については 予告無く変更することがあります 1. 本資料の一部 または全部を弊社に無断で転載 または 複製など他の目的に使用することは堅くお断りいたします 2. 本資料に掲載される応用回路 プログラム 使用方法等はあくまでも参考情報で

本資料のご使用につきましては 次の点にご留意願います 本資料の内容については 予告無く変更することがあります 1. 本資料の一部 または全部を弊社に無断で転載 または 複製など他の目的に使用することは堅くお断りいたします 2. 本資料に掲載される応用回路 プログラム 使用方法等はあくまでも参考情報で S1V3S344 評価キット スタートガイド Rev.1.10 本資料のご使用につきましては 次の点にご留意願います 本資料の内容については 予告無く変更することがあります 1. 本資料の一部 または全部を弊社に無断で転載 または 複製など他の目的に使用することは堅くお断りいたします 2. 本資料に掲載される応用回路 プログラム 使用方法等はあくまでも参考情報であり これら起因する第三者の権利 (

More information

Microsoft Word - Win-Outlook.docx

Microsoft Word - Win-Outlook.docx Microsoft Office Outlook での設定方法 (IMAP および POP 編 ) How to set up with Microsoft Office Outlook (IMAP and POP) 0. 事前に https://office365.iii.kyushu-u.ac.jp/login からサインインし 以下の手順で自分の基本アドレスをメモしておいてください Sign

More information

UCB User's Manual

UCB User's Manual UCB-21489 ユーザーズマニュアル 第 1 版 金子システム株式会社 1 ご注意 1. 本資料に記載されている内容は本資料発行時点のものであり 予告なく変更することがあります 当社製品のご購入およびご使用にあたりましては 当社ホームページを通じて公開される情報を参照ください 2. 当社から提供する情報の正確性と信頼性には万全を尽くしていますが 誤りがないことを保証するものではありません 当社はその使用に対する責任を一切負いません

More information

(Microsoft Word - \214\264\215e B_\217\221\202\253\215\236\202\335\225\224.docx)

(Microsoft Word - \214\264\215e B_\217\221\202\253\215\236\202\335\225\224.docx) トランジスタ技術 2009 年 3 月号特集気軽にはじめる FPGA 第 5 章マルチチャネル信号発生器信号発生器の製作 ~はんだ付け不要ロジックの自在さを生かす~ ISE WebPACK を使って FPGA にソースを書き込むまでの手順 坂本三直 プロジェクトプロジェクトの新規生成 / 読み込み : CQ 出版社の HP より本スタータキット用のプロジェクトをダウンロードしてください. パソコン上にコピーできたら,Xilinx

More information

2006

2006 WithMie ご利用環境設定手順 2015.6.10 メディコム情報システム /WithMie をご利用いただくためには 利用者 ID を取得するとともに 利用パソコンの環境設定を行なう必要があります 次の手順で利用するための準備を行ってください 信頼済みサイトへの登録 ポップアップブロックの許可 信頼済みサイトのセキュリティレベルを 中低 に設定する プロキシ接続で HTTP1.1 を使用する設定

More information

SLCONFIG の操作 JF1PYE Ⅰ. PC と slconfig の通信設定 Ⅱ. Slconfig の操作 Ⅲ. 端末ソフトによる Command 機能 Ⅳ. slconfig 実行形式プログラムの作成 Ⅴ. 端末ソフト Tera Term のダウンロード インストー

SLCONFIG の操作 JF1PYE Ⅰ. PC と slconfig の通信設定 Ⅱ. Slconfig の操作 Ⅲ. 端末ソフトによる Command 機能 Ⅳ. slconfig 実行形式プログラムの作成 Ⅴ. 端末ソフト Tera Term のダウンロード インストー SLCONFIG の操作 2011.03.02 JF1PYE Ⅰ. PC と slconfig の通信設定 Ⅱ. Slconfig の操作 Ⅲ. 端末ソフトによる Command 機能 Ⅳ. slconfig 実行形式プログラムの作成 Ⅴ. 端末ソフト Tera Term のダウンロード インストール 設定 Soliloc-10G Slconfig の開発 提供ならびに本書を作成するに当たり情報提供を頂いた

More information

ReTRY HUB

ReTRY HUB USB デバイス接続制御アダプター ReTRY HUB 型番 CT USB4HUB 設定ソフト Ver1.0 版 マニュアル http://www.centech.jp 2017/04/21 製品仕様 商品名 型番 ReTRY HUB CT USB4HUB サイズ 縦 75mm x 横 120mm x 高さ15mm( 突起部含まず ) 重量 約 230g( 本体のみ ) 消費電流 12V 30mA(

More information

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ arduino プログラミング課題集 ( Ver.5.0 2017/06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイコンから伝える 外部装置の状態をマイコンで確認する 信号の授受は 入出力ポート 経由で行う (2) 入出力ポートとは?

More information

WinBook WV BIOS セットアップ マニュアル BIOS セットアッププログラムについて BIOS セットアッププログラムとはパソコンの BIOS 設定を確認 変更するためのプログラムです 本機では AMI BIOS を使用しています セットアッププログラムは マザーボード上のフラッシュメ

WinBook WV BIOS セットアップ マニュアル BIOS セットアッププログラムについて BIOS セットアッププログラムとはパソコンの BIOS 設定を確認 変更するためのプログラムです 本機では AMI BIOS を使用しています セットアッププログラムは マザーボード上のフラッシュメ WinBook WV BIOS セットアップ マニュアル BIOS セットアッププログラムについて BIOS セットアッププログラムとはパソコンの BIOS 設定を確認 変更するためのプログラムです 本機では AMI BIOS を使用しています セットアッププログラムは マザーボード上のフラッシュメモリに格納されており パソコンの起動時いつでも実行できます BIOS セットアッププログラムで定義する設定情報は

More information

MENU 키를 누르면 아래의 화면이 나타납니다

MENU 키를 누르면 아래의 화면이 나타납니다 Stand-Alone Digital Video Recorder Advanced MPEG-4 DVR 16 Channel Models クライアントソフト 再インストールマニュアル くまざわ書店専用 日本語版 1 V1.07-n307 This document contains preliminary information and subject to change without notice.

More information

Microsoft PowerPoint - RL78G1E_スタータキットデモ手順_2012_1119修正版.pptx

Microsoft PowerPoint - RL78G1E_スタータキットデモ手順_2012_1119修正版.pptx Smart Analog Stick をはじめて動かす RL78G1E STARTER KIT を始めて使う方のために インストールから基本的な使い方を体験する部分を順番にまとめました この順番で動かせば とりあえず体験できるという内容で作成してあります 2 度目からお使いの場合には Stick ボードを USB に接続した状態で 3 から始めてください 詳細な機能説明は ユーザーズマニュアルやオンラインヘルプを参考にしてください

More information

目次 1 I2Cとは 13 結線写真 2 センサの多くがI2Cに対応 14 WHO_AM_I 3 マイコンでのI2C通信例 15 I2C読込みプログラム 4 とは 16 I2C読込みスクリプト概要① 5 タイミングパラメータ 17 I2C読込みスクリプト概要② 6 書込み 18 センサ読込みプログラ

目次 1 I2Cとは 13 結線写真 2 センサの多くがI2Cに対応 14 WHO_AM_I 3 マイコンでのI2C通信例 15 I2C読込みプログラム 4 とは 16 I2C読込みスクリプト概要① 5 タイミングパラメータ 17 I2C読込みスクリプト概要② 6 書込み 18 センサ読込みプログラ 第5回 Arduino入門 I2C通信編 プレゼン by いっちー 目次 1 I2Cとは 13 結線写真 2 センサの多くがI2Cに対応 14 WHO_AM_I 3 マイコンでのI2C通信例 15 I2C読込みプログラム 4 とは 16 I2C読込みスクリプト概要① 5 タイミングパラメータ 17 I2C読込みスクリプト概要② 6 書込み 18 センサ読込みプログラム 7 読込み 19 センサ読込み概要①

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

改訂履歴 改訂日付 改訂内容 2014/11/01 初版発行 2017/01/16 Studuino web サイトリニューアルに伴う改訂 2017/04/14 Studuino web サイトリニューアルに伴うアクセス方法の説明変更 2018/01/22 Mac 版インストール手順変更に伴う改訂

改訂履歴 改訂日付 改訂内容 2014/11/01 初版発行 2017/01/16 Studuino web サイトリニューアルに伴う改訂 2017/04/14 Studuino web サイトリニューアルに伴うアクセス方法の説明変更 2018/01/22 Mac 版インストール手順変更に伴う改訂 Arduino IDE 環境 設定手順書 Windows/Mac 用 2014/11/01 作成 2018/01/22 改訂 改訂履歴 改訂日付 改訂内容 2014/11/01 初版発行 2017/01/16 Studuino web サイトリニューアルに伴う改訂 2017/04/14 Studuino web サイトリニューアルに伴うアクセス方法の説明変更 2018/01/22 Mac 版インストール手順変更に伴う改訂

More information

アップデート手順概要

アップデート手順概要 アップデート手順概要 2017 年 1 月富士通株式会社 0 はじめに 本資料の概要 本資料では 各アップデート方式において アップデート手順の一例をご紹介しております 本資料を活用するにあたっての留意事項 本資料の記述は アップデート方式の一部手順を説明したものです 事前準備を含めた全手順については 各種マニュアル 手順書をご参照ください 本資料は 2016 年 9 月時点の情報を元に作成しています

More information

AN 100: ISPを使用するためのガイドライン

AN 100: ISPを使用するためのガイドライン ISP AN 100: In-System Programmability Guidelines 1998 8 ver.1.01 Application Note 100 ISP Altera Corporation Page 1 A-AN-100-01.01/J VCCINT VCCINT VCCINT Page 2 Altera Corporation IEEE Std. 1149.1 TCK

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション BrightSignNetwork クイックスタートガイド 1 この度は BrightSignNetwork サブスクリプションパックをお買い上げいただき 誠にありがとうございます このクイックスタートガイドは BrightSignNetwork を使って 遠隔地に設置した BrightSign プレイヤーのプレゼンテーションを管理するための手順をご説明します ジャパンマテリアル株式会社 Rev.

More information

Microsoft Word - XPC4ソフトマニュアル.doc

Microsoft Word - XPC4ソフトマニュアル.doc < XPC-4 映像ツール 簡易マニュアル> お試し版 Ver1.0 XPC-4 に USB ケーブルを接続する際の注意事項 ファームウェア アップデートの作業 もしくは XPC-4 映像ツール を使用するときは USB2.0 に対応した USB ケーブル (Type A[ オス ]-Type B[ オス ]) が 1 本必要です USB ケーブルはパソコンの OS(Windows) が完全に起動してから

More information

ServerView RAID Manager VMware vSphere ESXi 6 インストールガイド

ServerView RAID Manager VMware vSphere ESXi 6 インストールガイド ServerView RAID Manager VMware vsphere ESXi 6 インストールガイド 2018 年 11 月 27 日富士通株式会社 アレイを構築して使用する場合 RAID 管理ツールの ServerView RAID Manager を使用します VMware vsphere ESXi 6.x ( 以後 ESXi 6 または ESXi と略します ) サーバで ServerView

More information

monologue Sound Librarian 取扱説明書

monologue Sound Librarian 取扱説明書 J 3 目次 はじめに... 2 monologue Sound Librarian とは?... 2 使用上のご注意... 2 動作環境... 2 インストール... 3 Mac へのインストール... 3 Windows へのインストール... 3 クイック スタート... 4 monologue Sound Librarian を起動する... 4 monologue Sound Librarian

More information

Microsoft Word - SSI_Smart-Trading_QA_ja_ doc

Microsoft Word - SSI_Smart-Trading_QA_ja_ doc サイゴン証券会社 (SSI) SSI Smarttrading の設定に関する Q&A 06-2009 Q&A リスト 1. Q1 http://smarttrading.ssi.com.vn へアクセスしましたが 黒い画面になり X のマークが左上に出ている A1 原因はまだ設定していない アドミニストレータで設定しない あるいは自動設定プログラムがお客様の PC に適合しないと考えられます 解決方法アドミニストレータの権限のユーザーでログインし

More information

改訂履歴 日付バージョン記載ページ改訂内容 V2.1 - 初版を発行しました V3.1 P5 ドキュメントラベルが新規追加された事を追記 P7 P8 新しくなったラベルのツリー表示説明を追記 新しくなったラベルの作成 削除操作を追記 P9 ラベルのグループ

改訂履歴 日付バージョン記載ページ改訂内容 V2.1 - 初版を発行しました V3.1 P5 ドキュメントラベルが新規追加された事を追記 P7 P8 新しくなったラベルのツリー表示説明を追記 新しくなったラベルの作成 削除操作を追記 P9 ラベルのグループ 改訂履歴 日付バージョン記載ページ改訂内容 2012-10-23 V2.1 - 初版を発行しました 2013-08-30 V3.1 P5 ドキュメントラベルが新規追加された事を追記 P7 P8 新しくなったラベルのツリー表示説明を追記 新しくなったラベルの作成 削除操作を追記 P9 ラベルのグループ別参照権限設定操作を追記 2015-06-16 V5.0 P27 クラスター入力値を帳票備考にコピーする説明を追記

More information

目次 1 はじめに BA3-ML1 について BA3L1PLG について 動作環境 インストール アンインストール インストール アンインストール 操作

目次 1 はじめに BA3-ML1 について BA3L1PLG について 動作環境 インストール アンインストール インストール アンインストール 操作 Lonworks マスタカード LNS プラグインソフトウェア ( 形式 :BA3L1PLG) 取扱説明書 NM-7274 初版 1/7 目次 1 はじめに... 3 1.1 BA3-ML1 について... 3 1.2 BA3L1PLG について... 3 1.3 動作環境... 3 1.4 インストール アンインストール... 4 1.4.1 インストール... 4 1.4.2 アンインストール...

More information

SAMBA Remote(Mac) 編 PC にソフトをインストールすることによって OpenVPN でセキュア SAMBA へ接続することができます 注意 OpenVPN 接続は仮想 IP を使用します ローカル環境にて IP 設定が被らない事をご確認下さい 万が一仮想 IP とローカル環境 IP

SAMBA Remote(Mac) 編 PC にソフトをインストールすることによって OpenVPN でセキュア SAMBA へ接続することができます 注意 OpenVPN 接続は仮想 IP を使用します ローカル環境にて IP 設定が被らない事をご確認下さい 万が一仮想 IP とローカル環境 IP 操作ガイド Ver.2.3 目次 1. インストール... - 2-2. SAMBA Remote 利用... - 5-2.1. 接続確認... - 5-2.2. 自動接続... - 10-2.3. 編集... - 12-2.4. インポート... - 15-2.5. 削除... - 17-2.6. 参考資料 ( 接続状況が不安定な場合の対処方法について )... - 18-2.6.1. サービスの再起動...

More information

Micro Focus Enterprise Developer チュートリアル メインフレーム COBOL 開発 : MQ メッセージ連携 1. 目的 本チュートリアルでは CICS から入力したメッセージを MQ へ連携する方法の習得を目的としています 2. 前提 使用した OS : Red H

Micro Focus Enterprise Developer チュートリアル メインフレーム COBOL 開発 : MQ メッセージ連携 1. 目的 本チュートリアルでは CICS から入力したメッセージを MQ へ連携する方法の習得を目的としています 2. 前提 使用した OS : Red H Micro Focus Enterprise Developer チュートリアル 1. 目的 本チュートリアルでは CICS から入力したメッセージを MQ へ連携する方法の習得を目的としています 2. 前提 使用した OS : Red Hat Enterprise Linux Server release 6.5 x64 使用した WebSphere MQ : IBM WebSphere MQ 7.5.0.1

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 SAU シリアル アレイ ユニット ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ SAU の概要 UART 通信機能のプログラム サンプル紹介 2 SAU の概要 3 SAU の機能 クロック同期式調歩同期式マスタ動作のみ チャネル 0: 送信チャネル 1: 受信 4 UART

More information

デジタル回路入門

デジタル回路入門 Open-It FPGA トレーニングコース ( 初級編 ) 第 9 版 2. 組み合わせ回路入門 2.2. 実習 Verilog-HDL 記述 2013 年 5 月 10 日修正 デジタル回路の構成要素 O=A&B; O=~I; INV O=A B; 全てのデジタル回路はこの 4 つの要素 ( 回路 ) のみで構成されている 4 要素の HDL 記述を知っていれば最低限の知識としては十分 2 HDL:

More information

PowerTyper マイクロコードダウンロード手順

PowerTyper マイクロコードダウンロード手順 必ずお読みください Interface Card 用マイクロコードを Ver 1.3.0 をVer 1.3.1 以降に変更する場合 または Ver 1.4.5 以前のマイクロコードを Ver 1.5.0 以降に変更する場合 ダウンロード前後に必ず以下の作業を行ってください ( バージョンは Webブラウザ上または付属ソフトウェア Print Manager のSystem Status 上で確認できます

More information

ServerView Resource Orchestrator V3.0 ネットワーク構成情報ファイルツール(Excel形式)の利用方法

ServerView Resource Orchestrator V3.0 ネットワーク構成情報ファイルツール(Excel形式)の利用方法 ServerView Resource Orchestrator V3.0 ネットワーク構成情報ファイル作成ツール mknetdevconf-tool-0300-1 本ファイルでは ServerView Resource Orchestrator V3.0 で使用する ネットワーク構成情報ファイル作成ツール の動作条件 使用方法 およびその他の重要な情報について説明しています 本ツールを使用する前に必ず最後まで目を通すようお願いします

More information

Application Note Application Note No. ESC-APN Document No.: ESC-APN adviceluna Linux デバッグ手順 (MIPS コア編 ) はじめに adviceluna Linux デバッグ手順 ( 以

Application Note Application Note No. ESC-APN Document No.: ESC-APN adviceluna Linux デバッグ手順 (MIPS コア編 ) はじめに adviceluna Linux デバッグ手順 ( 以 No. ESC-APN-026-02 Document No.: ESC-APN-026-02 adviceluna Linux デバッグ手順 (MIPS コア編 ) はじめに adviceluna Linux デバッグ手順 ( 以下 本書 ) は adviceluna にて下記 Linux 環境をデバッグする手順を説明した文書です Application Shared Library Loadable

More information

A 既製のプロジェクトがある場合

A 既製のプロジェクトがある場合 2008 年 7 月 15 日 ワゴジャパン株式会社 1 使用機器 -Siemens S7-300:CPU315F-2 PN/DP プロセッサ /PROFINET スキャナ -Siemens SIMATIC Manager STEP 7 ソフトウェア バージョン V5.4-750-333 GSD ファイル :B754_V30.GSD(FW Ver.7 以降 ) -WAGO I/O ノード構成ノード

More information

誓約書の同意 4 初回のみ 下記画面が表示されるので内容を確認後 同意する ボタンをクリック 同意していただけない場合はネット調達システムを使うことができません 参照条件設定 5 案件の絞り込み画面が表示されます 5-1 施工地域を選択して 施工地域選択完了 ボタンをクリック - 2 -

誓約書の同意 4 初回のみ 下記画面が表示されるので内容を確認後 同意する ボタンをクリック 同意していただけない場合はネット調達システムを使うことができません 参照条件設定 5 案件の絞り込み画面が表示されます 5-1 施工地域を選択して 施工地域選択完了 ボタンをクリック - 2 - ネット調達システム簡易マニュアル 協力会社編 システムの起動 ~ 案件参照 ~ 見積提出 ログイン 1OC-COMET にログインします 2 左側のメニューより 関連システム連携 ( 見積回答 S 他 ) をクリック 3 ネット調達システム をクリック - 1 - 誓約書の同意 4 初回のみ 下記画面が表示されるので内容を確認後 同意する ボタンをクリック 同意していただけない場合はネット調達システムを使うことができません

More information

1. 機器の接続方法 ナンバーディスプレイアダプタ アロハ ND5/ND6 をご購入いただき 下図のように接続していただきます パソコンがインターネットに接続されている場合は USB のプラグをパソコンに最初に接続した際に自動でアダプタのドライバがインストールされます ドライバのインストールには数分

1. 機器の接続方法 ナンバーディスプレイアダプタ アロハ ND5/ND6 をご購入いただき 下図のように接続していただきます パソコンがインターネットに接続されている場合は USB のプラグをパソコンに最初に接続した際に自動でアダプタのドライバがインストールされます ドライバのインストールには数分 v3.0 FM-CTIv3 電話着信連動ソフト 操作ガイド 1. 機器の接続方法 2. 初期設定 3. ソフトウェアの起動 4. 電話着信時の動作 / 顧客表示 5. その他注意事項 動作環境 / 導入に必要なもの FileMakerPro12 以上が動作する環境 ( 単独利用 ).NET Framework 4.0 (Windows7/8.1/10) ナンバーディスプレイアダプタ アロハ ND5/ND6

More information

エンドポイント濁度測定装置 LT-16 取扱説明書

エンドポイント濁度測定装置 LT-16 取扱説明書 エンドポイント濁度測定装置 LT-16 LT-16 Manager マニュアル ( 簡易マニュアル Version 2.0) LT-16 Manager のインストール LT-16 Manager は添付の CD に内蔵されています LT-16 Manager は Windows 7 Windows 8 において動作確認をしております ( 以下の図は Windows 8 使用時の表示図面です ) ただし

More information

KDDI ホスティングサービス G120 KDDI ホスティングサービス G200 WordPress インストールガイド ( ご参考資料 ) rev.1.2 KDDI 株式会社 1

KDDI ホスティングサービス G120 KDDI ホスティングサービス G200 WordPress インストールガイド ( ご参考資料 ) rev.1.2 KDDI 株式会社 1 KDDI ホスティングサービス G120 KDDI ホスティングサービス G200 WordPress インストールガイド ( ご参考資料 ) rev.1.2 KDDI 株式会社 1 ( 目次 ) 1. WordPress インストールガイド... 3 1-1 はじめに... 3 1-2 制限事項... 3 1-3 サイト初期設定... 4 2. WordPress のインストール ( コントロールパネル付属インストーラより

More information

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO - 5ns - f CNT 25MHz - 800~6,400 36~288 5V ISP - 0,000 / - / 36V8-90 8 - IEEE 49. JTAG 24mA 3.3V 5V PCI -5-7 -0 CMOS 5V FastFLASH XC9500 XC9500CPLD 0,000 / IEEE49. JTAG XC9500 36 288 800 6,400 2 XC9500

More information

本書は INpMac v2.20(intime 5.2 INplc 3 Windows7/8/8.1に対応 ) の内容を元に記載しています Microsoft Windows Visual Studio は 米国 Microsoft Corporation の米国及びその他の国における登録商標です

本書は INpMac v2.20(intime 5.2 INplc 3 Windows7/8/8.1に対応 ) の内容を元に記載しています Microsoft Windows Visual Studio は 米国 Microsoft Corporation の米国及びその他の国における登録商標です ACTIVE TOUCH 拡張部品取扱説明書 - 共有メモリアクセスコンポーネント - 1. はじめに 1 (1) 概要... 1 (2) INpMac のインストール... 1 2. Windows アプリケーションとの連携 2 (1) コントロール ( 部品 ) の登録... 2 (2) データの関連付け... 3 3. INtime アプリケーションとの連携 4 (1) 部品 ( コンポーネント

More information

Imation Encryption Manager Plus Imation Encryption Manager Plus ソフトウェアにより 対応 USB フラッシュドライブにパスワード保護に対応した総合セキュリティーを設定することができます Imation Encryption Manage

Imation Encryption Manager Plus Imation Encryption Manager Plus ソフトウェアにより 対応 USB フラッシュドライブにパスワード保護に対応した総合セキュリティーを設定することができます Imation Encryption Manage IMATION ENCRYPTION MANAGER PLUS ユーザーマニュアル Imation Encryption Manager Plus Imation Encryption Manager Plus ソフトウェアにより 対応 USB フラッシュドライブにパスワード保護に対応した総合セキュリティーを設定することができます Imation Encryption Manager Plus には

More information

プログラマブル LED 制御モジュール アプリ操作説明書 プログラマブル LED 制御モジュール設定アプリ操作説明書 適用モジュール 改訂番号 エレラボドットコム 1

プログラマブル LED 制御モジュール アプリ操作説明書 プログラマブル LED 制御モジュール設定アプリ操作説明書 適用モジュール 改訂番号 エレラボドットコム 1 設定 適用モジュール 041-1 改訂番号 20161024 エレラボドットコム 1 ( 用アプリの利用可能環境 ) Windows7 8.1 10 のいずれかが動作する PC Windows8 以降の場合は 次ページ記載の Windows8 以降の.NET Framework の有効化 (p3~7) の操作をするか 設定されていることを確認してからアプリをインストールしてください.NET Framework2.0

More information

( 目次 ) 1. XOOPSインストールガイド はじめに 制限事項 サイト初期設定 XOOPSのインストール はじめに データベースの作成 XOOPSのインストール

( 目次 ) 1. XOOPSインストールガイド はじめに 制限事項 サイト初期設定 XOOPSのインストール はじめに データベースの作成 XOOPSのインストール KDDI ホスティングサービス (G120, G200) XOOPS インストールガイド ( ご参考資料 ) rev1.0 KDDI 株式会社 1 ( 目次 ) 1. XOOPSインストールガイド...3 1-1 はじめに...3 1-2 制限事項...3 1-3 サイト初期設定...4 2. XOOPSのインストール...9 3-1 はじめに...9 3-2 データベースの作成...9 3-3 XOOPSのインストール...10

More information

Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M

Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル 413180100 19.4 システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M21/M22/M23/M24/M25 テクニカルマニュアル 413556900 21.4 システムリセットコントローラ

More information

WeChat 認証ベースのインターネット アクセス

WeChat 認証ベースのインターネット アクセス WeChat 認証ベースのインターネット アク セス WeChat クライアント認証について 1 ページ WLC での WeChat クライアント認証の設定 GUI 2 ページ WLC での WeChat クライアント認証の設定 CLI 3 ページ WeChat アプリを使用したモバイル インターネット アクセス用のクライアントの認証 GUI 4 ページ WeChat アプリを使用した PC インターネット

More information

DUSx200 シリーズコントローラ I2C インターフェース仕様書

DUSx200 シリーズコントローラ I2C インターフェース仕様書 DUSx200 シリーズコントローラ I2C インターフェース仕様書 目次 1. 変更履歴... 2 2. 適用... 3 3. ホストインターフェース... 3 3.1. 通信タイミング... 3 3.2. 制御信号... 3 3.3. 通信仕様... 4 3.4. プロトコル仕様... 4 4. レポート形式... 5 4.1. タッチ座標データ... 5 4.2 水レポート... 5 5. メンテナンスコマンド...

More information

1. ネットワーク経由でダウンロードする場合の注意事項 ダウンロード作業における確認事項 PC 上にファイアウォールの設定がされている場合は 必ずファイアウォールを無効にしてください また ウイルス検知ソフトウェアが起動している場合は 一旦その機能を無効にしてください プリンターは必ず停止状態 (

1. ネットワーク経由でダウンロードする場合の注意事項 ダウンロード作業における確認事項 PC 上にファイアウォールの設定がされている場合は 必ずファイアウォールを無効にしてください また ウイルス検知ソフトウェアが起動している場合は 一旦その機能を無効にしてください プリンターは必ず停止状態 ( ファームウェアのダウンロード手順 概要 機能変更や修正のために プリンターを制御するファームウェアを PC から変更することが可能です ファームウェアはホームページ (http://www.jbat.co.jp) から入手可能です ファームウェアは プリンター本体制御用のファームウェアと Interface Card 用ファームウェアの 2 種類で それぞれ独自にダウンロード可能です プリンター本体制御用のファームウェアは

More information