PowerPoint Presentation

Size: px
Start display at page:

Download "PowerPoint Presentation"

Transcription

1 VME Embedded System ユーザーズマニュアル ~ Full VME Embedded ~ Tecstar Page: 1

2 Agenda 1. VME Embedded System 概要 2. VME Embedded の特徴 3. Embedded Overview 4. VMEファイルとHEXファイルについて 5. Deployment Toolの起動方法について 6. VME Embedded 用意されているファイル 7. JTAG Full VME Embedded 8. ispvmeソースファイルについて 9. ispvme_epromソースファイルについて 10. VME Embedded ユーザーフロー 11. CPUへのマッピング結果 12. Diamond Ver3.1 Support Device 13. Revision Page: 2

3 1. VME Embedded System 概要 VME Embedded System とは? Embedded VME ( 以下 VME) とは 組み込みシステム向けの ISP プログラムで Lattice 社の ispvm System の機能を C プログラムで構成したものであり お客様が 開発される機器に組み込む ( 実機上のメモリに書き込む ) ことで PC からではなく 実機上の CPU から直接デバイスへの書き込みやリード等を行うことができます CPU GPIO GPIO GPIO GPIO TMS TDI TCK TDO Page: 3

4 2. VME Embedded の特徴 ispvme には以下の特徴があります C 言語にて提供されています お客様独自の ISP 書き込みエンジンに実装することができます CPU に実装することで CPU ポートから直接 JTAG を介して ISP を実行できます SVF ファイル対応により Lattice 社以外のデバイスにも ISP の実行が可能です 複数 JTAG チェイン構成の ISP にも対応しています IEEE1532 プログラミングプロトコルに対応しています IEEE1532 とは IEEE1149.1(BSCAN テストのための規格で JTAG について定義 ) に よって規定されている中で デバイスへのプログラミングを行う部分を規格化したものです IEEE1532 を使用することで デバイスプログラムの標準化が実現します ispvme ソースは ispvm System を以下 2 種類用意しております 1. JTAG Full VME Embedded JTAG portを用いたフル機能のシステムです 2. JTAG Slim VME Embedded 8051プロセッサの様にリソースの少ないマイクロコントローラ向けに機能を限定したシステムです 本資料では JTAG Full VME Embedded についての内容となります Page: 4

5 3. Embedded Overview VME Embedded System には 以下 3 つの構成となっております 1. Diamond Programmerを使用してANSI C source codeを用意致します ユーザーはこのANSI C source codeを自分のシステムにコンパイルすることが可能です 2. ご使用のFPGAのプログラムアルゴリズムを含めたAlgorithm VME Fileを用意致します Deployment Toolを使用してこのVME FileまたはHex Fileは生成可能です 3. FPGAの中にプログラムされるData VME FileまたはHex Fileを用意致します Deployment Toolを使用してこのVME FileまたはHex Fileを生成可能です Page: 5

6 4. VME ファイルと HEX ファイルについて Diamond Programmer ではデバイスに書き込むファイルとして JEDEC ファイルや Bit Stream ファイルを用意しましたが VME Embedded では VME ファイルを生成する必要があります VMEファイルとは? VMEファイルは圧縮されたSVFファイルで バイナリで記述されています JEDECファイルやBit Streamファイルの情報 JTAGチェイン情報 オペレーション (Erase/Program/Read/Verify) の内容を全て合わせ持っています Development Tool 上で生成します HEXファイルについて VMEファイルの内容をそのまま実機上のメモリに書き込むことはできないため HEXファイルに変換する必要があります VMEファイルはバイナリデータですが HEXファイルはヘキサデータです Development Tool 上でVMEファイルから変換します Page: 6

7 5. Deployment Tool の起動方法について Deployment Tool の起動方法は以下 2 つあります 1Diamond Programmerより Design > Utilities > Deployment Tool 起動 2 Windows スタートメニュー > Lattice Diamond X.X> Accessories Deployment Tool 起動 Page: 7

8 6. VME Embedded 用意されているファイル 例 : C: lscc diamond 3.1 embedded_source vmembedded sourcecode Development Tool において変換するソフトです Embedded VME には直接関係はございません Name JTAG Full VME Embedded Folder Name Algorithm and Data File Storage Location Files on OS ex Windows Files embedded in the C Code VmEmbedded x x (Default) Files in External Memory (Default is a EPROM) x (modify ivm_eprom.c Getbyte function for memory type) System Files Hardware Processor VM Engine Deployment Tool Files hardware.c ivm_core.c *.vme Page: 8

9 7. JTAG Full VME Embedded Algorithm と Data ファイルのために 3 つのオペレーションモードがあります 1. File Mode DOS Flash や Hard Drive の様ファイルの中にデータを保存しておく方法です 2. Static Linking Mode Deployment Toolを使用してBitstream ファイルをHard Driveのファイルから C source codeの中へ変換して使用する方法です 3. External Mode ( EPROM フォルダ ) Bitstream ファイルをHard DraiveからIntel HEX fileに変換して使用する方法です GetByte 関数を持っているCPUであれば使用可能です Page: 9

10 8. ispvme ソースファイルについて ispvme ファイルは CPU 内蔵の Flash 領域にプログラムファイルを格納する場合に使用します [ 格納場所 ] 例 : C: lscc diamond 3.1 embedded_source vmembedded sourcecode ispvme 各ソースファイルの内容は次の通りです hardware.c ハードウェア制御モジュール ( ユーザー側で唯一カスタマイズが必要なソースです ) 以下は カスタマイズする必要の無いソースです ispvm_ui.c 入出力の方向の定義ファイル vmopcode.h オペレーションコード定義ヘッダ (VME アルゴリズムフォーマットやプログラムエンジンに使用する Byte Code) ivm_core.c JTAG コマンドコアモジュール Page: 10

11 9. ispvme_eprom ソースファイルについて ispvme_eprom ファイルは CPU の外付け EEPROM にプログラムファイルを格納する場合に使用します [ 格納場所 ] 例 : C: lscc diamond 3.1 embedded_source vmembedded sourcecode ispvme_eprom 各ソースファイルの内容は次の通りです hardware.c ハードウェア制御モジュール ( ユーザー側で唯一カスタマイズが必要なソースです ) 以下は カスタマイズする必要の無いソースです ivm_eprom.c VME コアモジュール ( HEX ファイルで使用する場合には生成した HEX ファイルに合わせて編集が必要 ) vmopcode.h オペレーションコード定義ヘッダ (VME アルゴリズムフォーマットやプログラムエンジンに使用する Byte Code) ivm_core.c JTAG コマンドコアモジュール Page: 11

12 10. VME Embedded ユーザーフロー 以下に, VME Embedded (ispvme_eprom ) ユーザーフローを示します 1 JEDEC ファイル生成 Bit Stream ファイル生成 2 JTAG チェインの作成 4 ivm_eprom.c の編集 システムに 3 VMEファイル /HEXファイルの生成 5 hardwear.c の編集 組み込み! (Development Tool で行う ) 6 ispvm ソースと HEX ファイルをコンパイル Page: 12

13 10. VME Embedded ユーザーフロー 12 1JEDEC ファイル Bit Stream ファイルの用意 Diamond で設計し JEDEC ファイルまたは Bit Stream ファイルを生成して おきます 他社デバイスへの書き込みの場合は SVF ファイルを用意します 2JTAG チェインの作成 Diamond Programmer にて Chain 構成を作成します チェイン情報は JEDEC ファイルや Bit Stream ファイルと共に VME ファイルに書き込まれます VME ファイルを生成するためにコンフィグレーション情報をセーブしておく必要があります データを保存して XCF ファイルを生成しておきます ( または SVF ファイルを用意します ) 下記画面にて File > Save にて XCF ファイルを生成可能です Page: 13

14 10. VME Embedded ユーザーフロー 3 3 データファイルとアルゴリズムファイルを生成します 1) Deployment Tool を開きます Function 及び Output File を以下選択し OK を選択します Function Type: Embedded System Output File Type: JTAG Full VME Embedded 2) INPUT XCF ファイルにて XCF ファイルをロードし NEXT を選択します Page: 14

15 10. VME Embedded ユーザーフロー 3 3) 所望のオプション (File Mode 用の.c 等 ) を選択します ( 通常使用はデフォルト ) 各オプションの詳細設定は次ページをご参照下さい Page: 15

16 10. VME Embedded ユーザーフロー 3 補足 : JTAG Full VME Embedded Optionについて Compress VME File (Default Check) VMEファイルを生成する際に圧縮します ファイルの内容によっては大幅にサイズを小さくすることができます Compact VME File HuffmanAlgorismを使用して Program Settingで設定したモードを無視してVMEファイルを生成します VMEのためのROM 領域を削減し プログラムに要する時間は短くなります Include Header ( Default Check ) Header 情報をVMEファイルに含めます Include Comment SVFファイルに記述されているコメントをVMEファイルに付けることができます Fixed Pulse Width(Rev D) VMEファイルの固定パルス幅を生成します Generate HEX(.c) File VMEファイルをHEXファイルに変換したものを生成します Maximum Memory Allocation Size Per Row of Data(KB) [< > ]: 一行あたりのデータサイズの最大値を入力出来ます < 対応表はHelp 参照 > Verify USERCODE, Program Device if Fails VMEファイルにユーザーコードを付与します プログラム前にデバイスのユーザーコードとVMEファイルのユーザーコードが同じかチェックし PASSした場合のみプログラムを続行します Page: 16

17 10. VME Embedded ユーザーフロー 3 4) 生成するファイル名を選択し Next を選択 Generate ボタンにてファイルを生成します 生成されるファイルは以下の 2 種類になります vme_file.h: VMEの関数群へ生成したHEXファイルを渡す際に必要となる変数の定義が記載されている xxxx.c( 生成したHexファイル ): 書き込み用のデータ ( 開くと以下のように書き込みデータが配列で変数定義されている ) Hexファイルの中身 unsigned char vme1[6921l] = { 0x65, 0xD8, 0x33, 0x5F, 0x5F, 0x5F, 0x5F, 0x31, 0x32, 0x2E, 0x31, 0xF1, 0x19, 0xB8, 0x0C, 0x56, 0x01, 0x08, 0x00, 0x06, 0x00, 0x09, 0x00, 0x07, 0x00, 0x02, 0x01, 0x03, 0x01, 0x0B, Page: 17

18 10. VME Embedded ユーザーフロー 5 5 hardware.cの編集以下ご使用のシステムに合わせてhardware.cの修正が必要となります 編集が必要な関数 :writeport,readport,ispvmdelay,main 1) ケーブルアドレス及びシステムクロック周波数を定義設定して下さい 2) JTAG ピン (TDI,TCK,TMS,TDO 等 ) のアドレスを設定して下さい Page: 18

19 3) WritePort の設定をして下さい 10. VME Embedded ユーザーフロー 5 *a_ucpinsは他関数においては出力ポートレジスタのビット指定をしております 例えば 青枠例にて a_uspins=a_uspintdiの場合 0x01( 前ページ参照 ) と定義されているので8ビットの出力ポートの最下位ビット以外全てマスクされます この例では CPUの出力ポート8へ書き込み信号を出力しています P8.DR.BYTE = g_siisppins; CPU の出力ポートへ信号を代入 Page: 19

20 4) Read Port の設定をして下さい 10. VME Embedded ユーザーフロー 5 編集例赤線 if (P8.DR.BIT.B6) { TDO として定義した CPU ポートのビットを記入 * 上記の編集においては CPU ポート 8 の 7 ビット目を入力ポートとして定義しております 更に このビットを TDO 入力ビットとして定義しております TDO 用入力ビットが High なのか Low なのかを判断して ucret の変数へ値を代入しております Page: 20

21 10. VME Embedded ユーザーフロー 5 5) デフォルトの ispvmdelay function(1ms Delay) をご使用下さい または ユーザーサイドで生成下さい * 左記のコメントアウト以下をModifyする必要があります usidelaytimeの変数のmsbが 1 の場合はMillisec 単位のWaitを入れて usidelaytimeの変数のmsbが 0 の場合はMicrosec 単位のWaitを入れるような関数にする必要があります ただし 現在のVMEソースではMicrosecのWaitが必要になるような構造になっておりませんので Millisec 単位でのWaitのみ考慮してください この例ではH8S/2669( 周波数 26Mhz) での修正例になります 約 26Mhz 周波数動作を行うCPUなので1msタイマは6250カウントする必要があります そして usidelaytimeの変数に応じてタイマカウントが可変するように修正しております void DelayTime(int nmilisec) { int cnt; for(cnt=0; cnt<nmilisec; cnt++){ TPU0.TGRA = 6250; TPU0.TCNT = 0; TPU.TSTR.BIT.CST0 = 1; while(!tpu0.tsr.bit.tgfa); TPU0.TSR.BIT.TGFA = 0; TPU.TSTR.BIT.CST0 = 0; } return; } 1ms 用タイマー関数を作成し usidelaytime の変数に応じてタイマー長が可変します Page: 21

22 6) Clock Calibration 機能を実行して下さい 10. VME Embedded ユーザーフロー 5 一般に 1ラインのコードを実行するシステムクロック数 : 8クロックとしますと The total number of clock for one pulse = 2x8 = 16. The total amount of time for one pulse = 1/Fx 16 Lattice Device TCK frequency max = 25MHz The equation becomes: 1/25 = 1/F x16 CPUの最大動作周波数 F = 16 x 25 = 400MHz CPUのシステムクロック数が400MHzより早い場合 VME Emdedded Fileでは TCKのパルス幅は 25MHzの最大スペックに合わせるために Slow Downさせなければなりません Page: 22

23 10. VME Embedded ユーザーフロー 5 7) Delay を生成して下さい ( システムクロックが 25MHz 以上の場合 ) Idle Time は通常 初期値 0 となっております JTAG Embedded VME Delay Calibration TCKのパルス幅が1ms 以下のパルス幅の場合 Calibration 機能より1ms 以下の遅延量まで CPUの周波数の値を増やします 初期値を 1 にした場合 TCK の周波数が半分まで下がります TCK のパルス幅を 1ms 以内に下げるために調整します TCK の周波数が 25MHz よりも早い場合 hardware.c ファイル内の sclok() 関数を変更します ( 次ページ参照 ) Page: 23

24 10. VME Embedded ユーザーフロー 5 8) main 関数の編集について void main(/*int argc, signed char *argv[]*/) 編集例 main 関数の編集 { short siretcode = 0; lcd_watch("lattice VME","STANBY OK"); while(!p4.dr.bit.b4); lcd_watch("lattice VME","WRITE START"); siretcode = ispvm(); } if ( siretcode < 0 ) { lcd_watch("lattice VME","FAIL"); } else { lcd_watch("lattice VME","SUCCESS"); } * この VME ソース自体が組み込み用として作成されておらず main 関数には printf 等の標準 入出力関数が使用されております この main 関数は組込み用にカスタマイズしてお使いください Page: 24

25 10. VME Embedded ユーザーフロー 6 6 ご使用の CPU 開発環境下にて ispvm ソースと HEX ファイルをコンパイルを行い システムに組み込んで頂きます 一度 キャリブレーション機能を実行したあとに VME Embeddedはデバイスをプログラムする準備に入ります 主要なVME Emdeddedプログラムの機能は以下 6つです 1. Check the IDCODE 2. Erase the Device 3. Program the Device 4. Verify the device 5. Program the done fuse 6. Wake-up the Device Page: 25

26 11. CPU へのマッピング結果 H8/3048F マイコンにマッピング後の結果一覧 ROM 使用領域 :44.3KB( 内 9KB が書き込みファイル ) RAM 使用領域 :21.6KB 内訳 : 関数 23.8KB 大域変数 定数 1.7KB 大域変数 初期化データ領域 18.7KB 大域変数 未初期化データ領域 2.3KB 局所変数 0.5KB Page: 26

27 Page: Diamond Ver3.1 Support Device

28 13. Revision リビジョンデータ作成者備考 rev /8/4 Machida FirstRevision Rev /6/30 Takahashi Kenta 参考文献 * 詳細は以下 Lattice 資料をご参照下さい jtag_full_vme.pdf Lattice JTAG Full VME Manual (Diamond2.2 ) Embedded_VME.pdf Porting Embedded VME into Embedded System( Diamond2.2 ) Page: 28

PowerPoint Presentation

PowerPoint Presentation VME Embedded System ユーザーズマニュアル ~ Slim VME Embedded ~ Tecstar Page: 1 Agenda 1. VME Embedded System 概要 2. VME Embedded の特徴 3. Embedded Overview 4. VMEファイルとHEXファイルについて 5. Slim VME について 6. Deployment Toolの起動方法について

More information

PowerPoint Presentation

PowerPoint Presentation SSPI Embedded System ユーザーズマニュアル Tecstar Page: 1 Agenda 1. SSPI Embedded System 概要 2. SSPI Embedded の特徴 3. Deployment Toolの起動方法について 4. SSPI Embedded 用意されているファイル 5. ソースファイルについて 6. SSPI Embedded ユーザーフロー 7.

More information

Report Template

Report Template 1 ( ) 4... 4... 4 ispvm system... 5... 6... 6... 7 I/O... 7 USB... 9... 12 ( )... 14... 15 ( ) 16... 16 Dual Boot... 16 Primary Image file... 19 USERCODE/UES... 21 I/O... 22... 24 ATE... 26 SVF... 29 SVF...

More information

Report Template

Report Template 日本語マニュアル 第 16 章 ( 本 日本語マニュアルは 日本語による理解のため一助として提供しています その作成にあたっては各トピックについて それぞれ可能な限り正確を期しておりますが 必ずしも網羅的ではなく 或いは最新でない可能性があります また 意図せずオリジナル英語版オンラインヘルプやリリースノートなどと不一致がある場合もあり得ます 不明箇所について又は疑義が生じた場合は ラティスセミコンダクター正規代理店の技術サポート担当にお問い合わせ頂くか

More information

Quartus II はじめてガイド - Convert Programming File の使い方

Quartus II はじめてガイド - Convert Programming File の使い方 ALTIMA Corp. Quartus II はじめてガイド Convert Programming File の使い方 ver.14 2015 年 1 月 Rev.1 ELSENA,Inc. Quartus II はじめてガイド Convert Programming File の使い方 目次 1. 2. はじめに...3 操作方法...3 2-1. 2-2. 2-3. Convert Programming

More information

Quartus Prime - プログラミング・ファイルの生成や変換(Convert Programming Files)

Quartus Prime - プログラミング・ファイルの生成や変換(Convert Programming Files) ALTIMA Corp. Quartus Prime プログラミング ファイルの生成や変換 (Convert Programming Files) ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. Quartus Prime プログラミング ファイルの生成や変換 (Convert Programming Files) 目次 1. 2. はじめに...3 操作方法...4 2-1.

More information

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装 LIM Corp. Nios II - PIO を使用した I 2 C-Bus (2 ワイヤ ) マスタの実装 ver.1.0 2010 年 6 月 ELSEN,Inc. 目次 1. はじめに... 3 2. 適用条件... 3 3. システムの構成... 3 3-1. SOPC Builder の設定... 3 3-2. PIO の設定... 4 3-2-1. シリアル クロック ライン用 PIO

More information

Quartus Prime はじめてガイド - デバイス・プログラミングの方法

Quartus Prime はじめてガイド - デバイス・プログラミングの方法 ALTIMA Corp. Quartus Prime はじめてガイドデバイス プログラミングの方法 ver.15.1 2016 年 3 月 Rev.1 ELSENA,Inc. Quartus Prime はじめてガイド デバイス プログラミングの方法 目次 1. 2. 3. 4. はじめに...3 プログラミング方法...5 Auto Detect 機能...14 ISP CLAMP 機能...17

More information

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一 RX210 グループ IRQ 割り込みを使用したパルス出力 要旨 本サンプルコードでは IRQ 割り込みが発生すると 一定期間タイマでパルスを出力する 方法について説明します 対象デバイス RX210 1 / 25 内容 1. 仕様... 3 2. 動作確認条件... 3 3. ハードウェア説明... 3 3.1 使用端子一覧... 3 4. ソフトウェア説明... 4 4.1 動作概要... 4

More information

スライド 1

スライド 1 RX62N 周辺機能紹介データフラッシュ データ格納用フラッシュメモリ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ データフラッシュの概要 プログラムサンプル 消去方法 書き込み方法 読み出し方法 FCUのリセット プログラムサンプルのカスタマイズ 2 データフラッシュの概要 3 データフラッシュとは フラッシュメモリ

More information

デジタル回路入門

デジタル回路入門 Open-It FPGA トレーニングコース ( 初級編 ) 第 9 版 2. 組み合わせ回路入門 2.4. 実習 FPGA への実装 2013 年 5 月 10 日修正 まずは動かしてみましょう!! 詳細内容は明日説明します Open-It FPGA トレーニングコース ( 初級 ) 2 FPGA への実装方法 HDL コード Synthesize 論理合成 4 つの要素へ変換 最適化 ISE Implementation

More information

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ arduino プログラミング課題集 ( Ver.5.0 2017/06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイコンから伝える 外部装置の状態をマイコンで確認する 信号の授受は 入出力ポート 経由で行う (2) 入出力ポートとは?

More information

MSP430 CCSv5 を使い Flash Memory 内容と version 情報を確認する方法 ( テクニック編 ) Rev: PIC Trout 今回は 下記の2 件について説明します 1) CCSv5 を使用して MSP430 の Flash Memory 内容を

MSP430 CCSv5 を使い Flash Memory 内容と version 情報を確認する方法 ( テクニック編 ) Rev: PIC Trout 今回は 下記の2 件について説明します 1) CCSv5 を使用して MSP430 の Flash Memory 内容を MSP430 CCSv5 を使い Flash Memory 内容と version 情報を確認する方法 ( テクニック編 ) Rev:01 10.4.2013 PIC Trout 今回は 下記の2 件について説明します 1) CCSv5 を使用して MSP430 の Flash Memory 内容を確認する方法 JTAG アクセスができるデバイス ( セキュリティ Fuse 断ではできません ) に対して

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

1. 新規プロジェクト作成の準備新規プロジェクトのためのフォルダを用意して そこにプロジェクトを作成します [ 新しいフォルダー ] をクリックして希望のフォルダに新しいフォルダを作成します この例では TrST_F401N_BlinkLD2 というフォルダを作成しました TrST_F401N_Bl

1. 新規プロジェクト作成の準備新規プロジェクトのためのフォルダを用意して そこにプロジェクトを作成します [ 新しいフォルダー ] をクリックして希望のフォルダに新しいフォルダを作成します この例では TrST_F401N_BlinkLD2 というフォルダを作成しました TrST_F401N_Bl NUCLEO-F401RE の TrueSTUDIO プロジェクト構築方法 V001 2014/09/24 Atollic TrueSTUDIO for ARM Lite を使用して NUCLEO-F401RE のプロジェクトを新規に作成する方法について説明します また ビルドとデバッグについても説明しています 目次 1. 新規プロジェクト作成の準備... 2 2. 新規プロジェクトの作成... 3

More information

S1C17 Family Application Note S1C17 シリーズ PORT 多重割り込みアプリケーションノート Rev.1.0

S1C17 Family Application Note S1C17 シリーズ PORT 多重割り込みアプリケーションノート Rev.1.0 S1C17 Family Application Note S1C17 シリーズ PORT 多重割り込みアプリケーションノート Rev.1.0 評価ボード キット 開発ツールご使用上の注意事項 1. 本評価ボード キット 開発ツールは お客様での技術的評価 動作の確認および開発のみに用いられることを想定し設計されています それらの技術評価 開発等の目的以外には使用しないで下さい 本品は 完成品に対する設計品質に適合していません

More information

CoIDE 用 F4D_VCP の説明 V /07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x

CoIDE 用 F4D_VCP の説明 V /07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x CoIDE 用 F4D_VCP の説明 V001 2014/07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x08000000 です デバッグが可能です 目次 1. USB の VCP( 仮想 COM ポート )

More information

Quartus II はじめてガイド - デバイス・プログラミング方法

Quartus II はじめてガイド - デバイス・プログラミング方法 - Quartus II はじめてガイド - デバイス プログラミング方法 ver. 9.1 2010 年 1 月 1. はじめに この資料では Quartus II の Programmer の操作方法を紹介しています Programmer を使用し デバイスにプログラミング ( デバイスへの書き込み ) を行います アルテラのデバイスへデータを書き込むときには プログラミング ハードウェアを使用します

More information

1. USB の VCP( 仮想 COM ポート ) について USB の VCP( 仮想 COM ポート ) は USB を非同期シリアル通信として使用するための USB のドライバです PC には VCP ドライバをインストールする必要があります USB の VCP( 仮想 COM ポート )

1. USB の VCP( 仮想 COM ポート ) について USB の VCP( 仮想 COM ポート ) は USB を非同期シリアル通信として使用するための USB のドライバです PC には VCP ドライバをインストールする必要があります USB の VCP( 仮想 COM ポート ) TrueSTUDIO 用 F4D_VCP の説明 V001 2014/07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです 無料の試用版開発ツール Atollic TrueSTUDIO for ARM Lite で作成したプロジェクトです ビルド可能なプログラムのコードサイズが 32Kbyte 以内の制限があります プログラムの開始番地は 0x08000000

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介安全機能 ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ 安全機能の概要 フラッシュ メモリ CRC 演算機能 RAM パリティ エラー検出機能 データの保護機能 RAM ガード機能 SFR ガード機能 不正メモリ アクセス機能 周辺機能を使用した安全機能 周波数検出機能 A/D

More information

2006年10月5日(木)実施

2006年10月5日(木)実施 2010 年 7 月 2 日 ( 金 ) 実施 ファイル処理ファイルとはファイル (file) は日常用語では紙などを綴じたものを表すが, コンピュータ用語ではデータの集合体を指す言葉である ファイルは例えば, 文書ファイルやプログラムファイルのように, 用途によって分類されることもあれば, また, テキストファイルやバイナリファイルのように, ファイルの作り方によって分類されることもある なお,

More information

プログラミング基礎

プログラミング基礎 C プログラミング Ⅰ 授業ガイダンス C 言語の概要プログラム作成 実行方法 授業内容について 授業目的 C 言語によるプログラミングの基礎を学ぶこと 学習内容 C 言語の基礎的な文法 入出力, 変数, 演算, 条件分岐, 繰り返し, 配列,( 関数 ) C 言語による簡単な計算処理プログラムの開発 到達目標 C 言語の基礎的な文法を理解する 簡単な計算処理プログラムを作成できるようにする 授業ガイダンス

More information

Notes and Points for TMPR454 Flash memory

Notes and Points for TMPR454 Flash memory 表紙 TMPR454 内蔵 Flash メモリ対応版手順書 株式会社 DTS インサイト ご注意 (1) 本書の内容の一部または 全部を無断転載することは禁止されています (2) 本書の内容については 改良のため予告なしに変更することがあります (3) 本書の内容について ご不明な点やお気付きの点がありましたら ご連絡ください (4) 本製品を運用した結果の影響については (3) 項にかかわらず責任を負いかねますのでご了承ください

More information

memo

memo 数理情報工学演習第一 C プログラミング演習 ( 第 5 回 ) 2015/05/11 DEPARTMENT OF MATHEMATICAL INFORMATICS 1 今日の内容 : プロトタイプ宣言 ヘッダーファイル, プログラムの分割 課題 : 疎行列 2 プロトタイプ宣言 3 C 言語では, 関数や変数は使用する前 ( ソースの上のほう ) に定義されている必要がある. double sub(int

More information

Notes and Points for TM4C123Gx Internal Flash memory

Notes and Points for TM4C123Gx Internal Flash memory 表紙 TI 社製 TM4C123GH6PM 内蔵 Flash メモリ対応手順書 株式会社 DTS インサイト ご注意 (1) 本書の内容の一部または 全部を無断転載することは禁止されています (2) 本書の内容については 改良のため予告なしに変更することがあります (3) 本書の内容について ご不明な点やお気付きの点がありましたら ご連絡ください (4) 本製品を運用した結果の影響については (3)

More information

スライド 1

スライド 1 RX ファミリ用コンパイラスタートアップの紹介 ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ スタートアップの概要 スタートアッププログラム例 外部メモリを利用する場合の設定 2 スタートアップの概要 3 処理の流れとファイル構成例 パワーオン リセット Fixed_Vectors ( 固定ベクタテーブル )

More information

1. プログラム実行時の動作プログラムを実行すると以下のように動作します 1) NUCLEO-F401RE 上の LED LD2( 緑 ) が 200mSec 間隔で点滅します 2. プロジェクトの構成 2.1. プロジェクト F401N_BlinkLD2 の起動画面 TrueSTUDIO で作成し

1. プログラム実行時の動作プログラムを実行すると以下のように動作します 1) NUCLEO-F401RE 上の LED LD2( 緑 ) が 200mSec 間隔で点滅します 2. プロジェクトの構成 2.1. プロジェクト F401N_BlinkLD2 の起動画面 TrueSTUDIO で作成し TrueSTUDIO 用 F401N_BlinkLD2 の説明 V003 2014/10/01 TIM11 の割り込みを使用して LED 点滅を行う NUCLEO-F401RE のプロジェクトサンプルです NUCLEO-F401RE は STMicroelectronics 社製の Cortex-M4 ARM CPU である STM32F401RET6 を搭載した基板です 試用版の開発ツール Atollic

More information

Prog1_12th

Prog1_12th 2013 年 7 月 4 日 ( 木 ) 実施 ファイル処理ファイルとはファイル (file) は日常用語では紙などを綴じたものを表すが, コンピュータ用語ではデータの集合体を指す言葉である ファイルは例えば, 文書ファイルやプログラムファイルのように, 用途によって分類されることもあれば, また, テキストファイルやバイナリファイルのように, ファイルの作り方によって分類されることもある なお,

More information

スライド 1

スライド 1 isplever CLASIC 1.2 Startup Manual for MACH4000 Rev.1.0 isplever_ CLASIC Startup_for_MACH4000_Rev01.ppt Page: 1 1. Page 3 2. Lattice isplever Design Flow Page 4 3. Page 5 3-1 Page 6 3-2 Page 7 3-3 Page

More information

計算機プログラミング

計算機プログラミング プログラミング言語 C 第 8 講 システム標準関数 ( 入出力関数 ) システム標準関数 システムに備え付けの関数 例 ) printf( ); scanf( ); 標準出力関数 標準入力関数 A. 入出力用の関数 高水準入出力関数 高水準言語 (OS に依存しない ) 低水準入出力関数 機械語レベル (OS に依存 ) B. それ以外の関数 引数と関数の型 ( 戻り値 ) に注目しましょう 例

More information

SuperH RISC engineファミリ用 C/C++コンパイラパッケージ V.7~V.9 ご使用上のお願い

SuperH RISC engineファミリ用 C/C++コンパイラパッケージ V.7~V.9 ご使用上のお願い ツールニュース RENESAS TOOL NEWS 2014 年 02 月 01 日 : 140201/tn1 SuperH RISC engine ファミリ用 C/C++ コンパイラパッケージ V.7~V.9 ご使用上のお願い SuperH RISC engine ファミリ用 C/C++ コンパイラパッケージ V.7~V.9の使用上の注意事項 4 件を連絡します 同一ループ内の異なる配列要素に 同一の添え字を使用した場合の注意事項

More information

Microsoft Word - Training10_プリプロセッサ.docx

Microsoft Word - Training10_プリプロセッサ.docx Training 10 プリプロセッサ 株式会社イーシーエス出版事業推進委員会 1 Lesson1 マクロ置換 Point マクロ置換を理解しよう!! マクロ置換の機能により 文字列の置き換えをすることが出来ます プログラムの可読性と保守性 ( メンテナンス性 ) を高めることができるため よく用いられます マクロ置換で値を定義しておけば マクロの値を変更するだけで 同じマクロを使用したすべての箇所が変更ができるので便利です

More information

Spectrum Setup 画面が現れます Install Tab の各項目に マウス カーソルを合わせると 項目の詳細説明 が表示されます 通常はデフォルトの選択で問題ありませんが LabVIEW Driver Matlab Driver が必要な場合は 選択します 6. Install sel

Spectrum Setup 画面が現れます Install Tab の各項目に マウス カーソルを合わせると 項目の詳細説明 が表示されます 通常はデフォルトの選択で問題ありませんが LabVIEW Driver Matlab Driver が必要な場合は 選択します 6. Install sel NETBOX_ 最初にお読み下さい.docx NETBOX をご評価 ご購入頂きありがとうございます 本ドキュメントは Windows 環境での NETBOX の設置 LAN 接続 ドライバ ソフトウエア (Control Center, SBench6) インストール 動作確認まで順を追って説明する簡易版になります 説明内容は Windows7 環境の画面表示をベースとしておりますが Windows10

More information

ETCB Manual

ETCB Manual ETCB Manual HARDWARE & PROGRAMMING MANUAL 目次 始めに ETCB 仕様 開発環境の構築 01 始めに 始めに 始めに 注意事項 免責事項 同梱品 02 始めに サポート 03 ETCB 仕様 ETCB 仕様 概要 仕様 項目サブ項目内容電源推奨入力電圧 6.6V~12V 最大入力電圧 16V 出力電圧内部 3.3V 外部 5.0V 最大出力電流値最大 2.2A

More information

オンチップ・メモリ クイック・ガイド for Cyclone III

オンチップ・メモリ クイック・ガイド for Cyclone III ver.9.1 2010 年 1 月 1. はじめに アルテラ社製 FPGA デバイスにおいてオンチップ メモリ (FPGA 内部で RAM や ROM などを構成 ) を実現するには Memory Compiler メガファンクションを使用します Memory Compiler メガファンクションは Cyclone シリーズ, Arria シリーズ, Stratix シリーズ, HardCopy

More information

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+)

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+) RL78 開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行 ( 統合開発環境編 ) (High-performance Embedded Workshop CS+) 2017/4/7 R20UT2087JJ0103 ソフトウェア事業部ソフトウエア技術部ルネサスシステムデザイン株式会社 はじめに 本資料は 統合開発環境 High-performance Embedded Workshop

More information

AN1526 RX開発環境の使用方法(CS+、Renesas Flash Programmer)

AN1526 RX開発環境の使用方法(CS+、Renesas Flash Programmer) RX 開発環境の使用方法 (CS+ Renesas Flash Programmer) 第 2 版 2018 年 03 月 13 日 1. 概要 1.1 概要 本アプリケーションノートでは RX シリーズで使用する開発環境についての解説を行います 解説を行う開発環境は以下の 3 つです 1.RX ファミリ用 C/C++ コンパイラパッケージ 2.Renesas Flash Programmer(RFP)

More information

Microsoft PowerPoint - kougi7.ppt

Microsoft PowerPoint - kougi7.ppt C プログラミング演習 第 7 回メモリ内でのデータの配置 例題 1. 棒グラフを描く 整数の配列から, その棒グラフを表示する ループの入れ子で, 棒グラフの表示を行う ( 参考 : 第 6 回授業の例題 3) 棒グラフの1 本の棒を画面に表示する機能を持った関数を補助関数として作る #include "stdafx.h" #include void draw_bar( int

More information

Nios II Flash Programmer ユーザ・ガイド

Nios II Flash Programmer ユーザ・ガイド ver. 8.0 2009 年 4 月 1. はじめに 本資料は Nios II 開発環境においてフラッシュメモリ または EPCS へのプログラミングを行う際の参考マニュアルです このマニュアルでは フラッシュメモリの書き込みの際に最低限必要となる情報を提供し さらに詳しい情報はアルテラ社資料 Nios II Flash Programmer User Guide( ファイル名 :ug_nios2_flash_programmer.pdf)

More information

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」 ALTIMA Company, MACNICA, Inc Nios II HAL API Modular Scatter-Gather DMA Core Ver.17.1 2018 8 Rev.1 Nios II HAL API Modular Scatter-Gather DMA Core...3...3...4... 4... 5 3-2-1. msgdma... 6 3-2-2. On-Chip

More information

1. 使用する信号 1.1. UART 信号 UART 通信に使用する信号と接続相手との接続は以下の通りです UART 信号表 番号 CPU 機能名 CPU 信号名 基板コネクタピン番号 方向 接続相手の信号名 1 USART1_TX PA9 CN > RxD 2 USART1_R

1. 使用する信号 1.1. UART 信号 UART 通信に使用する信号と接続相手との接続は以下の通りです UART 信号表 番号 CPU 機能名 CPU 信号名 基板コネクタピン番号 方向 接続相手の信号名 1 USART1_TX PA9 CN > RxD 2 USART1_R TrueSTUDIO 用 L152CD_UART1 の説明 V001 2014/10/22 UART( 非同期シリアル通信 ) で送受信を行う STM32L152C-DISCO のプロジェクトサンプルです STM32L152C-DISCO は STMicroelectronics 社製の Cortex-M3 ARM CPU である STM32L152RCT6 を搭載した基板です 試用版の開発ツール

More information

2015/04/01 改定 オムロン DeviceNet ユニット CJ1W-DRM21 に関するコンフィグレーション作業について 1. 概要 DeviceNet ユニット CJ1W-DRM21 を装着したオムロン製 CJ2 シリーズと WAGO-I/0-SYSTEM DeviceNet 対応バスカ

2015/04/01 改定 オムロン DeviceNet ユニット CJ1W-DRM21 に関するコンフィグレーション作業について 1. 概要 DeviceNet ユニット CJ1W-DRM21 を装着したオムロン製 CJ2 シリーズと WAGO-I/0-SYSTEM DeviceNet 対応バスカ オムロン DeviceNet ユニット CJ1W-DRM21 に関するコンフィグレーション作業について 1. 概要 DeviceNet ユニット CJ1W-DRM21 を装着したオムロン製 CJ2 シリーズと WAGO-I/0-SYSTEM DeviceNet 対応バスカプラ 750-306 を使ったリモート I/O システムとの接続に関するコンフィグレーション方法について説明いたします 2. システム構成本書で用いるシステム構成例の内容を以下の表に示します

More information

Taro-ファイル処理(公開版).jtd

Taro-ファイル処理(公開版).jtd ファイル処理 0. 目次 1. はじめに 2. ファイル内容の表示 3. ファイル内容の複写 3. 1 文字単位 3. 2 行単位 4. 書式付き入出力 5. 文字配列への入出力 6. 課題 6. 1 課題 1 ( ファイル圧縮 復元 ) - 1 - 1. はじめに ファイル処理プログラムの形は次のようになる #include main() { FILE *fp1,*fp2; ファイルポインタの宣言

More information

1. ST-LINK Utility のダウンロード Windows7 PC にインストールする場合について説明します 1.1. STMicroelectronics のサイト STMicroelectronics のサイトを開きます ここに ST-LINK と入力して検索します ( 右側の虫眼鏡を

1. ST-LINK Utility のダウンロード Windows7 PC にインストールする場合について説明します 1.1. STMicroelectronics のサイト STMicroelectronics のサイトを開きます ここに ST-LINK と入力して検索します ( 右側の虫眼鏡を STM32 ST-LINK Utility のインストールと使用方法 V002 2014/04/03 STMicroelectronics 社の CPU STM32 シリーズにプログラムを書き込むために ST-LINK Utility を使用します 書き込むファイルの種類はおもにバイナリファイル (*.bin) またはヘキサファイル (*.hex) です ST-LINK Utility のインストールとプログラムの書き込み方法について説明します

More information

ブート領域、フラッシュ領域の分割方法 RL78ファミリ用Cコンパイラ CC-RL

ブート領域、フラッシュ領域の分割方法 RL78ファミリ用Cコンパイラ CC-RL ブート領域 フラッシュ領域の分割方法 RL78 ファミリ用 C コンパイラ CC-RL 2016 年 10 月 5 日 Rev.2.00 ソフトウエア事業部 ソフトウエア技術部 ルネサスシステムデザイン株式会社 R20UT3475JJ0200 アジェンダ はじめにページ 3 概要ページ 4 ブート領域 フラッシュ領域共通ページ 12 ブート領域ページ 19 フラッシュ領域ページ 38 デバッグツールページ

More information

Microsoft PowerPoint - 10Com2.ppt

Microsoft PowerPoint - 10Com2.ppt リダイレクトとは コマンドプロンプト操作 (2) リダイレクト パイプ 標準入出力プログラム コマンド行引数 関数 system() 今日のポイン リダイレクトとパイプを使いこなそう 標準入力 標準出力 標準エラー出力を " デフォルト " から別のファイルに割り当てる操作 " デフォルト " は CON ( コンソール ) で 実際の入力はキーボード 出力はディスプレイ 標準入力は 0< ファイル名

More information

ex04_2012.ppt

ex04_2012.ppt 2012 年度計算機システム演習第 4 回 2012.05.07 第 2 回課題の補足 } TSUBAMEへのログイン } TSUBAMEは学内からのログインはパスワードで可能 } } } } しかし 演習室ではパスワードでログインできない設定 } 公開鍵認証でログイン 公開鍵, 秘密鍵の生成 } ターミナルを開く } $ ssh-keygen } Enter file in which to save

More information

ターゲット項目の設定について

ターゲット項目の設定について Code Debugger CodeStage マニュアル別冊 ターゲット 項目の設定について Rev. 2.8 2018 年 4 月 13 日 BITRAN CORPORATION ご注意 1 本書及びプログラムの内容の一部または 全部を無断で転載することは プログラムのバックアップの場合を除き 禁止されています 2 本書及びプログラムの内容に関しては 将来予告なしに変更することがあります 3 当社の許可なく複製

More information

TFTP serverの実装

TFTP serverの実装 TFTP サーバーの実装 デジタルビジョンソリューション 佐藤史明 1 1 プレゼンのテーマ組み込みソフトのファイル転送を容易に 2 3 4 5 基礎知識 TFTP とは 実践 1 実際に作ってみよう 実践 2 組み込みソフトでの実装案 最後におさらい 2 プレゼンのテーマ 組み込みソフトのファイル転送を容易に テーマ選択の理由 現在従事しているプロジェクトで お客様からファームウェアなどのファイル転送を独自方式からTFTPに変更したいと要望があった

More information

(Microsoft Word - \214\264\215e B_\217\221\202\253\215\236\202\335\225\224.docx)

(Microsoft Word - \214\264\215e B_\217\221\202\253\215\236\202\335\225\224.docx) トランジスタ技術 2009 年 3 月号特集気軽にはじめる FPGA 第 5 章マルチチャネル信号発生器信号発生器の製作 ~はんだ付け不要ロジックの自在さを生かす~ ISE WebPACK を使って FPGA にソースを書き込むまでの手順 坂本三直 プロジェクトプロジェクトの新規生成 / 読み込み : CQ 出版社の HP より本スタータキット用のプロジェクトをダウンロードしてください. パソコン上にコピーできたら,Xilinx

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

Notes and Points for ADuCM320 Internal Flash memory

Notes and Points for ADuCM320 Internal Flash memory 表紙 ANALOG DEVICES 社製 ADuCM320 内蔵 Flash メモリ対応手順書 株式会社 DTS インサイト ご注意 (1) 本書の内容の一部または 全部を無断転載することは禁止されています (2) 本書の内容については 改良のため予告なしに変更することがあります (3) 本書の内容について ご不明な点やお気付きの点がありましたら ご連絡ください (4) 本製品を運用した結果の影響については

More information

RX ファミリ用 C/C++ コンパイラ V.1.00 Release 02 ご使用上のお願い RX ファミリ用 C/C++ コンパイラの使用上の注意事項 4 件を連絡します #pragma option 使用時の 1 または 2 バイトの整数型の関数戻り値に関する注意事項 (RXC#012) 共用

RX ファミリ用 C/C++ コンパイラ V.1.00 Release 02 ご使用上のお願い RX ファミリ用 C/C++ コンパイラの使用上の注意事項 4 件を連絡します #pragma option 使用時の 1 または 2 バイトの整数型の関数戻り値に関する注意事項 (RXC#012) 共用 RX ファミリ用 C/C++ コンパイラ V.1.00 Release 02 ご使用上のお願い RX ファミリ用 C/C++ コンパイラの使用上の注意事項 4 件を連絡します #pragma option 使用時の 1 または 2 バイトの整数型の関数戻り値に関する注意事項 (RXC#012) 共用体型のローカル変数を文字列操作関数で操作する場合の注意事項 (RXC#013) 配列型構造体または共用体の配列型メンバから読み出した値を動的初期化に用いる場合の注意事項

More information

Microsoft Word - Lab110131b.doc

Microsoft Word - Lab110131b.doc 組み込みソフトウェア実践プロジェクト演習講座 本演習は Xilinx ISE Design Suite 12.3 (Embedded Edition) を対象としています Lab1: BSB を使った PowerPC システムの構築 この Lab で習得する事 BSB(BaseSystemBuilder) を使ったツール基本操作と FPGA へのダウンロード手順を習得します 実習ボード設定 実習ボードのスイッチは以下のように設定してください

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 MTU2 マルチファンクションタイマパルスユニット 2 ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ MTU2 の概要 プログラムサンプル (1) インプットキャプチャ機能 プログラムサンプル (2) PWM モード プログラムサンプル (3) 相補 PWM モード プログラムサンプルのカスタマイズ

More information

Microsoft PowerPoint ppt

Microsoft PowerPoint ppt 基礎演習 3 C 言語の基礎 (5) 第 05 回 (20 年 07 月 07 日 ) メモリとポインタの概念 ビットとバイト 計算機内部では データは2 進数で保存している 計算機は メモリにデータを蓄えている bit 1bit 0 もしくは 1 のどちらかを保存 byte 1byte 1bitが8つ集まっている byte が メモリの基本単位として使用される メモリとアドレス メモリは 1byte

More information

MENU 키를 누르면 아래의 화면이 나타납니다

MENU 키를 누르면 아래의 화면이 나타납니다 Stand-Alone Digital Video Recorder Advanced MPEG-4 DVR 16 Channel Models クライアントソフト 再インストールマニュアル くまざわ書店専用 日本語版 1 V1.07-n307 This document contains preliminary information and subject to change without notice.

More information

AN 100: ISPを使用するためのガイドライン

AN 100: ISPを使用するためのガイドライン ISP AN 100: In-System Programmability Guidelines 1998 8 ver.1.01 Application Note 100 ISP Altera Corporation Page 1 A-AN-100-01.01/J VCCINT VCCINT VCCINT Page 2 Altera Corporation IEEE Std. 1149.1 TCK

More information

著作権および商標 この文書には が所有権を持つ機密事項が含まれます この資料のいかなる部分も許 可無く複製 使用 公開することを固く禁じます 本書は の従業員および許可された 取引先だけに使用が認められています 本書で提供されたデータは正確で信頼性の高いものですが このデータの使用について株式会社

著作権および商標 この文書には が所有権を持つ機密事項が含まれます この資料のいかなる部分も許 可無く複製 使用 公開することを固く禁じます 本書は の従業員および許可された 取引先だけに使用が認められています 本書で提供されたデータは正確で信頼性の高いものですが このデータの使用について株式会社 Version 1.01 著作権および商標 この文書には が所有権を持つ機密事項が含まれます この資料のいかなる部分も許 可無く複製 使用 公開することを固く禁じます 本書は の従業員および許可された 取引先だけに使用が認められています 本書で提供されたデータは正確で信頼性の高いものですが このデータの使用について株式会社 EASEL は責任を負うものではありません は いつでも無断で資料を変更する権利を

More information

目次 < 項目 > < ページ > 1.ispLEVER の種類 3 2.LaticeSemiconductor 社 HP へアクセス 4 3. ユーザ登録方法 5 4.ispLEVERClassic のダウンロード 8 5.ispLEVERClassic のインストール 11 6.Active-H

目次 < 項目 > < ページ > 1.ispLEVER の種類 3 2.LaticeSemiconductor 社 HP へアクセス 4 3. ユーザ登録方法 5 4.ispLEVERClassic のダウンロード 8 5.ispLEVERClassic のインストール 11 6.Active-H isplever Classic インストールマニュアル for PC isplever_classic_installation_manual_rev1.0.ppt Page: 1 目次 < 項目 > < ページ > 1.ispLEVER の種類 3 2.LaticeSemiconductor 社 HP へアクセス 4 3. ユーザ登録方法 5 4.ispLEVERClassic のダウンロード

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 計算機実習 Ⅰ FORTRAN 担当 2018.05.29 本日の課題 プログラムの基本ルールを理解し 以下が含まれるプログラムを作成する (1) 文法の基礎 ( フローチャートなど ) (2) 変数宣言 (3) 入出力 (4) 四則演算 (5) 組込関数 (6) 判定文 (7) リダイレクション PROGRAM MAIN INTEGER I, J, K REAL A, B, C CHARACTER

More information

デジタル表現論・第6回

デジタル表現論・第6回 デジタル表現論 第 6 回 劉雪峰 ( リュウシュウフォン ) 2016 年 5 月 16 日 劉 雪峰 ( リュウシュウフォン ) デジタル表現論 第 6 回 2016 年 5 月 16 日 1 / 16 本日の目標 Java プログラミングの基礎配列 ( 復習 関数の値を配列に格納する ) 文字列ファイルの書き込み 劉 雪峰 ( リュウシュウフォン ) デジタル表現論 第 6 回 2016 年

More information

PowerPoint Presentation

PowerPoint Presentation ファイルの入出力 芝浦工業大学情報工学科 青木義満 今回の講義内容 ファイル入出力 ファイルからのデータ読込み ファイルと配列 2 1 ファイルへのデータ書き込み ( 復習 ) ソースファイル名 :fileio1.c データをファイルに書き込み #include int main(void) { ファイルポインタ宣言 int student_id = 100; char name[

More information

Nios II ハードウェア・チュートリアル

Nios II ハードウェア・チュートリアル Nios II ver. 7.1 2007 8 1. Nios II FPGA Nios II Quaruts II 7.1 Nios II 7.1 Nios II Cyclone II count_binary 2. 2-1. http://www.altera.com/literature/lit-nio2.jsp 2-2. Nios II Quartus II FEATURE Nios II

More information

インテル(R) Visual Fortran コンパイラ 10.0

インテル(R) Visual Fortran コンパイラ 10.0 インテル (R) Visual Fortran コンパイラー 10.0 日本語版スペシャル エディション 入門ガイド 目次 概要インテル (R) Visual Fortran コンパイラーの設定はじめに検証用ソースファイル適切なインストールの確認コンパイラーの起動 ( コマンドライン ) コンパイル ( 最適化オプションなし ) 実行 / プログラムの検証コンパイル ( 最適化オプションあり ) 実行

More information

10-vm1.ppt

10-vm1.ppt オペレーティングシステム ~ 仮想記憶 (1) ~ 山田浩史 hiroshiy @ cc.tuat.ac.jp 2015/06/19 OS の目的 裸のコンピュータを抽象化 (abstraction) し より使いやすく安全なコンピュータとして見せること OS はハードウェアを制御し アプリケーションの効率的な動作や容易な開発を支援する OS がないと メモリをアプリケーション自身が管理しなければならない

More information

A 既製のプロジェクトがある場合

A 既製のプロジェクトがある場合 2008 年 7 月 15 日 ワゴジャパン株式会社 1 使用機器 -Siemens S7-300:CPU315F-2 PN/DP プロセッサ /PROFINET スキャナ -Siemens SIMATIC Manager STEP 7 ソフトウェア バージョン V5.4-750-333 GSD ファイル :B754_V30.GSD(FW Ver.7 以降 ) -WAGO I/O ノード構成ノード

More information

Studuino ライブラリ環境設定Windows編

Studuino ライブラリ環境設定Windows編 Studuino ライブラリセット 環境設定手順書 Windows 編 本資料は Studuino ライブラリのセットアップ手順書になります 以下の作業の前に 本資料を参考に Arduino 言語開発環境を設定して下さい Arduino 言語で加速度センサーを制御する Studuino プログラミング環境で Arduino 言語に変換したソースを編集する もくじ 1. Arduino IDE のインストール...

More information

任意の間隔での FTP 画像送信イベントの設定方法 はじめに 本ドキュメントでは AXIS ネットワークカメラ / ビデオエンコーダにおいて任意の間隔で画像を FTP サー バーへ送信するイベントの設定手順を説明します 設定手順手順 1:AXIS ネットワークカメラ / ビデオエンコーダの設定ページ

任意の間隔での FTP 画像送信イベントの設定方法 はじめに 本ドキュメントでは AXIS ネットワークカメラ / ビデオエンコーダにおいて任意の間隔で画像を FTP サー バーへ送信するイベントの設定手順を説明します 設定手順手順 1:AXIS ネットワークカメラ / ビデオエンコーダの設定ページ はじめに 本ドキュメントでは AXIS ネットワークカメラ / ビデオエンコーダにおいて任意の間隔で画像を FTP サー バーへ送信するイベントの設定手順を説明します 設定手順手順 1:AXIS ネットワークカメラ / ビデオエンコーダの設定ページにアクセスする 1.Web ブラウザを起動します FW v6.50 以下の場合は Internet Explorer を FW v7.10 以降の場合は

More information

SSR2011 検証済みドライバ一覧

SSR2011 検証済みドライバ一覧 Symantec System Recovery 2011 リカバリディスク起動時のドライバ対応一覧表 本書は Symantec System Recovery 2011 にて システムドライブの復元を行う際に必要となるディスクコントローラ / ネットワークのドライバがリカバリディスクに含まれているかの検証結果の一覧表となります 本書にて 検証結果の表す内容は以下の通りとなります OK 製品添付のリカバリディスクにて

More information

Microsoft Word - プログラムをRAM.doc

Microsoft Word - プログラムをRAM.doc プログラムを RAM 上でデバッグする場合の説明 対象 CPU 1)H8/300H H8S シリーズ H8SX シリーズ SH-2 シリーズが対象になります Rev1.50 DEF バージョン 6.30A 仕様より DEF バージョン 7.10A 仕様より 機能 1)BSC( バスステートコントローラ ) による拡張 RAM でのデバッグに対応しました 2)PBC/UBC 無しタイプの CPU 品種でもプログラムメモリが

More information

実習内容 PIC18C242 のポート B に接続した LED を点滅させます ( 他の実習と同じ内容です ) 8 個の LED に表示される値が +3 ずつインクリメントします MPLAB を使って MPASM アセンブラのソース ファイルをアセンブルします MPLAB とソース ファイルは配布し

実習内容 PIC18C242 のポート B に接続した LED を点滅させます ( 他の実習と同じ内容です ) 8 個の LED に表示される値が +3 ずつインクリメントします MPLAB を使って MPASM アセンブラのソース ファイルをアセンブルします MPLAB とソース ファイルは配布し エンベデッド コントロール セミナー 2000 2000 Microchip Technology Incorporated. All Rights Reserved. S9002A Embedded Control Seminar 2000 1 実習内容 PIC18C242 のポート B に接続した LED を点滅させます ( 他の実習と同じ内容です ) 8 個の LED に表示される値が +3

More information

PDFオートコンバータEX

PDFオートコンバータEX PDF コンバータ V4.X インストール ガイド Page0 > 1 PDF コンバータ 32BIT 版のインストール... 2 2 PDF コンバータ 64BIT 版のインストール... 7 3 PDF にフォントを埋め込みたい場合の設定... 13 4 PDF オートコンバータ EX で使用しない場合 PDF コンバータ単体で使用する場合の説明... 14 5 PDF コンバータのアンインストール...

More information

C プログラミング演習 1( 再 ) 2 講義では C プログラミングの基本を学び 演習では やや実践的なプログラミングを通して学ぶ

C プログラミング演習 1( 再 ) 2 講義では C プログラミングの基本を学び 演習では やや実践的なプログラミングを通して学ぶ C プログラミング演習 1( 再 ) 2 講義では C プログラミングの基本を学び 演習では やや実践的なプログラミングを通して学ぶ 今回のプログラミングの課題 次のステップによって 徐々に難易度の高いプログラムを作成する ( 参照用の番号は よくわかる C 言語 のページ番号 ) 1. キーボード入力された整数 10 個の中から最大のものを答える 2. 整数を要素とする配列 (p.57-59) に初期値を与えておき

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

<< 目次 >> 1 PDF コンバータのインストール ライセンスコードの入力 PDF にフォントを埋め込みたい場合の設定 PDF オートコンバータ EX で使用しない場合 PDF コンバータ単体で使用する場合の説明 PDF コンバータのアン

<< 目次 >> 1 PDF コンバータのインストール ライセンスコードの入力 PDF にフォントを埋め込みたい場合の設定 PDF オートコンバータ EX で使用しない場合 PDF コンバータ単体で使用する場合の説明 PDF コンバータのアン PDF コンバータ V5.X インストール ガイド Page0 > 1 PDF コンバータのインストール... 2 2 ライセンスコードの入力... 6 3 PDF にフォントを埋め込みたい場合の設定... 9 4 PDF オートコンバータ EX で使用しない場合 PDF コンバータ単体で使用する場合の説明... 10 5 PDF コンバータのアンインストール... 16 6 お問合せ...

More information

PCI-Express ハード IP を使用した DMA の実現 for Cyclone V GT FPGA 開発キット(ソフトウェア編)

PCI-Express ハード IP を使用した DMA の実現 for Cyclone V GT FPGA 開発キット(ソフトウェア編) ALTIMA Corp. PCI-Express ハード IP を使用した DMA の実現 for Cyclone V GT FPGA 開発キット ( ソフトウェア編 ) ver.1 2015 年 4 月 Rev.1 ELSENA,Inc. PCI-Express ハード IP を使用した DMA の実現 for Cyclone V GT FPGA 開発キット ( ソフトウェア編 ) 目次 1. はじめに...3

More information

フローチャート自動生成ツール yflowgen の使い方 目次 1 はじめに 本ツールの機能 yflowgen.exe の使い方 yflowgen.exe の実行方法 制限事項 生成したファイル (gml ファイル形式 ) の開

フローチャート自動生成ツール yflowgen の使い方 目次 1 はじめに 本ツールの機能 yflowgen.exe の使い方 yflowgen.exe の実行方法 制限事項 生成したファイル (gml ファイル形式 ) の開 フローチャート自動生成ツール yflowgen の使い方 目次 1 はじめに...2 2 本ツールの機能...2 3 yflowgen.exe の使い方...3 3.1 yflowgen.exe の実行方法...3 3.2 制限事項...3 3.3 生成したファイル (gml ファイル形式 ) の開き方...4 3.3.1 yed Graph Editor を使って開く...4 3.3.2 yed

More information

第一章 LPC2478 ボードの概要...3 第二章 uclinux の初体験 SD カードのテスト USB メモリのテスト USB Devices のテスト network のテスト...6 第三章 uclinux のコンパイル...

第一章 LPC2478 ボードの概要...3 第二章 uclinux の初体験 SD カードのテスト USB メモリのテスト USB Devices のテスト network のテスト...6 第三章 uclinux のコンパイル... 株式会社日新テクニカ株式会社日新テクニカ株式会社日新テクニカ 1 ARM ARM ARM ARM7/TDMI 7/TDMI 7/TDMI 7/TDMI LPC LPC LPC LPC247 247 247 2478-uC uc uc uclinux inux inux inux マニュアルマニュアルマニュアルマニュアル株式会社日新テクニカ株式会社日新テクニカ株式会社日新テクニカ株式会社日新テクニカ

More information

プログラミング基礎

プログラミング基礎 C プログラミング 演習 アルゴリズム基礎論 演習 第 10 回 今後の予定 12/22( 月 ) 期末試験 (60 分間 ) 場所 :A1611 時間 :16:20~17:20 課題の最終提出締切 :12/19( 金 ) これ以降の新規提出は評価されない 12/22までに最終状況を提示するので, 提出したのに や になってる人は自分の提出内容や提出先を再確認した上で12/26までに問い合わせること

More information

画像ファイルを扱う これまでに学んだ条件分岐, 繰り返し, 配列, ファイル入出力を使って, 画像を扱うプログラムにチャレンジしてみよう

画像ファイルを扱う これまでに学んだ条件分岐, 繰り返し, 配列, ファイル入出力を使って, 画像を扱うプログラムにチャレンジしてみよう 第 14 回 応用 情報処理演習 ( テキスト : 第 10 章 ) 画像ファイルを扱う これまでに学んだ条件分岐, 繰り返し, 配列, ファイル入出力を使って, 画像を扱うプログラムにチャレンジしてみよう 特定色の画素の検出 ( テキスト 134 ページ ) 画像データが保存されているファイルを読み込んで, 特定色の画素の位置を検出するプログラムを作成しなさい 元画像生成画像 ( 結果の画像 )

More information

Microsoft Word - PulseIR_Users_Guide_Manual_Rev4_jp_ doc

Microsoft Word - PulseIR_Users_Guide_Manual_Rev4_jp_ doc PulseIR Multitouch Screen Software User s Guide Manual For Microsoft Windows, Linux and MacOS Systems - 1 - 目次 1. Hardware 及び Utility 設置 --------------------------3 1.1 PulseIR タッチシステム設置最小仕樣 1.2 装置認識及び確認方法

More information

本書は INpMac v2.20(intime 5.2 INplc 3 Windows7/8/8.1に対応 ) の内容を元に記載しています Microsoft Windows Visual Studio は 米国 Microsoft Corporation の米国及びその他の国における登録商標です

本書は INpMac v2.20(intime 5.2 INplc 3 Windows7/8/8.1に対応 ) の内容を元に記載しています Microsoft Windows Visual Studio は 米国 Microsoft Corporation の米国及びその他の国における登録商標です ACTIVE TOUCH 拡張部品取扱説明書 - 共有メモリアクセスコンポーネント - 1. はじめに 1 (1) 概要... 1 (2) INpMac のインストール... 1 2. Windows アプリケーションとの連携 2 (1) コントロール ( 部品 ) の登録... 2 (2) データの関連付け... 3 3. INtime アプリケーションとの連携 4 (1) 部品 ( コンポーネント

More information

目次 第 1 章はじめに 本ソフトの概要... 2 第 2 章インストール編 ソフトの動作環境を確認しましょう ソフトをコンピュータにセットアップしましょう 動作を確認しましょう コンピュータからアンインストー

目次 第 1 章はじめに 本ソフトの概要... 2 第 2 章インストール編 ソフトの動作環境を確認しましょう ソフトをコンピュータにセットアップしましょう 動作を確認しましょう コンピュータからアンインストー JS 管理ファイル作成支援ソフト 工事用 Ver.4.0 インストールマニュアル 操作マニュアル 日本下水道事業団 目次 第 1 章はじめに... 1 1-1 本ソフトの概要... 2 第 2 章インストール編... 3 2-1 ソフトの動作環境を確認しましょう... 4 2-2 ソフトをコンピュータにセットアップしましょう... 5 2-3 動作を確認しましょう... 8 2-4 コンピュータからアンインストールする方法...

More information

Prog1_10th

Prog1_10th 2012 年 6 月 20 日 ( 木 ) 実施ポインタ変数と文字列前回は, ポインタ演算が用いられる典型的な例として, ポインタ変数が 1 次元配列を指す場合を挙げたが, 特に,char 型の配列に格納された文字列に対し, ポインタ変数に配列の 0 番の要素の先頭アドレスを代入して文字列を指すことで, 配列そのものを操作するよりも便利な利用法が存在する なお, 文字列リテラルは, その文字列が格納されている領域の先頭アドレスを表すので,

More information

Microsoft PowerPoint - RL78G1E_スタータキットデモ手順_2012_1119修正版.pptx

Microsoft PowerPoint - RL78G1E_スタータキットデモ手順_2012_1119修正版.pptx Smart Analog Stick をはじめて動かす RL78G1E STARTER KIT を始めて使う方のために インストールから基本的な使い方を体験する部分を順番にまとめました この順番で動かせば とりあえず体験できるという内容で作成してあります 2 度目からお使いの場合には Stick ボードを USB に接続した状態で 3 から始めてください 詳細な機能説明は ユーザーズマニュアルやオンラインヘルプを参考にしてください

More information

Microsoft PowerPoint - kougi2.ppt

Microsoft PowerPoint - kougi2.ppt C プログラミング演習 第 2 回 Microsoft Visual Studio.NET を使ってみよう 説明 例題 1. プログラム実行の体験 コンピュータを役に立つ道具として実感する 次ページのプログラムを使って, Microsoft Visual Studio.NETでの C++ ソースファイル編集, ビルド, テスト実行の一連の過程を体験する 例題 1 のプログラムの機能 計算の繰り返し

More information

Microsoft PowerPoint - CproNt02.ppt [互換モード]

Microsoft PowerPoint - CproNt02.ppt [互換モード] 第 2 章 C プログラムの書き方 CPro:02-01 概要 C プログラムの構成要素は関数 ( プログラム = 関数の集まり ) 関数は, ヘッダと本体からなる 使用する関数は, プログラムの先頭 ( 厳密には, 使用場所より前 ) で型宣言 ( プロトタイプ宣言 ) する 関数は仮引数を用いることができる ( なくてもよい ) 関数には戻り値がある ( なくてもよい void 型 ) コメント

More information

Microsoft Word - tool01.doc

Microsoft Word - tool01.doc 5 月号付属基板開発ツールと Stmicroelectronics 社提供 のサンプル プログラム 使いこなすためのポイント江崎雅康 ARM Cortex M3 付属基板のプログラミング 要点 DWM 誌 5 月号付属基板 CQ-STARM はUSBダウンローダDFU(Device Firmware Upgrade) を搭載しています miniusbケーブル1 本でユーザプログラムの書き込みができるのが特徴です

More information

MAC61、MAC64、GAC61 改造仕様書

MAC61、MAC64、GAC61 改造仕様書 1/14 μgpcdsp シリーズ TDdsPMonitor( ユーティリティ マニュアル ) 発行部門 産業事業部産業工場デジタル開発チーム 年月日来歴適用製命訂正ヘ ーシ 承認調査作成 新規発行 TDdsPMonitor( ユーティリティ マニュアル ) 2/14 目次 1. 概要 -----------------------------------------------------------------

More information

:30 18:00 9:30 12:00 13:00 17:00

:30 18:00 9:30 12:00 13:00 17:00 http://pioneer.jp/support/ 0120-944-222 044-572-8102 9:30 18:00 9:30 12:00 13:00 17:00 この取扱説明書について 製品本体の USB DAC 端子に USB ケーブルでパソコンを接続すると パソコンからの音声信号を再生できます この機能を使用するためには 専用のドライバーソフトウェアをパソコンにインストールする必要があります

More information

Revision

Revision Revision 0 Lattice Mico32 222-8561 1-6-3 1 045-470-9841 FAX 045-470-9844 ... 1... 1... 1 LatticeMico32... 2 Mico32... 3... 3... 4 Microprocessor Platform isplever Project... 5 MSB(MicoSystemBuilder)...

More information

目次 < 項目 > < ページ > 1.ispLEVER の種類 3 2.LaticeSemiconductor 社 HP へアクセス 4 3. ユーザ登録方法 5 4.ispLEVERStarter のダウンロード 8 5.ispLEVERStarter のインストール 11 6.Active-H

目次 < 項目 > < ページ > 1.ispLEVER の種類 3 2.LaticeSemiconductor 社 HP へアクセス 4 3. ユーザ登録方法 5 4.ispLEVERStarter のダウンロード 8 5.ispLEVERStarter のインストール 11 6.Active-H isplever starter ver.. 7.x インストールマニュアル for PC isplever7.x_starter_mico32system_installation_manual_rev1.0.ppt Page: 1 目次 < 項目 > < ページ > 1.ispLEVER の種類 3 2.LaticeSemiconductor 社 HP へアクセス 4 3. ユーザ登録方法 5

More information

スライド 1

スライド 1 8. ステッピングモータの制御を学ぼう 秋月電子通商 PIC ステッピングモータドライバキット ( 小型モータ付き ) を参照しました. 回路製作の詳細は第 0 章を参照してください. 1 2 第 0 章図 28 より完成写真 ( マイコン回路 + ステッピングモータ駆動回路 ) PIC マイコンによるステッピングモータの制御 PIC16F84 R 1 R 2 RB6 RB0 ステッピングモータ S

More information

プレインストールOSリカバリ手順書

プレインストールOSリカバリ手順書 CPU-SB300 シリーズ プレインストール OS リカバリ手順書 OS の起動ができなくなった場合のリカバリ手順について説明します 注意 商品添付のリカバリメディアをお使いになる前に 添付の使用権許諾契約書 ( 各 OS の END USER LICENSE AGREEMENT および Easy Restore 使用権許諾契約書 ) をよくお読みいただき 同意いただける場合に限り 本リカバリメディアをご使用ください

More information

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法 ver. 8.1 2009 年 3 月 1. はじめに Nios II 開発ボードに実装されているメモリ用のコンポーネントは SOPC Builder の中にあらかじめ用意されています しかし 実際に基板を作成した場合には Nios II 開発ボードに実装されているメモリと同じ仕様の製品でない限り SOPC Builder であらかじめ用意されたメモリ用のコンポーネントを使用することはできません この場合

More information

目次 1 I2Cとは 13 結線写真 2 センサの多くがI2Cに対応 14 WHO_AM_I 3 マイコンでのI2C通信例 15 I2C読込みプログラム 4 とは 16 I2C読込みスクリプト概要① 5 タイミングパラメータ 17 I2C読込みスクリプト概要② 6 書込み 18 センサ読込みプログラ

目次 1 I2Cとは 13 結線写真 2 センサの多くがI2Cに対応 14 WHO_AM_I 3 マイコンでのI2C通信例 15 I2C読込みプログラム 4 とは 16 I2C読込みスクリプト概要① 5 タイミングパラメータ 17 I2C読込みスクリプト概要② 6 書込み 18 センサ読込みプログラ 第5回 Arduino入門 I2C通信編 プレゼン by いっちー 目次 1 I2Cとは 13 結線写真 2 センサの多くがI2Cに対応 14 WHO_AM_I 3 マイコンでのI2C通信例 15 I2C読込みプログラム 4 とは 16 I2C読込みスクリプト概要① 5 タイミングパラメータ 17 I2C読込みスクリプト概要② 6 書込み 18 センサ読込みプログラム 7 読込み 19 センサ読込み概要①

More information

GHS混合物分類判定システムインストールマニュアル

GHS混合物分類判定システムインストールマニュアル GHS 混合物分類判定システムインストールマニュアル ~ ダウンロード版 ~ Ver.3.0 目次 1 はじめに... 1 1.1 目的... 1 1.2 本手順書について... 1 1.3 動作環境... 2 2 インストール... 3 2.1 Windows 8(8.1) Windows10 のセットアップ事前準備... 3 2.2 セットアップツールの実行... 5 2.3 必須コンポーネント...

More information

gengo1-12

gengo1-12 外部変数 関数の外で定義される変数を外部変数 ( 大域変数 ) と呼ぶ 外部変数のスコープは広域的 ( プログラム全体 ) 全ての関数で参照可能 int a=10; double x=3.14159; printf( a = %d\n, a); sample(); printf( %f\n, x); void sample(void) printf( %f\n, x); x += 1.0; 外部変数

More information

NI P1200 Release Notes Cover

NI P1200 Release Notes Cover PEX-H2994W Board Support Package Installation on RedHawk Release Notes July 4, 2019 1. はじめに 本書は Concurrent Real Time IncCCRT) の RedHawk 上で動作する インターフェース社製 PEX- H2994W PCI Express ボードサポートパッケージ用リリースノートです

More information