オンチップ・メモリ クイック・ガイド for Cyclone III

Size: px
Start display at page:

Download "オンチップ・メモリ クイック・ガイド for Cyclone III"

Transcription

1 ver 年 1 月 1. はじめに アルテラ社製 FPGA デバイスにおいてオンチップ メモリ (FPGA 内部で RAM や ROM などを構成 ) を実現するには Memory Compiler メガファンクションを使用します Memory Compiler メガファンクションは Cyclone シリーズ, Arria シリーズ, Stratix シリーズ, HardCopy シリーズにて利用可能です 使用するデバイスやメモリのタイプによって Memory Compiler メガファンクションのパラメータも異なりますので ご使用デバイスのデータ シートを必ずご確認ください この資料では Quartus II の MegaWizard TM Plug-In Manager で Cyclone III のオンチップ メモリを実現する方法をご紹介します 2. 操作方法 オンチップ メモリは RAM: 1-PORT, RAM: 2-PORT, RAM: 3-PORT, ROM: 1-PORT, ROM: 2-PORT の各モードを MegaWizard Plug-In Manager にて生成することができます この章では MegaWizard Plug-In Manager の操作を以下のフローに沿ってご紹介します 2-1. MegaWizard Plug-In Manager の起動 2-2. オンチップ メモリ ファンクションの選択 2-3. パラメータの設定 2-1. MegaWizard Plug-In Manager の起動 1 Quartus II 上の Tool メニュー MegaWizard Plug-In Manager をクリックします 2 Create a new custom megafunction variation を選択し Next をクリックします Page 1 of 14 Altima Corporation

2 補足 1 : MegaWizard Plug-In Manager にて既存のアルテラ ファンクションを変更 すでに MegaWizard Plug-In Manager で作成済みのデザインを編集する場合は Edit an existing custom megafunction variation を選択します 編集したいデザイン ファイルを選択し Next ボタンをクリックします その後 パラメータの編集を行います (2-3. パラメータの設定へ進んでください ) 編集したいファイルを選択して Next をクリック 2-2. オンチップ メモリ ファンクションの選択 MegaWizard Plug-In Manager [page 2a] で 以下の設定を行います デバイスの選択 出力ファイルの言語を選択 出力先ディレクトリを指定 出力ファイル名 ( ファンクション名 : 任意 ) を入力 メガファンクション リストから Installed Plug-Ins Memory Compiler より 使用するオンチップ メモリを選択 ver 年 1 月 Page 2 of 14 Altima Corporation

3 2-3. パラメータの設定パラメータの設定を行います ( 使用デバイスにより 使用オプション レンジなどが異なりますのでご利用になるデバイスのデータ シートを必ずご確認ください また MegaWizard Plug-In Manager 上の About Documentation などでも ALTPLL の詳細やユーザー ガイドなどがご参照できます ) また各メモリのモードによりパラメータ設定の画面が異なります 本節では 各メモリのモードごとに設定方法をご紹介します RAM: 1-PORT RAM: 2-PORT RAM: 3-PORT ROM: 1-PORT ROM: 2-PORT RAM: 1-PORT 1 Parameter Settings タブ Widths/Blk Type/Clk タブバス幅 メモリ サイズなどを設定します 設定終了後 Next をクリックします デバイス選択 配置ブロックの選択 (Auto メモリ ブロックのタイプ ロジック エレメント ) 1 ブロック辺りの最大ワード数の指定 メガファンクション リストから バス幅の指定 Installed Plug-Ins Memory Compiler より 使用するオンチサイズの設定ップ メモリを選択 クロック系統の設定 ( 入力クロックと出力クロックの独立 ) 使用リソース数を表示 ver 年 1 月 Page 3 of 14 Altima Corporation

4 Regs/Clken/Byte Enable/Aclrs タブ シングルクロック 入力 / 出力別クロック Read/Write 別クロックからメモリのクロック仕様を選択します リード イネーブルの設定をします 設定終了後 Next をクリックします データ出力をレジスタ出力に設定 クロック イネーブルの設定 クリア信号入力ポートの追加 リード イネーブル信号入力ポートの追加 補足 2 : More Options. の設定 クロック イネーブルおよびクリア信号の設定は More Options ボタンの設定でより詳細な設定を行うことができます ver 年 1 月 Page 4 of 14 Altima Corporation

5 Read During Write Option タブ ライト時のリード データのオプション設定ができます 設定終了後 Next をクリックします 補足 3 : Read During Write オプションの設定 Read During Write Option タブでは ライト時にリードされるデータの種類を New Data, Old Data, Don t Care から選択可能です ( デフォルト New Data ) 下の例では New Data, Old Data をシミュレーション結果により比較しており 上図が New Data 下図が Old Data のシミュレーション結果です New Data ライトしたデータが逐次出力される Old Data ライトされてあるデータが逐次出力される ver 年 1 月 Page 5 of 14 Altima Corporation

6 Mem Int タブ 使用しているオンチップ メモリの初期値設定などを行うことができます 設定終了後 Next をクリックします メモリ初期値ファイル使用の有無 使用するメモリ初期値ファイルのパス指定 In-system Memory Content Editor を使用する際に設定 2 EDA タブ このメガファンクションを EDA Tool でファンクション シミュレーションする場合に必要なシミュレーション ライブラリが表示されます ALTPLL をシミュレーションする場合は altera_mf ライブラリが必要です ver 年 1 月 Page 6 of 14 Altima Corporation

7 3 Summary タブサマリです また この操作により生成される出力ファイルを指定します ( 選択したファイル フォーマットにより出力されるファイル内容が異なります )Finish ボタンをクリックすると 指定したファイルが生成されメモリ ファンクションの作成完了です AHDL の場合 < ファンクション名 >.tdf : AHDL のデザインファイル < ファンクション名 >.ppf : PinPlanner インポート ファイル < ファンクション名 >.inc : AHDL で呼びだす時のインクルード ファイル < ファンクション名 >.cmp :VHDL で呼び出すためのコンポーネント記述 < ファンクション名 >.bsf : 回路図で呼び出すためのシンボルファイル < ファンクション名 >_inst.tdf : AHDL で呼び出したときのインスタンス記述テンプレート < ファンクション名 >_waveforms.html : サンプル波形ファイル < ファンクション名 >_wave*.html : サンプル波形ファイル Verilog HDL の場合 < ファンクション名 >.v : Verilog HDL のデザインファイル < ファンクション名 >.ppf : PinPlanner インポート ファイル < ファンクション名 >.inc : AHDL で呼びだす時のインクルード ファイル < ファンクション名 >.cmp :VHDL で呼び出すためのコンポーネント記述 < ファンクション名 >.bsf : 回路図で呼び出すためのシンボルファイル < ファンクション名 >_inst.v : Verilog HDL で呼び出したときのインスタンス記述のテンプレート < ファンクション名 >_bb.v :Verilog でのブラック ボックスファイル < ファンクション名 >_waveforms.html : サンプル波形ファイル < ファンクション名 >_wave*.html : サンプル波形ファイル VHDL の場合 < ファンクション名 >.vhd : VHDL のデザインファイル < ファンクション名 >.ppf : PinPlanner インポート ファイル < ファンクション名 >.inc : AHDL で呼びだす時のインクルード ファイル < ファンクション名 >.cmp :VHDL で呼び出すためのコンポーネント記述 < ファンクション名 >.bsf : 回路図で呼び出すためのシンボルファイル < ファンクション名 >_inst.vhd : VHDL で呼び出したときのインスタンス記述のテンプレート < ファンクション名 >_waveforms.html : サンプル波形ファイル < ファンクション名 >_wave*.html : サンプル波形ファイル ver 年 1 月 Page 7 of 14 Altima Corporation

8 RAM: 2-PORT 1 Parameter Settings タブ General タブ使用デバイス デュアルポート RAM の種類などを選択します デバイス選択 使用するデュアルポート RAM のタイプ選択 メモリ サイズの表記を選択 ( ワード or ビット ) 使用リソース数を表示 Widths/Blk Type/Clk タブ バス幅 メモリ サイズなどを選択します メモリのワード数 バス幅の選択 配置ブロックの選択 (Auto メモリ ブロックのタイプ ロジック エレメント ) 1 ブロック辺りの最大ワード数の指定 ver 年 1 月 Page 8 of 14 Altima Corporation

9 Clks/Rd, Byte En タブ クロック 各イネーブルの設定をします クロック系統の種類を選択 リード イネーブル信号入力ポートの追加 バイトイネーブル ポートの追加 Regs/Clkens/Aclrs タブ クロック イネーブル リセット信号の設定を行います リード イネーブル ポートの追加クロック イネーブル信号入力ポートの追加 リセット信号入力ポートの追加 ver 年 1 月 Page 9 of 14 Altima Corporation

10 Output1 Output2 は Clks/Rd, Byte En タブで Dual clock: use separate input and output clocks を選択した場合のみ表示されます 片方がライト時で もう片方がリードしている場合の出力オプション設定 Output2 Output1 は General タブで With two read/write ports を選択した場合のみ表示されます 本紙 6 頁 Read During Write Option タブ 項を参照 Mem Int 本紙 6 頁参照 2 EDA タブ 本紙 6 頁参照 3 Summary タブ 本紙 7 頁参照 ver 年 1 月 Page 10 of 14 Altima Corporation

11 RAM: 3-PORT 1 Parameter Settings タブ Widths, Blk Type, Clk, Rdens タブ バス幅 メモリ サイズを設定 配置ブロックの選択 (Auto メモリ ブロックのタイプ ロジック エレメント ) 1 ブロック辺りの最大ワード数の指定 クロック系統の種類を選択 リード イネーブル信号入力ポートの追加 Regs, Clokens, Aclrs タブ データ出力をレジスタ出力に設定 クロック イネーブル信号入力ポートの追加 リセット信号入力ポートの追加 ver 年 1 月 Page 11 of 14 Altima Corporation

12 Mem Int 本紙 6 頁参照 2 EDA タブ本紙 6 頁参照 3 Summary タブ本紙 7 頁参照 ROM: 1-PORT 1 Parameter Settings タブ General デバイス選択 バス幅 メモリ サイズを設定 配置ブロックの選択 (Auto メモリ ブロックのタイプ ロジック エレメント ) 1 ブロック辺りの最大ワード数の指定 クロック系統の種類を選択 使用リソース数を表示 Regs/Clkens/Aclrs 本紙 4 頁参照 Mem Int 本紙 6 頁参照 2 EDA タブ本紙 6 頁参照 3 Summary タブ本紙 7 頁参照 ver 年 1 月 Page 12 of 14 Altima Corporation

13 ROM: 2-PORT 1 Parameter Settings タブ Widths/Blk Type デバイス選択 メモリ サイズの表記を選択 ( ワード or ビット ) ワード数の選択 バス幅の選択 配置ブロックの選択 (Auto メモリ ブロックのタイプ ロジック エレメント ) 1 ブロック辺りの最大ワード数の指定 使用リソース数を表示 Clks/Rd, Byte En 本紙 9 頁参照 Regs/Clkens/Aclrs 本紙 4 頁参照 Mem Int 本紙 6 頁参照 2 EDA タブ本紙 6 頁参照 3 Summary タブ本紙 7 頁参照 ver 年 1 月 Page 13 of 14 Altima Corporation

14 弊社より資料を入手されましたお客様におかれましては 下記の使用上の注意を一読いただいた上でご使用ください 1. 本資料は非売品です 許可無く転売することや無断複製することを禁じます 2. 本資料は予告なく変更することがあります 3. 本資料の作成には万全を期していますが 万一ご不明な点や誤り 記載漏れなどお気づきの点がありましたら 弊社までご一報いただければ幸いです 4. 本資料で取り扱っている回路 技術 プログラムに関して運用した結果の影響については 責任を負いかねますのであらかじめご了承ください 5. 本資料は製品を利用する際の補助的な資料です 製品をご使用になる場合は 英語版の資料もあわせてご利用ください 横浜本社 横浜市港区新横浜 マクニカ第二ビル TEL FAX 大阪営業所 大阪市淀川区宮原 ニッセイ新大阪ビル 17 階 TEL FAX 名古屋営業所 名古屋市中区錦 名古屋錦シティビル 7 階 TEL FAX 宇都宮営業所 宇都宮市東宿郷 センターズビル 7 階 TEL FAX ver 年 1 月 Page 14 of 14 Altima Corporation

PLL クイック・ガイド for Cyclone III

PLL クイック・ガイド for Cyclone III ver.9.1 2010 年 1 月 1. はじめに アルテラ社製 FPGA デバイスにおいて PLL を実現するには ALTPLL メガファンクションを使用します ALTPLL を使用することでクロック信号を逓倍 分周 シフトなど簡単に調整することができます PLL で生成したクロック信号を出力専用ピンから外部のデバイスへ供給することも可能なので システムクロックを FPGA にて生成することも可能です

More information

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II はじめてガイド - プロジェクトの作成方法 - Quartus II はじめてガイド - プロジェクトの作成方法 ver. 9.0 2009 年 5 月 1. はじめに Quartus II はユーザ デザインをプロジェクトで管理します プロジェクトは デザインのコンパイルに必要なすべてのデザイン ファイル 設定ファイルおよびその他のファイルで構成されます そのため開発を始めるには まずプロジェクトを作成する必要があります この資料では Quartus

More information

アルテラ USB-Blastre ドライバのインストール方法 for Windows OS

アルテラ USB-Blastre ドライバのインストール方法 for Windows OS アルテラ USB-Blaster ドライバのインストール方法 for Windows OS ver. 3.1 2009 年 6 月 1. はじめに この資料は アルテラ専用のダウンロードケーブル USB-Blaster をご利用いただく際に必要な ドライバのインストール方法をご案内しています ご利用になる Windows OS に応じ ご案内の手順に従ってドライバをインストールしてください なお USB-Blaster

More information

複数の Nios II を構成する際の注意事項

複数の Nios II を構成する際の注意事項 ver. 1.0 2009 年 4 月 1. はじめに Nios II IDE で ソフトウェアをビルドすると SOPC Builder の GUI 上で Nios II と接続されているペリフェラル用の初期化コードを自動で生成します この各ペリフェラルに対応した初期化コードで ペリフェラルを制御するためにアルテラ社から提供された HAL を利用するための準備や 各ペリフェラルの一般的な理想と考えられる初期状態のレジスタ設定等を行います

More information

Quartus II クイック・スタート・ガイド

Quartus II クイック・スタート・ガイド ver.2.0 2010 年 1 月 1. はじめに 弊社では Quartus II をはじめて使用する方を対象に Quartus II はじめてガイド と題した簡易操作マニュアルを提供しています この資料では Quartus II の基本的な作業フローをご案内すると共に 各オペレーションではどの資料を参考にするのが適当かをご紹介しています 2. Quartus II の基本操作フロー 以下の図は

More information

ModelSim-Altera - RTL シミュレーションの方法

ModelSim-Altera - RTL シミュレーションの方法 ALTIMA Corp. ModelSim-Altera RTL シミュレーションの方法 ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. 目次 1. 2. 3. はじめに...3 RTL シミュレーションの手順...4 RTL シミュレーションの実施...5 3-1. 3-2. 新規プロジェクトの作成... 5 ファイルの作成と登録... 7 3-2-1. 新規ファイルの作成...

More information

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法 ver. 8.1 2009 年 3 月 1. はじめに Nios II 開発ボードに実装されているメモリ用のコンポーネントは SOPC Builder の中にあらかじめ用意されています しかし 実際に基板を作成した場合には Nios II 開発ボードに実装されているメモリと同じ仕様の製品でない限り SOPC Builder であらかじめ用意されたメモリ用のコンポーネントを使用することはできません この場合

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

Quartus II Web Edition インストール・ガイド

Quartus II Web Edition インストール・ガイド ver. 9.01 2009 年 9 月 1. はじめに Quartus II Web Edition ソフトウェアは アルテラの低コスト FPGA および CPLD ファミリの開発に必要な環境一式が含まれた無償パッケージです 回路図とテキスト形式によるデザイン入力 統合された VHDL と Verilog HDL 合成 サードパーティ ソフトウェアへのサポート SOPC Builder システム生成ソフトウェア

More information

Nios II Flash Programmer ユーザ・ガイド

Nios II Flash Programmer ユーザ・ガイド ver. 8.0 2009 年 4 月 1. はじめに 本資料は Nios II 開発環境においてフラッシュメモリ または EPCS へのプログラミングを行う際の参考マニュアルです このマニュアルでは フラッシュメモリの書き込みの際に最低限必要となる情報を提供し さらに詳しい情報はアルテラ社資料 Nios II Flash Programmer User Guide( ファイル名 :ug_nios2_flash_programmer.pdf)

More information

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II はじめてガイド - プロジェクトの作成方法 ALTIMA Corp. Quartus II はじめてガイド プロジェクトの作成方法 ver.10.0 2010 年 7 月 ELSENA,Inc. Quartus II はじめてガイド プロジェクトの作成方法 目次 1. はじめに... 3 2. Quartus II の起動... 3 3. 操作手順... 4 4. 既存プロジェクトの起動... 10 5. プロジェクト作成後の変更...11

More information

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装 LIM Corp. Nios II - PIO を使用した I 2 C-Bus (2 ワイヤ ) マスタの実装 ver.1.0 2010 年 6 月 ELSEN,Inc. 目次 1. はじめに... 3 2. 適用条件... 3 3. システムの構成... 3 3-1. SOPC Builder の設定... 3 3-2. PIO の設定... 4 3-2-1. シリアル クロック ライン用 PIO

More information

Nios II 簡易シミュレーション

Nios II 簡易シミュレーション ver. 8.1 2009 年 3 月 1. はじめに この資料は 別資料である Nios II 簡易チュートリアル を終えた後 Nios II システムのデザインを ModelSim で RTL シミュレーションを行う場合の操作マニュアルです この資料では ModelSim-Altera を使用していますが ModelSim PE ModelSim SE でも同様にシミュレーションが可能です この資料においてのシミュレーション環境は

More information

Nios II カスタム・インストラクションによるキャスト(型変換)の高速化

Nios II カスタム・インストラクションによるキャスト(型変換)の高速化 ver. 9.1 2009 年 12 月 1. はじめに Nios II にオプションで実装できる浮動小数演算カスタム インストラクションは 浮動小数四則演算はサポートしているものの 整数から浮動小数にキャスト ( 型変換 ) する機能やその逆の機能は備えていません この資料では 単精度浮動小数型と整数型の変換を簡単に Nios II のカスタム インストラクションに実装する方法を紹介しています なお

More information

Microsoft Word - ALT0982_program_epcs_by_niosii_v10.doc

Microsoft Word - ALT0982_program_epcs_by_niosii_v10.doc ver. 1.0 2008 年 6 月 1. はじめに この資料では ホスト PC に存在する ハードウェアのコンフィギュレーション データ ファイルをホスト ファイルシステムの機能を使用して Nios II システム メモリへ転送し そのコンフィギュレーション データを Nios II を使って EPCS へプログラムする手法を紹介します この資料は Quartus II ver.7.2 SP3

More information

Quartus II クイック・スタートガイド

Quartus II クイック・スタートガイド ALTIMA Corp. Quartus II クイック スタートガイド ver.3.0 2010 年 8 月 ELSENA,Inc. 目次 1. はじめに... 3 2. Quartus II の基本操作フロー... 3 3. Quartus II の基本操作... 4 ステップ 1. プロジェクトの作成... 4 ステップ 2. デザインの作成... 4 ステップ 3. ファンクション シミュレーション...

More information

Quartus II はじめてガイド - デバイス・プログラミング方法

Quartus II はじめてガイド - デバイス・プログラミング方法 - Quartus II はじめてガイド - デバイス プログラミング方法 ver. 9.1 2010 年 1 月 1. はじめに この資料では Quartus II の Programmer の操作方法を紹介しています Programmer を使用し デバイスにプログラミング ( デバイスへの書き込み ) を行います アルテラのデバイスへデータを書き込むときには プログラミング ハードウェアを使用します

More information

Quartus II - デバイスの未使用ピンの状態とその処理

Quartus II - デバイスの未使用ピンの状態とその処理 Quartus II はじめてガイド デバイスの未使用ピンの状態とその処理 ver. 9.1 2010 年 6 月 1. はじめに ユーザ回路で使用していないデバイス上のユーザ I/O ピン ( 未使用ユーザ I/O ピン ) は Quartus II でコンパイルすることによりピンごとに属性が確定されます ユーザは 各未使用ユーザ I/O ピンがどのような状態 ( 属性 ) であるかに応じて 基板上で適切な取り扱いをする必要があります

More information

Quartus II はじめてガイド - Convert Programming File の使い方

Quartus II はじめてガイド - Convert Programming File の使い方 ALTIMA Corp. Quartus II はじめてガイド Convert Programming File の使い方 ver.14 2015 年 1 月 Rev.1 ELSENA,Inc. Quartus II はじめてガイド Convert Programming File の使い方 目次 1. 2. はじめに...3 操作方法...3 2-1. 2-2. 2-3. Convert Programming

More information

FPGAメモリおよび定数のインシステム・アップデート

FPGAメモリおよび定数のインシステム・アップデート QII53012-7.2.0 15. FPGA FPGA Quartus II Joint Test Action Group JTAG FPGA FPGA FPGA Quartus II In-System Memory Content Editor FPGA 15 2 15 3 15 3 15 4 In-System Memory Content Editor Quartus II In-System

More information

ModelSim-Altera Edition インストール & ライセンスセットアップ Linux ver.11

ModelSim-Altera Edition インストール & ライセンスセットアップ Linux ver.11 ALTIMA Corp. ModelSim-Altera Edition インストール & ライセンスセットアップ Linux ver.11 2012 年 3 月 Rev. 1 ELSENA,Inc. ModelSim-Altera Edition インストール & ライセンスセットアップ 目次 1. はじめに... 3 2. ModelSim-Altera について... 3 2-1. ModelSim-Altera

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

Quartus II はじめてガイド - 回路図エディタの使い方

Quartus II はじめてガイド - 回路図エディタの使い方 Quartus II はじめてガイド 回路図エディタの使い方 ver.9.0 2009 年 5 月 1. はじめに この資料は Quartus II 回路図エディタの操作方法をご紹介しています Quartus II はデザイン エントリの手法として 回路図 AHDL VHDL Verilog HDL EDIF VQM に対応しています 階層設計をしたときに 最終的に最上位階層のデザイン ファイルが

More information

ModelSim - アルテラ・シミュレーション・ライブラリ作成および登録方法

ModelSim - アルテラ・シミュレーション・ライブラリ作成および登録方法 ALTIMA Corp. ModelSim アルテラ シミュレーション ライブラリ作成および登録方法 ver.10 2013 年 3 月 Rev.1 ELSENA,Inc. 目次 1. はじめに... 3 2. 操作方法... 6 2-1. Quartus II におけるシミュレーション ライブラリの作成... 6 2-2. ライブラリの登録... 10 2-3. ライブラリの選択... 14 3.

More information

Quartus Prime はじめてガイド - デバイス・プログラミングの方法

Quartus Prime はじめてガイド - デバイス・プログラミングの方法 ALTIMA Corp. Quartus Prime はじめてガイドデバイス プログラミングの方法 ver.15.1 2016 年 3 月 Rev.1 ELSENA,Inc. Quartus Prime はじめてガイド デバイス プログラミングの方法 目次 1. 2. 3. 4. はじめに...3 プログラミング方法...5 Auto Detect 機能...14 ISP CLAMP 機能...17

More information

Quartus II はじめてガイド - 回路図エディタの使い方

Quartus II はじめてガイド - 回路図エディタの使い方 ALTIMA Corp. Quartus II はじめてガイド 回路図エディタの使い方 ver.10.0 2010 年 12 月 ELSENA,Inc. Quartus II はじめてガイド回路図エディタの使い方 目次 1. はじめに... 3 2. 基本操作方法... 4 2-1. 新規ファイルの作成... 4 2-2. デザイン入力... 5 2-2-1. シンボルの入力... 5 2-2-2.

More information

RAM-ベース・シフト・レジスタ (ALTSHIFT_TAPS) メガファンクションのユーザーガイド

RAM-ベース・シフト・レジスタ (ALTSHIFT_TAPS) メガファンクションのユーザーガイド RAM?????????????ALTSHIFT_TAPS????????????????? 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-01009-2.1 Subscribe 2010 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, HARDCOPY,

More information

PCI-Express ハード IP を使用した DMA の実現 for Cyclone V GT FPGA 開発キット(ソフトウェア編)

PCI-Express ハード IP を使用した DMA の実現 for Cyclone V GT FPGA 開発キット(ソフトウェア編) ALTIMA Corp. PCI-Express ハード IP を使用した DMA の実現 for Cyclone V GT FPGA 開発キット ( ソフトウェア編 ) ver.1 2015 年 4 月 Rev.1 ELSENA,Inc. PCI-Express ハード IP を使用した DMA の実現 for Cyclone V GT FPGA 開発キット ( ソフトウェア編 ) 目次 1. はじめに...3

More information

Nios II SBT Flash Programmer ユーザ・ガイド

Nios II SBT Flash Programmer ユーザ・ガイド ALTIMA Corp. Nios II SBT Flash Programmer ユーザ ガイド ver.9.1 2010 年 12 月 ELSENA,Inc. 目次 1. はじめに... 3 2. 使用条件... 3 3. GUI 操作手順... 3 3-1. SOF ファイルをダウンロード... 4 3-1-1. Quartus II Programmer の起動... 4 3-1-2. SOF

More information

Nios II - Vectored Interrupt Controller の実装

Nios II - Vectored Interrupt Controller の実装 ALTIMA Corp. Nios II Vectored Interrupt Controller の実装 ver.1.0 2010 年 7 月 ELSENA,Inc. 目次 1. はじめに... 3 2. 適用条件... 3 3. システムの構成... 4 3-1. SOPC Builder の設定... 4 3-2. ペリフェラルの設定... 4 3-2-1. VIC の設定... 4 3-2-2.

More information

Cyclone III デバイス・ファミリの メモリ・ブロック

Cyclone III デバイス・ファミリの メモリ・ブロック この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください Cyclone III デバイス ファミリ (Cyclone III および Cyclone III LS デバイス ) は アルテラの Cyclone III デバイス ファミリのデザインのオンチップ メモリの要件に対応するエンベデッド

More information

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II はじめてガイド - プロジェクトの作成方法 ALTIMA Corp. Quartus II はじめてガイド プロジェクトの作成方法 ver.14 2015 年 4 月 Rev.1.1 ELSENA,Inc. Quartus II はじめてガイド プロジェクトの作成方法 目次 1. はじめに...3 2. プロジェクトとは...3 3. Quartus II 開発ソフトウェアの起動...4 4. 新規プロジェクトの作成...7 5. 既存プロジェクトの起動と終了...15

More information

Quartus II はじめてガイド - EDA ツールの設定方法

Quartus II はじめてガイド - EDA ツールの設定方法 ALTIMA Corp. Quartus II はじめてガイド EDA ツールの設定方法 ver.10.0 2010 年 12 月 ELSENA,Inc. Quartus II はじめてガイド EDA ツールの設定方法 目次 1. はじめに... 3 2. サポート環境... 3 3. 操作方法... 4 3-1. 論理合成ツールとのインタフェース設定... 4 3-2. シミュレータ ツールとのインタフェース設定...

More information

Quartus II はじめてガイド - Device & Pin Options 設定方法

Quartus II はじめてガイド - Device & Pin Options 設定方法 - Quartus II はじめてガイド - Device & Pin Options 設定方法 ver.9.1 2010 年 5 月 1. はじめに この資料は Quartus II における Device & Pin Options の設定に関して説明しています Device & Pin Options ダイアログ ボックスでは 現在のプロジェクトで選択されているデバイスにおけるデバイス オプションとピン

More information

Quartus Prime - プログラミング・ファイルの生成や変換(Convert Programming Files)

Quartus Prime - プログラミング・ファイルの生成や変換(Convert Programming Files) ALTIMA Corp. Quartus Prime プログラミング ファイルの生成や変換 (Convert Programming Files) ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. Quartus Prime プログラミング ファイルの生成や変換 (Convert Programming Files) 目次 1. 2. はじめに...3 操作方法...4 2-1.

More information

Quartus II はじめてガイド - EDA ツールの設定方法

Quartus II はじめてガイド - EDA ツールの設定方法 ALTIMA Corp. Quartus II はじめてガイド EDA ツールの設定方法 ver.14 2015 年 4 月 Rev.1.1 ELSENA,Inc. Quartus II はじめてガイド EDA ツールの設定方法 目次 1. 2. 3. はじめに...3 サポート環境...4 操作方法...5 3-1. 3-2. 論理合成ツールとのインタフェース設定... 5 シミュレーション ツールとのインタフェース設定...

More information

内部メモリ(RAMおよびROM)ユーザーガイド

内部メモリ(RAMおよびROM)ユーザーガイド Internal Memory (RAM and ROM) User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Copyright 2011 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company, the stylized

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

Quartus II はじめてガイド - よく使用するロジック・オプション設定方法 (個別設定)

Quartus II はじめてガイド - よく使用するロジック・オプション設定方法 (個別設定) ALTIMA Corp. Quartus II はじめてガイドよく使用するロジック オプション設定方法 ( 個別設定方法 ) rev.1 ver.10 2011 年 4 月 ELSENA,Inc. Quartus II はじめてガイド よく使用するロジック オプション設定方法 ( 個別設定方法 ) rev.1 目次 1. はじめに... 3 2. 出力電流値の設定 ...4

More information

Quartus II - Chip Planner クイック・ガイド

Quartus II - Chip Planner クイック・ガイド - Quartus II - Chip Planner クイック ガイド ver.9.0 2009 年 8 月 1. はじめに この資料は Quartus II の Chip Planner の使用方法を紹介しています Chip Planner は 従来のフロアプランと Chip Editor が統合された機能です この機能により Quartus II 上でアルテラ デバイスの内部構造の表示 内部タイミングの調査

More information

! STEP 2. Quartus Prime のダウンロード WEB ブラウザで以下の URL を開きます 2 ページ中段の Quartus Prime 開発ソフトウェア ライト エディ

! STEP 2. Quartus Prime のダウンロード WEB ブラウザで以下の URL を開きます   2 ページ中段の Quartus Prime 開発ソフトウェア ライト エディ STEP 学習内容 パソコンに FPGA の開発環境を構築します インストールは以下の手順で行います. Quartus Prime とは 2. Quartus Prime のダウンロード. Quartus Prime のインストール. USB ドライバのインストール. Quartus Prime とは Quartus Prime は Intel の FPGA 統合開発環境です Quartus Prime

More information

アカウント管理者 操作ドキュメント

アカウント管理者 操作ドキュメント s シンプルメール アカウント管理者操作ドキュメント ver. 2.0 目次 ログイン ログアウト... 2 ログイン... 2 ログアウト... 2 アカウント... 3 アカウント利用状況の表示... 3 アカウント設定の表示... 4 アカウント設定の編集... 6 ドメイン... 7 ドメインの表示... 7 管理者... 8 アカウント管理者一覧の表示... 8 アカウント管理者の検索...

More information

AN424 Modbus/TCP クイックスタートガイド CIE-H14

AN424 Modbus/TCP クイックスタートガイド CIE-H14 Modbus/TCP クイックスタートガイド (CIE-H14) 第 1 版 2014 年 3 月 25 日 動作確認 本アプリケーションノートは 弊社取り扱いの以下の機器 ソフトウェアにて動作確認を行っています 動作確認を行った機器 ソフトウェア OS Windows7 ハードウェア CIE-H14 2 台 ソフトウェア ezmanager v3.3a 本製品の内容及び仕様は予告なしに変更されることがありますのでご了承ください

More information

インテル® Stratix®10 デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール・ユーザーガイド

インテル®  Stratix®10 デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール・ユーザーガイド 更新情報 フィードバック 最新版をウェブからダウンロード : PDF HTML 目次 目次 1 インテル Stratix デバイスの LAB および の概要... 3 2 HyperFlex レジスター... 4...5 3.1 LAB... 5 3.1.1 MLAB... 6 3.1.2 ローカル インターコネクトおよびダイレクトリンク インターコネクト...6 3.1.3 キャリーチェーンのインターコネクト...

More information

RW-4040 導入説明書 Windows 7 用 2017 年 7 月 シャープ株式会社

RW-4040 導入説明書 Windows 7 用 2017 年 7 月 シャープ株式会社 Windows 7 用 2017 年 7 月 シャープ株式会社 はじめに 本書は IC カードリーダライタ RW-4040 を使用するため お使いのパソコンにデバイスドライバソフトウェア ( 以下 ドライバソフト と記載 ) をインストールする方法について記述しています このドライバソフトは Windows 7 SP1 で動作します 本書では ドライバソフトバージョン 2.27 のインストールについて説明します

More information

簡単設定でスマホをブロック!仮想化時代の情報漏えい対策に最適なツール

簡単設定でスマホをブロック!仮想化時代の情報漏えい対策に最適なツール 使える シンクライアント の選び方 (13) 簡単設定でスマホをブロック! 仮想化時代の情報漏えい対策に最適なツール Ver.1.0 Copyright 2015 Hewlett-Packard Development Company, L.P. Page 0 目次 本書の取り扱いについて... 2 0. ユースケースとベネフィット... 3 1. はじめに... 4 2. システム要件... 4

More information

Notes and Points for TMPR454 Flash memory

Notes and Points for TMPR454 Flash memory 表紙 TMPR454 内蔵 Flash メモリ対応版手順書 株式会社 DTS インサイト ご注意 (1) 本書の内容の一部または 全部を無断転載することは禁止されています (2) 本書の内容については 改良のため予告なしに変更することがあります (3) 本書の内容について ご不明な点やお気付きの点がありましたら ご連絡ください (4) 本製品を運用した結果の影響については (3) 項にかかわらず責任を負いかねますのでご了承ください

More information

画面について 画面構成 画面構成 Smart Copy for Android の画面構成は 次のとおりです 1フォルダパス 2. ファイルの種類 3 ファイル一覧 5[ 戻る ] ボタン 4[ メニュー ] ボタン 1 フォルダパス現在表示している画面のフォルダパスが表示されます 2ファイルの種類

画面について 画面構成 画面構成 Smart Copy for Android の画面構成は 次のとおりです 1フォルダパス 2. ファイルの種類 3 ファイル一覧 5[ 戻る ] ボタン 4[ メニュー ] ボタン 1 フォルダパス現在表示している画面のフォルダパスが表示されます 2ファイルの種類 Smart Copy for Android ~ ユーザーズガイド ~ 画面について 画面構成...2 LMF シリーズのデータを表示する...9 ファイル一覧の表示を変更する... 11 データ操作ファイルを再生する... 17 ファイルやフォルダをコピーする... 19 ファイルやフォルダを移動する... 24 ファイルやフォルダを削除する... 29 ファイルやフォルダの名前を変更する...

More information

TDK Equivalent Circuit Model Library

TDK Equivalent Circuit Model Library TDK SPICE Netlist Library を Agilent ADS で使用する方法 TDK 株式会社アプリケーションセンター江畑克史 Oct. 01, 2008 AN-NL08B003_ja はじめに TDK では, 各種受動電子部品の SPICE モデル集 TDK SPICE Netlist Library を公開しております. TDK SPICE Netlist Library に含まれるモデルは標準的な

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション KDDI クラウドプラットフォームサービス (KCPS) CPU 脆弱性 (Meltdown/Spectre) に対するパッチ適用 確認手順書 _WindowsOS KCPS ver.1 の手順書となります はじめに 本資料は KDDI クラウドプラットフォームサービス ( 以下 KCPS) ナレッジサイトにおいて情報を発出している KCPS に関する Meltdown および Spectre 脆弱性への対応ついて

More information

Application Note Application Note No. ESC-APN 文書番号 : ESC-APN Tcl link library インストールガイド はじめに Tcl link library インストールガイド ( 以下 本書 ) では Act

Application Note Application Note No. ESC-APN 文書番号 : ESC-APN Tcl link library インストールガイド はじめに Tcl link library インストールガイド ( 以下 本書 ) では Act No. ESC-APN-006-05 文書番号 : ESC-APN-006-05 Tcl link library インストールガイド はじめに Tcl link library インストールガイド ( 以下 本書 ) では ActiveState Software Inc.( 以下 ActiveState 社 ) のフリーソフトウェアである Active Tcl と microview-plus

More information

RW-5100 導入説明書 Windows7 用 2017 年 7 月 シャープ株式会社

RW-5100 導入説明書 Windows7 用 2017 年 7 月 シャープ株式会社 RW-5100 導入説明書 Windows7 用 2017 年 7 月 シャープ株式会社 はじめに 本書は Windows 7 環境において IC カードリーダライタ RW-5100 を使用するため お使いのパソコンにデバイスドライバソフトウェア ( 以下 ドライバソフト と記載 ) をインストールする方法について記述しています 本書で説明するドライバソフトは Windows 7 SP1 で動作するものです

More information

WAGO PROFIBUS バスカプラ/コントローラと、QJ71PB92Dとのコンフィグレーションマニュアル

WAGO PROFIBUS バスカプラ/コントローラと、QJ71PB92Dとのコンフィグレーションマニュアル < 概要 > WAGO-I/O-SYSTEM750 シリーズ PROFIBUS バスカプラ / コントローラと 三菱電機 製 PROFIBUS ユニット QJ71PB92D とのコンフィグレーション手順を説明しております < 使用機器接続図 > 下記機器を準備し 図の通り接続しました WAGO-I/O-SYSTEM PROFIBUS バスカプラ / コントローラ 750-xxx および I/O モジュール

More information

バーコードハンディターミナル BT-1000 シリーズセットアップガイド ( 第 1 版 ) CE ***

バーコードハンディターミナル BT-1000 シリーズセットアップガイド ( 第 1 版 ) CE *** バーコードハンディターミナル BT-1000 シリーズセットアップガイド ( 第 1 版 ) CE-201707-*** ご注意 1) 本書の内容 およびプログラムの一部 または全部を当社に無断で転載 複製することは禁止されております 2) 本書 およびプログラムに関して将来予告なしに変更することがあります 3) プログラムの機能向上のため 本書の内容と実際の画面 操作が異なってしまう可能性があります

More information

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

AN1609 GNUコンパイラ導入ガイド

AN1609 GNUコンパイラ導入ガイド GNU コンパイラ導入ガイド 2 版 2017 年 04 月 20 日 1. GNU コンパイラの導入... 2 1.1 はじめに... 2 1.2 必要なプログラムとダウンロード... 3 1.2.1 GNU ツールチェインのダウンロード... 3 1.2.2 e 2 studio のダウンロード... 5 1.3 GNU ツールチェインのインストール... 7 1.4 e 2 studio のインストール...

More information

WebSAM System Navigator JNS isadmin SNMP Trap 連携設定手順書 NEC 2012 年 12 月

WebSAM System Navigator JNS isadmin SNMP Trap 連携設定手順書 NEC 2012 年 12 月 WebSAM System Navigator JNS isadmin SNMP Trap 連携設定手順書 NEC 202 年 2 月 目次. はじめに 2. ナレッジの格納 3.WebSAMSystemNavigator の初期設定 4. トポロジビューの設定 5. ビジネスビューの設定 6. メッセージの表示 Page 2 NEC Corporation 202 . はじめに 本書は JNS 株式会社の

More information

Quartus II はじめてガイド ‐ Device and Pin Options 設定方法

Quartus II はじめてガイド ‐ Device and Pin Options 設定方法 ALTIMA Corp. Quartus II はじめてガイド Device and Pin Options 設定方法 ver.10.0 2010 年 9 月 ELSENA,Inc. Quartus II はじめてガイド Device and Pin Options 設定方法 目次 1. はじめに... 3 2. Device and Pin Options の起動... 3 3. Device and

More information

HP シンクライアント はじめにお読みください HP ThinPro 7 クイックマニュアル

HP シンクライアント はじめにお読みください HP ThinPro 7 クイックマニュアル HP シンクライアント はじめにお読みください HP ThinPro 7 クイックマニュアル Ver.1.00 株式会社日本 HP 9/26/2018 Copyright 2018 HP Inc. Page 0 目次 はじめに... 3 1.ThinPro 7 とは... 3 2.ThinPro と Smart Zero について... 3 3.ThinPro 7 の利用方法... 6 3.1 初回起動時の設定について...

More information

Microsoft Word - DDJ-WeGO_TRAKTOR2_Import_Guide_J.doc

Microsoft Word - DDJ-WeGO_TRAKTOR2_Import_Guide_J.doc TRAKTOR PRO 2 セッティングファイルインポートガイド はじめに ( 重要 ) 既存のセッティングファイルをバックアップする 弊社では Native Instruments 社製 DJソフトウエア TRAKTOR PRO 2 専用のセッティングファイルを提供しております このファイルをインポートすることにより TRAKTOR PRO 2 を本機でコントロールできます TRAKTOR PRO

More information

MAC アドレス変更ツール MAC アドレスチェンジャー ユーザーズマニュアル User's Manual エレコム株式会社

MAC アドレス変更ツール MAC アドレスチェンジャー ユーザーズマニュアル User's Manual エレコム株式会社 MAC アドレス変更ツール MAC アドレスチェンジャー ユーザーズマニュアル User's Manual エレコム株式会社 本製品の仕様は 製品の改良等により予告なしに変更する場合があります 本製品に付随するドライバー ソフトウェア等を逆アセンブル 逆コンパイルまたはその他リバースエンジニアリングすること 弊社に無断でホームページ FTP サイトに登録するなどの行為を禁止させていただきます このマニュアルの著作権は

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル ALTIMA Corp. ver.14 2014 年 8 月 Rev.1 ELSENA,Inc. 目次 1. はじめに...3 1-1. フロー概要... 3 2. ハードウェア...4 2-1. 2-2. 2-3. 2-4. 2-5. ハードウェア プロジェクトの作成 ( Quartus II )... 4 コンフィギュレーション モードの設定... 5 Qsys にてシステムを構成し HDL を生成...

More information

Cyclone V デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール、Cyclone Vデバイス・ハンドブック、Volume 1、第1章

Cyclone V デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール、Cyclone Vデバイス・ハンドブック、Volume 1、第1章 June 2012 CV-52001-2.0 CV-52001-2.0 この章では Cyclone V コア ファブリック内のロジック アレイ ブロック (LAB) の機能を説明します LAB は ロジック ファンクション 演算ファンクション およびレジスタ ファンクションを実装するためにコンフィギュレーションできるアダプティブ ロジック モジュール () として知られる基本的なビルディング ブロックで構成されています

More information

WES7シンクライアントIE11アップデート手順書

WES7シンクライアントIE11アップデート手順書 Technical white paper Windows Embedded Standard 7 シンクライアント IE11 アップデート手順書 Contents はじめに 2 対応する機種と OS イメージ 2 IE11 アドオンのダウンロードと展開 2 IE11 アドオンのインストール ( 手動インストール ) 5 HP Device Manager を使用した IE11 アドオンのインストール

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション KDDI クラウドプラットフォームサービス (KCPS) CPU 脆弱性 (Meltdown/Spectre) に対するパッチ適用 確認手順書 _WindowsOS KCPS ver.2 の手順書となります はじめに 本資料は KDDI クラウドプラットフォームサービス ( 以下 KCPS) ナレッジサイトにおいて情報を発出している KCPS に関する Meltdown および Spectre 脆弱性への対応ついて

More information

HP USB Port Managerご紹介資料 -シンクライアント

HP USB Port Managerご紹介資料 -シンクライアント HP USB Port Manager ご紹介資料 株式会社日本 HP パーソナルシステムズ事業本部クライアントソリューション本部 2015 年 11 月 ソリューションビジネス部 HP USB Port Manager とは これまで HP シンクライアント用に提供していたツールでは 書き込み 読み込み 無効化の設定はすべての USB ストレージデバイスが対象でした 新しくリリースした HP USB

More information

TRENDフォトビューアの起動方法と使い方

TRENDフォトビューアの起動方法と使い方 この度は営繕版フォトビューア ( 以下 TREND フォトビューア ) をご利用いただきまして 誠にありがとうございます TREND フォトビューアは 主に建築工事の 工事写真の撮り方 で電子納品された写真を閲覧するフリーソフトです ダウンロードから起動までの手順 弊社より返信されたメール内の プログラムダウンロードのリンクをクリックします メールの本文およびダウンロードのリンク先は 変更される場合がございます

More information

HP Elitex3 評価ガイド シン クライアント 編

HP Elitex3 評価ガイド シン クライアント 編 HP Elite x3 評価ガイド シンクライアント編 Version: 201706-01 Copyright 2017 HP Inc. Page 0 本書の取り扱いについて 本書は 株式会社日本 HP が販売する製品を検討されているお客様が実際のご利用方法に合わせた設定を 行う際に役立つ手順の一例を示すものです いかなる場合においても本書の通りになる事を保証するもの ではありません 本書の内容は

More information

目次 ページ 1. 本マニュアルについて 3 2. 動作環境 4 3. ( 前準備 ) ライブラリの解凍と保存 5 4. モデルのインポート 6 5. インポートしたモデルのインピーダンス計算例 8 6. 補足 単シリーズ 単モデルのインポート お問い合わせ先 21 2

目次 ページ 1. 本マニュアルについて 3 2. 動作環境 4 3. ( 前準備 ) ライブラリの解凍と保存 5 4. モデルのインポート 6 5. インポートしたモデルのインピーダンス計算例 8 6. 補足 単シリーズ 単モデルのインポート お問い合わせ先 21 2 SIMetrix/SIMPLIS ライブラリ ユーザーマニュアル 2018 年 8 月 株式会社村田製作所 Ver1.0 1 22 August 2018 目次 ページ 1. 本マニュアルについて 3 2. 動作環境 4 3. ( 前準備 ) ライブラリの解凍と保存 5 4. モデルのインポート 6 5. インポートしたモデルのインピーダンス計算例 8 6. 補足 単シリーズ 単モデルのインポート

More information

WindowsXPインストール

WindowsXPインストール 仮想 OM ポートドライバ Windows 7 32bit 編 インストールマニュアル 目次 1. はじめに...1 2. 対象機種...1 3. 機種とドライバ名称...2 3.1. 機種名称一覧 (A)...2 3.2. ドライバ名称一覧 (B)()...2 4. 新規インストール...4 5. インストールドライバの確認方法...8 6. OMポートの設定... 10 7. アンインストール...

More information

AN 357: Error Detection & Recovery Using CRC in Altera FPGA Devices

AN 357: Error  Detection & Recovery Using CRC in Altera FPGA Devices 2008 年 7 月 ver. 1.4 アルテラ FPGA デバイスの CRC によるエラー検出およびリカバリ Application Note 357 概要 航空電子 テレコム システム コントロール および軍事用アプリケーションの分野で使用されるクリティカルな用途では 以下ができることが重要です FPGA デバイスに格納されたコンフィギュレーション データが正確であるかを確認する システムにコンフィギュレーション

More information

(Microsoft PowerPoint - TINA_Creating_PCB.ppt [\214\335\212\267\203\202\201[\203h])

(Microsoft PowerPoint - TINA_Creating_PCB.ppt [\214\335\212\267\203\202\201[\203h]) TINA 操作チュートリアル プリント配線基板の (PCB) 作成 ilink アイリンク合同会社 231-0023 横浜市中区山下町 256 ヴィルヌーブ横浜関内 1F111 TEL:045-663-5940 FAX:045-663-5945 ilink_sales@ilink.co.jp http://www.ilink.co.jp 1 プリント配線基板の (PCB) 作成 フットプリントの確認と変更

More information

はじめにお読みくださいfor HP Smart Zero Client v5.0

はじめにお読みくださいfor HP Smart Zero Client v5.0 はじめにお読みください hp Smart Client シンクライアント用クイックマニュアル Ver.5.0 日本ヒューレット パッカード株式会社クライアント技術部 目次 1. Smart Client とは 2. 工場出荷時の状態について ( デモモード ) 3. Smart Client Service の利用方法 4. Profile Editor の使い方 5. Smart Client Service

More information

Nios II ハードウェア・チュートリアル

Nios II ハードウェア・チュートリアル Nios II ver. 7.1 2007 8 1. Nios II FPGA Nios II Quaruts II 7.1 Nios II 7.1 Nios II Cyclone II count_binary 2. 2-1. http://www.altera.com/literature/lit-nio2.jsp 2-2. Nios II Quartus II FEATURE Nios II

More information

目次 本書の取り扱いについて... 3 事前準備... 4 MultiPoint Server 2011 OEM 版のインストール (OS リカバリー用のディスク領域を使う場合の起動方法 )... 5 MultiPoint Server 2011 OEM 版のインストール (OS リカバリー用のメデ

目次 本書の取り扱いについて... 3 事前準備... 4 MultiPoint Server 2011 OEM 版のインストール (OS リカバリー用のディスク領域を使う場合の起動方法 )... 5 MultiPoint Server 2011 OEM 版のインストール (OS リカバリー用のメデ Windows MultiPoint Server 2011 OEM 版インストールガイド 2012.06 目次 本書の取り扱いについて... 3 事前準備... 4 MultiPoint Server 2011 OEM 版のインストール (OS リカバリー用のディスク領域を使う場合の起動方法 )... 5 MultiPoint Server 2011 OEM 版のインストール (OS リカバリー用のメディアを使う場合の起動方法

More information

本書は INpMac v2.20(intime 5.2 INplc 3 Windows7/8/8.1に対応 ) の内容を元に記載しています Microsoft Windows Visual Studio は 米国 Microsoft Corporation の米国及びその他の国における登録商標です

本書は INpMac v2.20(intime 5.2 INplc 3 Windows7/8/8.1に対応 ) の内容を元に記載しています Microsoft Windows Visual Studio は 米国 Microsoft Corporation の米国及びその他の国における登録商標です ACTIVE TOUCH 拡張部品取扱説明書 - 共有メモリアクセスコンポーネント - 1. はじめに 1 (1) 概要... 1 (2) INpMac のインストール... 1 2. Windows アプリケーションとの連携 2 (1) コントロール ( 部品 ) の登録... 2 (2) データの関連付け... 3 3. INtime アプリケーションとの連携 4 (1) 部品 ( コンポーネント

More information

TRENDフォトビューアの起動方法と使い方

TRENDフォトビューアの起動方法と使い方 TRENDフォトビューアは 官庁営繕部 工事写真の撮り方 の基準にて作成された電子納品データの写真や参考図 写真情報の閲覧が可能なアプリケーションです また 他社アプリケーションから作成された電子納品データの閲覧も可能です TRENDフォトビューアは閲覧のみです 管理項目 写真の編集は出来ません プログラムの起動 電子納品データを作成した時に TREND フォトビューア を出力した場合は 電子納品データ内に

More information

WES7/WE8SシンクライアントVMwareHorizonClientアップデート手順書

WES7/WE8SシンクライアントVMwareHorizonClientアップデート手順書 Technical white paper Windows Embedded Standard シンクライアント VMware Horizon Client アップデート手順 目次 はじめに 2 対応する機種と OS イメージ 2 VMware Horizon Client アドオンのダウンロードと展開 3 VMware Horizon Client アドオンのインストール ( 手動インストール )

More information

HP ThinUpdateを使用したWESシンクライアントイメージリストア手順書

HP ThinUpdateを使用したWESシンクライアントイメージリストア手順書 Technical white paper HP ThinUpdate を使用した Windows Embedded シンクライアント OS のイメージリカバリ手順 2015 年 11 月 目次 HP ThinUpdate とは? 2 対応する機種と OS イメージ 2 HP ThinUpdate のインストール 3 HP ThinUpdate を使用した OS リカバリ用 USB メモリの作成 9

More information

USBドライバインストールマニュアル [Windows Vista/Windows 7]

USBドライバインストールマニュアル [Windows Vista/Windows 7] 通信変換器 COM-K 用 USB ドライバインストールマニュアル [Windows Vista/Windows 7] NOV. 2010 IMT01D10-J1 ご使用の前に 本書は 読者がコンピュータ関係および通信関係などの基礎知識を持っていることを前提としています 本書で使用している図や数値例 画面例は 本書を理解しやすいように記載したものであり その結果の動作を保証するものではありません 本ソフトウェアおよび本書の所有権および著作権は当社に帰属します

More information

MSDM_User_Manual_v0.2.1-B-1

MSDM_User_Manual_v0.2.1-B-1 MAPLE Submission Data Maker Ver. 1.0 インストールマニュアル JAMSTEC 11/15/2018 目次 1. はじめに... 3 2. 動作環境... 3 3. Windows OS 上での MSDM のインストール... 4 3.1. VirtualBox のインストール... 4 3.2. MSDM 仮想サーバーのセットアップ... 6 4. Mac OS

More information

ご注意 1) 本書の内容 およびプログラムの一部 または全部を当社に無断で転載 複製することは禁止されております 2) 本書 およびプログラムに関して将来予告なしに変更することがあります 3) プログラムの機能向上のため 本書の内容と実際の画面 操作が異なってしまう可能性があります この場合には 実

ご注意 1) 本書の内容 およびプログラムの一部 または全部を当社に無断で転載 複製することは禁止されております 2) 本書 およびプログラムに関して将来予告なしに変更することがあります 3) プログラムの機能向上のため 本書の内容と実際の画面 操作が異なってしまう可能性があります この場合には 実 周辺機器ツールセットアップガイド ( 第 1.1 版 ) ご注意 1) 本書の内容 およびプログラムの一部 または全部を当社に無断で転載 複製することは禁止されております 2) 本書 およびプログラムに関して将来予告なしに変更することがあります 3) プログラムの機能向上のため 本書の内容と実際の画面 操作が異なってしまう可能性があります この場合には 実際の画面 操作を優先させていただきます 4)

More information

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor VHDL を使った PLD 設計のすすめ PLD 利用のメリット 小型化 高集積化 回路の修正が容易 VHDL 設計のメリット 汎用の設計になる ( どこのデバイスにも搭載可能 ) 1/16 2001/7/13 大久保弘崇 http://www.aichi-pu.ac.jp/ist/~ohkubo/ 2/16 設計の再利用が促進 MIL 記号の D での設計との比較 Verilog-HDL などでも別に同じ

More information

第 2 版

第 2 版 第 2 版 改版履歴 版数 改版日付 改版内容 1 2015/04 新規作成 2 2016/08 アップグレード時の注意事項を追加 URLをSQL Server 2014 用のURLに変更 2 目次 1. 使用しているデータベースを SQL Server 2014 へアップグレードする手順... 5 2. SQL Server 2014 にデータベースを構築する手順... 6 2.1. データベースの作成...

More information

2-2 デザイン ガイドライン 表 2-1: 容量と分配 A10-MEMORY タイプ Arria 10 GX Arria 10 GT Arria 10 SX 製品ライン ブロック数 M20K RAM ビット数 (Kb) ブロック数 MLAB RAM ビット数 (Kb) トータル RAM ビット数

2-2 デザイン ガイドライン 表 2-1: 容量と分配 A10-MEMORY タイプ Arria 10 GX Arria 10 GT Arria 10 SX 製品ライン ブロック数 M20K RAM ビット数 (Kb) ブロック数 MLAB RAM ビット数 (Kb) トータル RAM ビット数 2 A10-MEMORY 署名 デバイス内のエンベデッド メモリ ブロックには柔軟性があり デザイン要件に合った最適な小規模メモリ アレイおよび大規模メモリ アレイを提供できるようデザインされています 関連情報 Arria 10 Device Handbook: Known Issues >Arria 10 Device Handbook の章にて予定される更新をリストします エンベデッド メモリのタイプ

More information

Notes and Points for TM4C123Gx Internal Flash memory

Notes and Points for TM4C123Gx Internal Flash memory 表紙 TI 社製 TM4C123GH6PM 内蔵 Flash メモリ対応手順書 株式会社 DTS インサイト ご注意 (1) 本書の内容の一部または 全部を無断転載することは禁止されています (2) 本書の内容については 改良のため予告なしに変更することがあります (3) 本書の内容について ご不明な点やお気付きの点がありましたら ご連絡ください (4) 本製品を運用した結果の影響については (3)

More information

PCI Express Compiler ユーザガイド ~シミュレーション編・SOPC Builder フロー~

PCI Express Compiler ユーザガイド ~シミュレーション編・SOPC Builder フロー~ ALTIMA Corp. PCI Express Compiler ユーザガイド ~ シミュレーション編 SOPC Builder フロー ~ ver.9.1 2010 年 6 月 ELSENA,Inc. 目次 1. はじめに... 3 2. 操作方法... 4 2-1. Quartus II プロジェクトの作成...4 2-2. SOPC Builder の起動...8 2-3. PCI Express

More information

Quartus II はじめてガイド - ピン・アサインの方法

Quartus II はじめてガイド - ピン・アサインの方法 ALTIMA Corp. Quartus II はじめてガイドピン アサインの方法 rev.1 ver.10 2011 年 3 月 ELSENA,Inc. Quartus II はじめてガイド ピン アサインの方法 rev.1 目次 1. はじめに... 3 2. 事前作業... 3 2-1. デバイスの選択... 3 2-2. データベースの構築... 4 3. ユーザ I/O ピンのアサイン方法...

More information

Unibo-with ガイド Unibo-with とは Unibo-with とは スマートフォン専用のユニボ用アプリです 外出先でも 留守番中のユニボの機能を一部活用できます ユニボの家族や友達とビデオ通話 見守り機能 ユニボとカレンダーを共有 ユニボで撮った写真をダウンロード A さんと通話で

Unibo-with ガイド Unibo-with とは Unibo-with とは スマートフォン専用のユニボ用アプリです 外出先でも 留守番中のユニボの機能を一部活用できます ユニボの家族や友達とビデオ通話 見守り機能 ユニボとカレンダーを共有 ユニボで撮った写真をダウンロード A さんと通話で Unibo-with ガイド Unibo-with とは Unibo-with とは スマートフォン専用のユニボ用アプリです 外出先でも 留守番中のユニボの機能を一部活用できます ユニボの家族や友達とビデオ通話 見守り機能 ユニボとカレンダーを共有 ユニボで撮った写真をダウンロード A さんと通話できるかチェックしてみましょう Unibo-with にかけたいですか ユニボにかけたいですか Unibo-with

More information

KDDI Smart Mobile Safety Manager Apple Business Manager(ABM) 運用マニュアル 最終更新日 2019 年 4 月 25 日 Document ver1.1 (Web サイト ver.9.6.0)

KDDI Smart Mobile Safety Manager Apple Business Manager(ABM) 運用マニュアル 最終更新日 2019 年 4 月 25 日 Document ver1.1 (Web サイト ver.9.6.0) KDDI Smart Mobile Safety Manager Apple Business Manager(ABM) 運用マニュアル 最終更新日 2019 年 4 月 25 日 Document ver1.1 (Web サイト ver.9.6.0) 変更履歴 日付 ver 変更箇所変更内容 2018/12/13 1.0 新規作成 2019/4/25 1.1 2.1.2 サーバートークンの取得 Apple

More information

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE 差し替え版 第 7 回マイクロプロセッサの VHDL 記述 マイクロプロセッサ全体および主要な内部ユニットの,VHDL 記述の例を示す. 1)MPU(Micro Processor Uit) Module 1MPU のエンティティ記述とコントローラの例以下は, 簡単な MPU の VHDL 記述の例である ただし, アーキテクチャ部分は, 命令読み込みと実行の状態遷移のみを実現したステートマシンである

More information

S1C17 Family Application Note S1C17 シリーズ PORT 多重割り込みアプリケーションノート Rev.1.0

S1C17 Family Application Note S1C17 シリーズ PORT 多重割り込みアプリケーションノート Rev.1.0 S1C17 Family Application Note S1C17 シリーズ PORT 多重割り込みアプリケーションノート Rev.1.0 評価ボード キット 開発ツールご使用上の注意事項 1. 本評価ボード キット 開発ツールは お客様での技術的評価 動作の確認および開発のみに用いられることを想定し設計されています それらの技術評価 開発等の目的以外には使用しないで下さい 本品は 完成品に対する設計品質に適合していません

More information

Microsoft Word - 作業報告書アプリの操作マニュアル.docx

Microsoft Word - 作業報告書アプリの操作マニュアル.docx 作業報告書 Point アプリ利用 マニュアル Ver. 改定日改定種別改定内容 1.1 2013/11/21 新規新規作成 著作権所有者著作権所有者の文章による許可なく本書を電子 電気 写真などの機器により複写 送信 再生することは禁じられております 本書の内容は 将来予告なしに変更されることがあります 本書の内容につきましては万全を期しておりますが 本書中の技術的あるいは校正上の誤り 脱落に対して

More information

WebReportCafe

WebReportCafe 1 1. 概要 WebReportCafe for.net では 生成した PDF に VeriSign の不可視電子署名を付加することができます 電子署名を付加する事により 文書の作成者を証明することができ 作成された PDF を改竄することが不可能になります この文書では WebReportCafe for.net で電子署名を付加するために必要な ドキュメントサイン用 Digital ID の取得と必須ファイル作成

More information

<< 目次 >> 1 PDF コンバータのインストール ライセンスコードの入力 PDF にフォントを埋め込みたい場合の設定 PDF オートコンバータ EX で使用しない場合 PDF コンバータ単体で使用する場合の説明 PDF コンバータのアン

<< 目次 >> 1 PDF コンバータのインストール ライセンスコードの入力 PDF にフォントを埋め込みたい場合の設定 PDF オートコンバータ EX で使用しない場合 PDF コンバータ単体で使用する場合の説明 PDF コンバータのアン PDF コンバータ V5.X インストール ガイド Page0 > 1 PDF コンバータのインストール... 2 2 ライセンスコードの入力... 6 3 PDF にフォントを埋め込みたい場合の設定... 9 4 PDF オートコンバータ EX で使用しない場合 PDF コンバータ単体で使用する場合の説明... 10 5 PDF コンバータのアンインストール... 16 6 お問合せ...

More information

目次 1. 回答作成手順 2 2. ツールの起動 3 3. 一般情報の入力 6 4. 成分表の入力 9 5. 依頼者情報の入力 エラーチェック XMLファイルの作成 動作設定 ( 任意 ) ( ご参考 ) 各種シートのボタン機能 ( ご参

目次 1. 回答作成手順 2 2. ツールの起動 3 3. 一般情報の入力 6 4. 成分表の入力 9 5. 依頼者情報の入力 エラーチェック XMLファイルの作成 動作設定 ( 任意 ) ( ご参考 ) 各種シートのボタン機能 ( ご参 JAMP MSDSplus 作成マニュアル (Ver.4.0 対応 ) 第 1.00 版 2012.4.2 富士通株式会社 お願い 本資料は富士通グループのお取引先内でのみ 且つ当社グループ向けの調査回答品にのみ利用可能です 目次 1. 回答作成手順 2 2. ツールの起動 3 3. 一般情報の入力 6 4. 成分表の入力 9 5. 依頼者情報の入力 13 6. エラーチェック 14 7. XMLファイルの作成

More information

4. 環境変数の設定実行にあたり 次のように環境変数を設定する必要があります 1)SJIS ロケールの指定コマンド例 )export LANG=ja_JP.sjis 2)MQ 環境の指定コマンド例 ). /opt/mqm/bin/setmqenv s 3)COBOL 実行環境の指定コマンド例 ).

4. 環境変数の設定実行にあたり 次のように環境変数を設定する必要があります 1)SJIS ロケールの指定コマンド例 )export LANG=ja_JP.sjis 2)MQ 環境の指定コマンド例 ). /opt/mqm/bin/setmqenv s 3)COBOL 実行環境の指定コマンド例 ). Micro Focus Enterprise Developer チュートリアル 1. 目的 本チュートリアルでは CICS から入力したメッセージを MQ へ連携する方法の習得を目的としています 2. 前提 使用した OS : Red Hat Enterprise Linux Server release 6.5 x64 使用した WebSphere MQ : IBM WebSphere MQ 7.5.0.1

More information

CLUSTERPRO MC StorageSaver for BootDisk 1.2 (for Windows) インストールガイド 2014(Mar) NEC Corporation はじめに 製品導入の事前準備 本製品のインストール 本製品の初期設定 本製品のアンインストール

CLUSTERPRO MC StorageSaver for BootDisk 1.2 (for Windows) インストールガイド 2014(Mar) NEC Corporation はじめに 製品導入の事前準備 本製品のインストール 本製品の初期設定 本製品のアンインストール CLUSTERPRO MC StorageSaver for BootDisk 1.2 (for Windows) インストールガイド 2014(Mar) NEC Corporation はじめに 製品導入の事前準備 本製品のインストール 本製品の初期設定 本製品のアンインストール 改版履歴 版数改版内容 1.0 2014.3 新規作成 i はしがき 本書は CLUSTERPRO MC StorageSaver

More information

Trueflow 3 Ver3

Trueflow 3  Ver3 EQUIOS PT-R / Trueflow SE Symantec Endpoint Protection 12 (SEP12) クイックセットアップガイド 本書について ------------------------------------------------------------------- Ⅴ 1. 更新情報 --------------------------------------------------------------------

More information

FIR コンパイラ II MegaCore ファンクションのユーザーガイド

FIR コンパイラ II MegaCore ファンクションのユーザーガイド 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-01072-5.0 ドキュメント バージョン : ドキュメント デート : 11.1 2011 年 11 月 Subscribe 2011 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, HARDCOPY,

More information