Report Template

Size: px
Start display at page:

Download "Report Template"

Transcription

1 1

2 ( ) ispvm system I/O... 7 USB ( ) ( ) Dual Boot Primary Image file USERCODE/UES I/O ATE SVF SVF SVF VME VME C CPU Universal File Writer Application Specific BSDL File Generator Model ()

3 SVF

4 ( ) isplever Lattice isplever HP (1) isp (2) (3) (4) / (5) /UES (6) (7) I/O (8) VME /VME-Processor (9) ATE HP, Genrad, Teradyne Marconi ATE AET (10) SVF (11) SVF (12) IEEE1532(ISC) (13) FPGA (14) (15) XML (.XCF ) TDI TDO JEDEC BYPASS ( 1 ) SVF /ispen /ENABLE /TRST /BSCAN /EPEN BSCAN ( I/O HiZ) 4

5 ispvm system Windows [] [ ] [Lattice Semiconductor] [] isplever Project Navigator isplever Project Navigator 1-1 ispvm isplever 5

6 Lattice PC USB 25pin 1-3 Vantis PC USB 6

7 PC 3 [isptools] [Device Scan] F2 ISP JTAG ISP Mix Scan 1-4 SCAN SCAN 1-4 JTAG-NOP I/O SCAN [Options] [Cable and I/O Setup] 7

8 I/O 1-5 I/O I/O Cable Type Lattice Vantis Vantis USB USB Port Setting Auto Detect ( Vantis ) Custom Port 0x TRST/Reset Pin Connected Done Pin Connected ispen/bscan Pin Connected Prog Pin Connected INIT Pin Connected TRST DONE ispen/bscan Prog INIT ON Set High Active High Set Low Active Low OFF: ON OFF: ON Set High Active High Set Low Active Low OFF: ON OFF: ON OFF: Windows XP USB 8

9 USB Admin ispvmsystem 1-6 USB 1-6 USB USB USB PC Wizard Wizard 1-8 9

10 1-9 C: WINDOWS Sysytem Lattice USB ISP Programmer Lattice USB ISP Programmer ispvmsystem

11 Windows USB Lattice USB ISP Programmer 1-12 USB 11

12 1-4 SCAN Data File Browse EC/ECP/ECP2/SC bit rbf XP/XO isc jed 1-2 Fast Program Erase,Programming,Verify Erase,Programming,Verify,Secure Verify Only Verify ID Erase Only Display ID Bypass Calculate Checksum Verify USERCODE Display USERCODE Read and Save JEDEC Read DONE bit 1-2 (*1) DONE bit *1 Verify,Checksum 12

13 Device Access Options Device Access Options (EC/ECP/ECP2 ) JTAG 1532 Mode Static RAM Cell Background SPI Flash Programming Advanced SPI Flash Programming Serial Mode JTAG JTAG SPI FPGA SPI 1-4 Device Access Options (SC ) JTAG Mode Serial Mode JTAG 1-5 Device Access Options (XP/XO ) Flash Programing Mode Flash Background Mode Static RAM Cell Mode Static RAM Cell Backgournd Mode SRAM SRAM 13

14 ( ) GO () ( ) 1-14 PASS DONE Operation FAIL PASS DONE ( ) FAIL ispvm( ) LOG [Edit] [Clear Log File] 14

15 JTAG u [File] [Save] Save 1-15 xcf spvm Systemu [File] [Save] ( ) ( ) 15

16 ( ) ispvm Dual Boot Lattice ECP2/ECP2M SPI Flash Dual Boot ispvm SCAN 2-1 ECP2/M Device List

17 2-2 Device Access Options Dual Boot SPI Flash Programming SPI Flash Options 17

18 2-3 SPI Flash 6 Flash Device Select SPI Flash Data File Browse Operation SPI Flash Erase,Program,Verify Golden Boot File Primary Boot File Golden Boot File Primary boot file Primary Boot File Generate OK 18

19 Primary Image file ispvm Primary Image File Dual Boot Log Primary Image Golden Image 2-4 LOG ispvm LOG Golden Primary Start Enc Primary Primary 2.2 SPI Flash 19

20 2-6 SPI Flash 6 Flash Device Select SPI Flash Data File Browse Operation SPI Flash Erase,Program,Verify Staring Address Ending Address OK 20

21 USERCODE/UES FPGA USERCODE USERCODE [Option] [Dsiplay USERCODE/UES Options] 2. Device Information [Advanced] [Advanced Device Information] 3. [USERCODE/UES Field]UES [Apply UES] 4. [Auto-Increment After Program by] USERCODE/UES USECODE USERCODE/UES Field in 2-8 Advanced Device Information 21

22 I/O I/O 1. [Device Information] 2. [Expand] 3. [Device Information][I/O Status] I/O I/O 2-9 Device Information I/O 2-1 CustomDynamic IO BSDL Edit 2-1 Device Access Options (EC/ECP/ECP2 ) High-Z(Default) All 1 s All 0 s Leave Alone Custom Dynamic IO Caputure Hi-Z High Low I/O ( High-Z ) Leave Alone Custom Leave Alone Edit I/O Dynamic 22

23 I/O CustomDynamic IOBSDL BSDL HP () BSDL EDIT [IO Vector Editor] 2-10 I/O Vector Editor [IO Vector Editor] I/O ( ) [Apply] [Save As] I/O (*.iov) 23

24 [Project] [Project Setting] Sequential Program Turbo Program Entire Chain Selected Device Operation Override Disable Board Setup Checking Avoid Test Logic Reset State Continue Download Even on Error Starting/Ending TAP State (JTAG ) (Lattice /) JTAG Test Logic Reset JTAG 24

25 [Advance] 2-12 () 2-3 () TCK Low Pulse Width Delay Comment TCK 25

26 ATE (ATE : Automatic Test Equipment) ATE Gen Rad HP 3070 HP 3065 Teradyne 1800 Teradyne L200/300 SVF(Serial Vector Format) ATE SVF ATE SVF : isptools ispvmsystem documents svf_standard.pdf ATE Project Generate ATE Vector ATE 2-13 ATE 26

27 Tester Type 2-14 ATE 2-14 ATE Tester Type Header File Advanced More 2-15 ATE Advanced Options 27

28 2-4 Split File Maximum number of vectors per file Split File without initialization Program Vectors Only Erase Vectors Only Vector Signal Order HP-PFC Data ATE ispen,mode SCLK ATE More OK ATE Advanced Options ATE Generate ATE 28

29 SVF SVF Project Generate SVF File SVF SVF 2-16 SVF SVF 2-17 SVF Options Build SVF File For Single Device Generate SVF 2-17 SVF () 29

30 SVF SVF 2-18 SVF Options Build Sequential Chain SVF File Generate SVF 2-18 SVF () 30

31 VME VME VME VME VME Project Generate SVF File VME 2-19 VME VME VME12 VME11 VME** ** VME 2-20 VME 31

32 Programing Mode Continue If Fail Turbo VME File Compress VME File Loop VME FIle Commnet VME Program Setting.. Turbo VME VME VME Generate VME 32

33 C 2-20 VME VME2HEX 2-21 VME HEX 2-21 VME HEX Generate 2-22 ( c) ( h) 2-22 C 33

34 ispvm CPU ispvmsystem ispvmembedded SoureCode readme.txt CPU FPGA CPU CPU Project Generate SVF File CPU 2-23 CPU CPU 2-24 CPU

35 2-6 Format Comment Output File Advanced Configuration Mode Byte Wide Bit Mirror Binary C-CODE Intel-HEX HEX Text( ) ON Browse CFG HEX MSB LSB Generate CPU 35

36 isvvm System : ispvm ispvm.exe infile <> /-processtype< >/-cabletype< >/-portaddress< >/-processmode< > 2-7 () ( ) ( ) ( ) ( ) USB ( ) xcf dld (turbo) (sequetial) (lattice) (vantis) USB (usb) LPT1(0x0378) LPT2(0x0278) LPT3(0x03BC) (0xXXXX)LPT1(0x0378) Ezusb-15 Ezusb-0 (-h)(-o) (-w) C: isptools ispvmsystem ispvm.exe -infile C: demo demo.xcf -processtype turbo -cabletype lattice -portaddress 0x

37 Universal File Writer Universal File Writer( UFW) UFW isptools Universal File Writer UFW 2-25 VME UFW 2-26 Universal File Writer(UFW) UFW 37

38 EC/ECP/ECP2 UFW UFW Bitstream Bitstream Settings [Compression] ON

39 Application Specific BSDL File Generator Application Specific BSDL File Generator BSDL(Boundary Scan Description Language) VREF LVDS I/O BSDL VREF LVDS BSDL JEDEC ISC BSDL WEB Application Specific BSDL File Generator s isptools Application Specifig BSDL File Generator BSDL BSDL 2-28 Application Specific BSDL File Generator BSDL BLDL Generate 39

40 Model300 Model300 Lattice ISP/JTAG Model300 Model300 Vcc 1.8V,2.5V,3.3V 5.0V ispvm Model300 AC Web 2-29 Model300 40

41 Model300 Model300 Programmer isptools Model300 Programmer ( M300 ) 2-30 Model300 Programmer Model300 Programmer Auto-Detect ( ) LED ( Model300 ) Go Status ( ) 41

42 () JTAG / IDCODE JTAG isptools Board Diagonostics 3-1 JTAG / 3-1 IDCODE IDCODE JTAG IDCODE 1 0 TCK TMS 42

43 isptools Repetitive Download 3-2 (Number of) (Stop on Error Number) OK Options Cable and I/O Port Setup Cable and I/O Setting Debug Mode

44 3-4 Loop ESC Power Check Test Setting Toggle Hold High Hold Low 0 Read(TDO Only) TDO Apply Loop View Log Cable Signal Test operations Power Check 44

45 SVF SVF SVF ISP/JTAG JEDEC SVF SVF SVF CPLD SVF 16 SVF SVF SVF isptools SVF Interpreter SVF 3-5 SVF SVF SVF Advanced Debug SVF 45

46 SVF 3-6 Configuration SVF Options ( SVF Options ) SVF Options 3-6 SVF SVF Options 3-7 JTAG 3-7 SVF Options 46

47 Configuration SVF Output JTAG TAP 3-9 SVF Output () 47

スライド 1

スライド 1 isplever CLASIC 1.2 Startup Manual for MACH4000 Rev.1.0 isplever_ CLASIC Startup_for_MACH4000_Rev01.ppt Page: 1 1. Page 3 2. Lattice isplever Design Flow Page 4 3. Page 5 3-1 Page 6 3-2 Page 7 3-3 Page

More information

Stratix IIデバイス・ハンドブック Volume 1

Stratix IIデバイス・ハンドブック Volume 1 3. & SII51003-4.0 IEEE Std. 1149.1 JTAG Stratix II IEEE Std. 1149.1 JTAG BST JTAG Stratix II Quartus II Jam.jam Jam Byte-Code.jbc JTAG Stratix II JTAG BST IOE I/O JTAG CONFIG_IO I/O Stratix II JTAG Stratix

More information

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト 3. MAX II IEEE 49. JTAG MII54-.6 PCB PCB Bed-of-nails PCB 98 Joint Test Action Group JTAG IEEE Std. 49. BST PCB BST 3 3. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin Signal Serial Data Out Core

More information

Report Template

Report Template f 1 3... 3 PC... 3... 4 12... 12 Web... 12 E-mail FAX... 17... 18 Service Pack 19 UPDATE... 19... 20 web... 21 Version 24 25... 25... 26... 27... 28 29 2 isplever7.1 for Windows isplever7.1 PC isplever7.1

More information

コンフィギュレーション & テスト

コンフィギュレーション & テスト SIIGX51005-1.0 5. & IEEE Std. 1149.1 (JTAG) Stratix II GX IEEE Std. 1149.1 JTAG BST JTAG Stratix II GX Quartus II Jam (.jam) Jam Byte-Code (.jbc) JTAG Stratix II GX JTAG BST IOE I/O JTAG CONFIG_IO I/O

More information

Arria GXデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

Arria GXデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト 3. Arria GX IEEE 49. (JTAG) AGX523-. PCB PCB Bed-of-nails PCB 98 Joint Test Action Group (JTAG) IEEE Std. 49. (BST) PCB BST 3 3. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin Signal Serial Data

More information

基盤設計時資料

基盤設計時資料 Rev.1.1 JTAG_Board_Design_Rev1.1.ppt Page: 1 JTAG_Board_Design_Rev1.1.ppt Page: 2 JTAG_Board_Design_Rev1.1.ppt Page: 3 JTAG_Board_Design_Rev1.1.ppt Page: 4 JTAG_Board_Design_Rev1.1.ppt Page: 5 ispjtag

More information

IEEE (JTAG) Boundary-Scan Testing for Stratix II & Stratix II GX Devices

IEEE (JTAG) Boundary-Scan Testing for Stratix II & Stratix II GX Devices 4. Stratix II Stratix II GX IEEE 49. (JTAG) SII529-3. PCB PCB Bed-of-nails PCB 98 Joint Test Action Group (JTAG) IEEE Std. 49. (BST) PCB BST 4-4-. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin

More information

PowerPoint Presentation

PowerPoint Presentation VME Embedded System ユーザーズマニュアル ~ Slim VME Embedded ~ Tecstar Page: 1 Agenda 1. VME Embedded System 概要 2. VME Embedded の特徴 3. Embedded Overview 4. VMEファイルとHEXファイルについて 5. Slim VME について 6. Deployment Toolの起動方法について

More information

Nios II ハードウェア・チュートリアル

Nios II ハードウェア・チュートリアル Nios II ver. 7.1 2007 8 1. Nios II FPGA Nios II Quaruts II 7.1 Nios II 7.1 Nios II Cyclone II count_binary 2. 2-1. http://www.altera.com/literature/lit-nio2.jsp 2-2. Nios II Quartus II FEATURE Nios II

More information

HA8000シリーズ ユーザーズガイド ~BIOS編~ HA8000/RS110/TS10 2013年6月~モデル

HA8000シリーズ ユーザーズガイド ~BIOS編~ HA8000/RS110/TS10 2013年6月~モデル P1E1M01500-3 - - - LSI MegaRAID SAS-MFI BIOS Version x.xx.xx (Build xxxx xx, xxxx) Copyright (c) xxxx LSI Corporation HA -0 (Bus xx Dev

More information

ECP2/ECP2M ユーザーズガイド

ECP2/ECP2M ユーザーズガイド Lattice MachXO Lattice Lattice MachXO_design_guide_rev2.2.ppt Page: 2 1. MachXO 1-1. 1-2. PLL 1-3. JTAG 1-4. 2. MachXO I/O Bank I/O 2-1. I/O BANK 2-2. I/O I/F 2-3. I/F 2-4 I/F 2-5. 2-6. LVDS I/F 2-7. I/F

More information

PowerPoint Presentation

PowerPoint Presentation VME Embedded System ユーザーズマニュアル ~ Full VME Embedded ~ Tecstar Page: 1 Agenda 1. VME Embedded System 概要 2. VME Embedded の特徴 3. Embedded Overview 4. VMEファイルとHEXファイルについて 5. Deployment Toolの起動方法について 6. VME

More information

1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll 14 5.1 Cyclone V GX FPGA... 14 5.2 FPGA ROM...

1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll 14 5.1 Cyclone V GX FPGA... 14 5.2 FPGA ROM... Mpression Beryll Board Revision 1.0 2014/2 2014/2 Mpression by Macnica Group http://www.m-pression.com 1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

1 2

1 2 1 1 2 1 2 3 4 5 3 2 3 4 4 1 2 3 4 5 5 5 6 7 8 1 1 2 1 10 1 3 1 11 2 12 2 3 1 13 2 14 2 3 1 15 2 16 2 3 1 17 2 1 2 3 4 5 18 2 6 7 8 3 1 1 2 19 2 20 2 3 1 21 2 22 2 3 1 23 2 24 2 3 1 25 2 26 2 3 1 27 2 28

More information

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO - 5ns - f CNT 25MHz - 800~6,400 36~288 5V ISP - 0,000 / - / 36V8-90 8 - IEEE 49. JTAG 24mA 3.3V 5V PCI -5-7 -0 CMOS 5V FastFLASH XC9500 XC9500CPLD 0,000 / IEEE49. JTAG XC9500 36 288 800 6,400 2 XC9500

More information

1 138

1 138 5 1 2 3 4 5 6 7 8 1 138 BIOS Setup Utility MainAdvancedSecurityPowerExit Setup Warning Item Specific Help Setting items on this menu to incorrect values may cause your system to malfunction. Select 'Yes'

More information

CM1-GTX

CM1-GTX CM1-GTX000-2002 R R i R ii 1-1 1-2 1-3 Process Variables Process Variables Pressure Output Analog Output Sensor Temp. Lower Range Value (0%) Upper Range Value (100%) Pressure Pressure Chart Pressure

More information

TOPLON PRIO操作手順

TOPLON PRIO操作手順 TOPLON PRIO 2004/05/24 I/O LON WAGO TOPLON PRIO 1. 1) PCC-10 S/W 2) PC 3) PCC-10 4) Windows Lon WorksR Plug n Play Apply OK 5) Visio LonMaker LonPoint 6) TOPLON PRIO 2. IO-PRO SYM TOPLON-PRIO SNVT NVI

More information

Revision

Revision Revision 0 Lattice Mico32 222-8561 1-6-3 1 045-470-9841 FAX 045-470-9844 ... 1... 1... 1 LatticeMico32... 2 Mico32... 3... 3... 4 Microprocessor Platform isplever Project... 5 MSB(MicoSystemBuilder)...

More information

Microsoft Word - PIVマニュアル.doc

Microsoft Word - PIVマニュアル.doc (Nikkor 50mm f/1.2) C CCD (PixelFly QE) LAN USB BNC 1 1.1 CCD 注意 CCD CCD 1) 注意 2) 3) LAN LAN 4) 3 2 5) 2 1.2 1) Came Ware Came Ware 2) [Camera] [Camera Control] Camera mode Video Trigger Mode Intern CameraControl

More information

Version1.5

Version1.5 Version1.5 Version Date Version1.0 Version1.1 Version1.2 Version1.3 Version1.4 Version1.5 Test J/K/SE0_NAK USB-IF Test Procedure FS Upstream Signal Quality Test Receiver Sensitivity Test DG2040 Packet

More information

AN 100: ISPを使用するためのガイドライン

AN 100: ISPを使用するためのガイドライン ISP AN 100: In-System Programmability Guidelines 1998 8 ver.1.01 Application Note 100 ISP Altera Corporation Page 1 A-AN-100-01.01/J VCCINT VCCINT VCCINT Page 2 Altera Corporation IEEE Std. 1149.1 TCK

More information

untitled

untitled FutureNet Microsoft Corporation Microsoft Windows Windows 95 Windows 98 Windows NT4.0 Windows 2000, Windows XP, Microsoft Internet Exproler (1) (2) (3) COM. (4) (5) ii ... 1 1.1... 1 1.2... 3 1.3... 6...

More information

取扱説明書の読み替え一覧表

取扱説明書の読み替え一覧表 SCSI アレイコントローラカード取扱説明書 ( 追補版 ) PG-140BL PG-140C PG-140CL PG-141B PG-142B PG-142C PG-142D GP5-150 GP5-1501 GP5-151 はじめに Linux MicrosoftWindows NTMicrosoft Corporation NetwareNovell Copyright 1985-2001 Microsoft

More information

HA8000-bdシリーズ RAID設定ガイド HA8000-bd/BD10X2

HA8000-bdシリーズ RAID設定ガイド HA8000-bd/BD10X2 HB102050A0-4 制限 補足 Esc Enter Esc Enter Esc Enter Main Advanced Server Security Boot Exit A SATA Configuration SATA Controller(s) SATA Mode Selection [Enabled] [RAID] Determines how

More information

ProVisionaire Control V3.0セットアップガイド

ProVisionaire Control V3.0セットアップガイド ProVisionaire Control V3 1 Manual Development Group 2018 Yamaha Corporation JA 2 3 4 5 NOTE 6 7 8 9 q w e r t r t y u y q w u e 10 3. NOTE 1. 2. 11 4. NOTE 5. Tips 12 2. 1. 13 3. 4. Tips 14 5. 1. 2. 3.

More information

94

94 4 1 2 3 4 5 6 7 8 9 10 11 12 94 4 95 1 2 3 4 96 5 5 4 97 98 1 2 3 4 99 4 100 5 6 1 2 4 101 1 2 3 4 5 1 2 3 4 102 1 2 3 4 4 103 1 2 104 1 2 3 4 4 5 105 1 2 106 4 107 108 1 2 3 4 4 109 1 2 3 4 110 1 2 3

More information

1 2

1 2 1 1 2 3 1 2 3 4 5 2 3 4 4 1 2 3 4 5 5 5 6 1 1 2 3 1 8 1 3 1 9 2 10 2 3 1 11 2 12 13 3 1 2 2 14 2 3 1 15 2 16 2 3 1 17 2 18 2 3 1 19 3 20 3 3 1 21 3 22 3 3 1 23 3 24 3 3 1 25 3 26 3 3 1 27 3 28 3 3 1 29

More information

Power Calculator

Power Calculator 1 4... 4... 4... 5 6... 6... 6 isplever... 6... 7... 8... 8... 8 (NCD)... 9 (.vcd)... 10... 11...11... 12 Power Summary... 16 Logic Block... 19 Clocks... 20 I/O... 20 I/O Term... 21 Block RAM... 22 DSP...

More information

A-GAGE High - Resolution MINI ARRAY Instruction Manual Printed in Japan J20005M

A-GAGE High - Resolution MINI ARRAY Instruction Manual     Printed in Japan J20005M A-GAGE High - Resolution MINI ARRAY Instruction Manual E-mail : mail@bannerengineering.co.jp http://www.bannerengineering.com Printed in Japan J20005M4 page 2 page 3 page 4 page 5 page 6 page 7 page 8

More information

Express5800/320Lb, 320Lb-R, 320Lb2-R, 320Lc, 320Lc-Rユーザーズガイド(セットアップ編)

Express5800/320Lb, 320Lb-R, 320Lb2-R, 320Lc, 320Lc-Rユーザーズガイド(セットアップ編) 4 B 1 2 Phoenix BIOS S etup Utility Main Advanced Security System Hardare Boot Exit Processor Type : Processor Speed : Cache RAM : System Memory : Extended Memory : Intel (R) Xeon (TM) processor 2.80

More information

Report Template

Report Template 日本語マニュアル 第 16 章 ( 本 日本語マニュアルは 日本語による理解のため一助として提供しています その作成にあたっては各トピックについて それぞれ可能な限り正確を期しておりますが 必ずしも網羅的ではなく 或いは最新でない可能性があります また 意図せずオリジナル英語版オンラインヘルプやリリースノートなどと不一致がある場合もあり得ます 不明箇所について又は疑義が生じた場合は ラティスセミコンダクター正規代理店の技術サポート担当にお問い合わせ頂くか

More information

Cleaner XL 1.5 クイックインストールガイド

Cleaner XL 1.5 クイックインストールガイド Autodesk Cleaner XL 1.5 Contents Cleaner XL 1.5 2 1. Cleaner XL 3 2. Cleaner XL 9 3. Cleaner XL 12 4. Cleaner XL 16 5. 32 2 1. Cleaner XL 1. Cleaner XL Cleaner XL Administrators Cleaner XL Windows Media

More information

GM-F520S/GM-F470S/GM-F420S

GM-F520S/GM-F470S/GM-F420S GM-F520S GM-F470S GM-F420S LCT2504-002A-H 2 3 4 200 150 150 50 1 3 4 1 2 3 1 2 3 4 5 e 6 7 8 9 p q w r t 5 6 5 23 7 8 9 p q 4 5 6 7 8 9 2 3 4 5 5 23 6 7 8 9 w 1 2 e r t p p 5 6 9( 3 DVI-D (HDCP) RGB IN

More information

4

4 I/O 2AO 0/4-20mA / DC6-18V 16Bit Ver. 1.0.0 2 750-563 Copyright 2006 by WAGO Kontakttechnik GmbH All rights reserved. 136-0071 1-5-7 ND TEL 03-5627-2059 FAX 03-5627-2055 http://www.wago.co.jp/io/ WAGO

More information

BIOS 設定書 BIOS 出荷時設定 BIOS 設定を工場出荷状態に戻す必要がある場合は 本書の手順に従って作業をおこなってください BIOS 設定を変更されていない場合は 本書の作業は必要ありません BIOS 出荷時設定は以下の手順でおこないます スタート A) BIOS の Setup Uti

BIOS 設定書 BIOS 出荷時設定 BIOS 設定を工場出荷状態に戻す必要がある場合は 本書の手順に従って作業をおこなってください BIOS 設定を変更されていない場合は 本書の作業は必要ありません BIOS 出荷時設定は以下の手順でおこないます スタート A) BIOS の Setup Uti BIOS 出荷時設定 BIOS 設定を工場出荷状態に戻す必要がある場合は 本書の手順に従って作業をおこなってください BIOS 設定を変更されていない場合は 本書の作業は必要ありません BIOS 出荷時設定は以下の手順でおこないます スタート A) BIOS の Setup Utility を起動 B) BIOS 設定をデフォルトに戻す C) 工場出荷時状態に再設定 D) 設定状態をセーブして終了

More information

4

4 I/O 2AO DC0-10V/ 10V 16Bit Ver. 1.0.0 2 750-562 Copyright 2006 by WAGO Kontakttechnik GmbH All rights reserved. 136-0071 1-5-7 ND TEL 03-5627-2059 FAX 03-5627-2055 http://www.wago.co.jp/io/ WAGO Kontakttechnik

More information

Quartus II はじめてガイド - デバイス・プログラミング方法

Quartus II はじめてガイド - デバイス・プログラミング方法 - Quartus II はじめてガイド - デバイス プログラミング方法 ver. 9.1 2010 年 1 月 1. はじめに この資料では Quartus II の Programmer の操作方法を紹介しています Programmer を使用し デバイスにプログラミング ( デバイスへの書き込み ) を行います アルテラのデバイスへデータを書き込むときには プログラミング ハードウェアを使用します

More information

AN 100: ISPを使用するためのガイドライン

AN 100: ISPを使用するためのガイドライン ISP AN 100: In-System Programmability Guidelines 1999 5 ver. 3 Application Note 100 Time-to-Market ISP PLD PCB ISP IEEE Std. 1149.1 JTAG Joint Test Action Group JTAG PCB ISP ISP IEEE Std.1149.1 ISP ISP

More information

IP1_ug.book

IP1_ug.book 1 1 2 Press to enter SETUP 1 2 1 Press to enter SETUP Enter passord[ ] Phoenix TrustedCore(tm) Setup Utility Main Advanced Security System Hardare

More information

Quartus Prime はじめてガイド - デバイス・プログラミングの方法

Quartus Prime はじめてガイド - デバイス・プログラミングの方法 ALTIMA Corp. Quartus Prime はじめてガイドデバイス プログラミングの方法 ver.15.1 2016 年 3 月 Rev.1 ELSENA,Inc. Quartus Prime はじめてガイド デバイス プログラミングの方法 目次 1. 2. 3. 4. はじめに...3 プログラミング方法...5 Auto Detect 機能...14 ISP CLAMP 機能...17

More information

Arduino UNO IS Report No. Report Medical Information System Laboratory

Arduino UNO IS Report No. Report Medical Information System Laboratory Arduino UNO 2015 2 25 IS Report No. Report Medical Information System Laboratory Abstract ( ) Arduino / Arduino Bluetooth Bluetooth : Arduino Arduino UNO Arduino IDE micro computer LED 1............................

More information

untitled

untitled Track Stick...1...2...7...8...9...10...10...14...14...17...19...23 1. CD CD 2. INSTALL TRACK SITCK MANAGER 3. OK 2 4. NEXT 5. license agreement I agree 6. Next 3 7. 8. Next 9. Next 4 10. Close 9 OK PDF

More information

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that use microcontrollers (MCUs)

More information

DICOM UG_JPN_P book

DICOM UG_JPN_P book DICOM 1 2 FUJIFILM SonoSite, Inc. DICOM PACS MPPS DICOM Storage Commitment 3 Locations Devices イーサネットを使用して LAN に接続する方法 M-Turbo MDSm 転送モードを設定する方法 1 Connectivity (Transfer Mode DICOM 2 Yes Locations Archivers

More information

DL1720/DL1740ディジタルオシロスコープユーザーズマニュアル

DL1720/DL1740ディジタルオシロスコープユーザーズマニュアル DL1720/DL1740 IM 701710-01 http://www.yokogawa.co.jp/measurement/ 0120-137046 tmi-cs@csv.yokogawa.co.jp FAX 0422-52-6624 Disk No. DL30 2nd Edition : July 2001 (YK) All Rights Reserved, Copyright 2001 Yokogawa

More information

PowerPoint Presentation

PowerPoint Presentation SSPI Embedded System ユーザーズマニュアル Tecstar Page: 1 Agenda 1. SSPI Embedded System 概要 2. SSPI Embedded の特徴 3. Deployment Toolの起動方法について 4. SSPI Embedded 用意されているファイル 5. ソースファイルについて 6. SSPI Embedded ユーザーフロー 7.

More information

Microsoft Word - Meta70_Preferences.doc

Microsoft Word - Meta70_Preferences.doc Image Windows Preferences Edit, Preferences MetaMorph, MetaVue Image Windows Preferences Edit, Preferences Image Windows Preferences 1. Windows Image Placement: Acquire Overlay at Top Left Corner: 1 Acquire

More information

Cyclone II Device Handbook

Cyclone II Device Handbook VI. Cyclone II Cyclone II JTAG 13 Cyclone II 14 Cyclone II IEEE 1149.1 (JTAG) Altera Corporation VI 1 Preliminary Cyclone II, Volume 1 13 14 / 13 2004 11 v1.1 2004 6 v1.0 14 2004 6 v1.0 AS AS 13-8 MAX

More information

2

2 WJ-HD150 Digital Disk Recorder WJ-HD150 2 3 q w e r t y u 4 5 6 7 8 9 10 11 12 13 14 15 16 q w SIGNAL GND AC IN 17 SUNDAY MONDAY TUESDAY WEDNESDAY THURSDAY FRIDAY SATURDAY DAILY Program 1 Event No.1 Event

More information

WinPSKユーザーズガイド

WinPSKユーザーズガイド 8 ae4jy@mindspring.com - 1 - - 2 - 5 5.. 5 5 5.. 6 6 1 1 1 1 1 1 1 1-3 - Status Bar 36-4 - Peter Martinez, WinPSK MHz Pentium Windows95,98 NT4.0 DX VGA640x480 Help MB RAM CPU WinPSK CPU CPU Too Slow CPU

More information

Express5800/i110Rc-1hユーザーズガイド

Express5800/i110Rc-1hユーザーズガイド 3 Press to enter SETUP Enter passord [ ] Main System Management Console Redirection Event Log Configuration Assert NMI on PERR: Assert NMI on SERR: FRB-2 Policy: Boot Monitoring: Boot Monitoring

More information

5 1 2 3 4 5 6 7 8 9 10 1 Quick Boot 1st Boot Device 2nd Boot Device 3rd Boot Device Network Boot Initial Display Mode S.M.A.R.T. for Hard Disks BootUp Num-Lock Password Check CPU Serial Number System BIOS

More information

本機をお使いの方へ

本機をお使いの方へ MA46H/S Windows 98 / NT 4.0 Windows 98 / NT 4.0 MA46H/S BIOS 1999 10 808-877675-132-A 3 AT 6. 1. BIOS P.7 1. Windows 98 Windows Windows 98 Microsoft Windows 98 Windows NT 4.0 Microsoft Windows NT Workstation

More information

Specview Specview Specview STSCI(Space Telescope SCience Institute) VO Specview Web page htt

Specview Specview Specview STSCI(Space Telescope SCience Institute) VO Specview Web page   htt Specview Specview Specview STSCI(Space Telescope SCience Institute) VO Specview Web page http://www.stsci.edu/resources/software_hardware/specview http://specview.stsci.edu/javahelp/main.html Specview

More information

LR DEVICE Version 1.1 706434 / 01 04 / 2017 1................................................ 3 1.1............................................... 3 2................................................ 3

More information

ワイヤレス~イーサネットレシーバー UWTC-REC3

ワイヤレス~イーサネットレシーバー UWTC-REC3 www.jp.omega.com : esales@jp.omega.com www.omegamanual.info UWTC-REC3 www.jp.omega.com/worldwide UWIR UWTC-NB9 / UWRH UWRTD UWTC 61.6 [2.42] REF 11.7 [0.46] 38.1 [1.50] 66.0 [2.60] REF 33.0 [1.30]

More information

1 124

1 124 7 1 2 3 4 5 6 7 8 9 10 11 12 1 124 Phoenix - AwardBIOS CMOS Setup Utility Integrated Peripherals On-Chip Primary PCI IDE [Enabled] IDE Primary Master PIO [Auto] IDE Primary Slave PIO [Auto] IDE Primary

More information

EQUIUM EQUIUM S5010 1

EQUIUM EQUIUM S5010 1 EQUIUM EQUIUM S5010 1 1 1 2 3 4 2 1 2 3 2 3 1 2 3 4 5 6 7 4 1 2 5 1 2 6 1 7 1 2 3 4 5 6 7 1 2 3 4 5 6 3 7 8 9 1 2 3 4 4 5 6 7 8 1 1 2 3 4 10 1 11 12 1 13 14 1 15 16 1 1 2 3 17 1 2 3 18 4 5 6 1 19 1 2 3

More information

iStorage NS500Rbユーザーズガイド

iStorage NS500Rbユーザーズガイド 5 w w w w w Press to enter SETUP or Press to boot from Network Enter Password Main System Management BMC LAN Configuration Event Log Configuration Advanced Security Server Boot Save & Exit Additional

More information

1 122

1 122 6 1 2 3 4 5 6 1 122 PhoenixBIOS Setup Utility MainAdvancedSecurityPowerExit MainSystem DevicesSecurityBootExit System Time: [XX:XX:XX] [XX:XX:XX] System Date: [XX/XX/XX] [XX/XX/XXXX] Item Specific Help

More information

JAJP.indd

JAJP.indd Agilent Application Note 1....1 2. MIMO...2 2.1...2 2.2 MIMO...3 3. Agilent MIMO...4 3.1 P...4 3.2 U2000 USB...7 4....10 4.1 P...11 4.2 U2000...16 2 T 0 T 1 = 1.15 0.26 0.39 1.03 R 0 R 1 CB.log 2 1 C MIMO

More information

REVISION 2.85(6).I 1

REVISION 2.85(6).I 1 REVISION 2.85(6).I 1 2 3 4 5 6 7 8 KDC300 ユーザーマニュアル 1.1 同梱物 本機のパッケージには 以下の物が同梱されています 1 2 3 4 本体 バーコード Data Collector 1 台 USB ケーブル 1本 ネックストラップ 1 本 ソフトウェアとユーザーマニュアルを含む CD-ROM 1枚 KTSync - XP, Vista,Windows7,

More information

R1LV1616H-I シリーズ

R1LV1616H-I シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

Quartus Prime - プログラミング・ファイルの生成や変換(Convert Programming Files)

Quartus Prime - プログラミング・ファイルの生成や変換(Convert Programming Files) ALTIMA Corp. Quartus Prime プログラミング ファイルの生成や変換 (Convert Programming Files) ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. Quartus Prime プログラミング ファイルの生成や変換 (Convert Programming Files) 目次 1. 2. はじめに...3 操作方法...4 2-1.

More information

1 2

1 2 1 1 2 1 2 3 4 5 3 2 3 4 4 1 2 3 4 5 5 5 6 1 1 2 1 8 1 3 1 9 2 10 2 3 1 11 2 12 2 3 1 13 14 2 2 3 1 15 2 1 2 3 4 5 16 2 6 7 8 3 1 1 2 17 2 18 2 3 1 19 2 20 2 3 1 21 2 22 2 3 1 23 2 24 2 3 1 25 2 26 2 3

More information

0.2 Button TextBox: menu tab 2

0.2 Button TextBox: menu tab 2 Specview VO 2012 2012/9/27 Specview Specview STSCI(Space Telescope SCience Institute) VO Specview Web page http://www.stsci.edu/resources/software hardware/specview http://specview.stsci.edu/javahelp/main.html

More information

N Express5800/R320a-E4 N Express5800/R320a-M4 ユーザーズガイド

N Express5800/R320a-E4  N Express5800/R320a-M4  ユーザーズガイド 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

Express5800/R320a-E4/Express5800/R320b-M4ユーザーズガイド

Express5800/R320a-E4/Express5800/R320b-M4ユーザーズガイド 7 7 障害箇所の切り分け 万一 障害が発生した場合は ESMPRO/ServerManagerを使って障害の発生箇所を確認し 障害がハー ドウェアによるものかソフトウェアによるものかを判断します 障害発生個所や内容の確認ができたら 故障した部品の交換やシステム復旧などの処置を行います 障害がハードウェア要因によるものかソフトウェア要因によるものかを判断するには E S M P R O / ServerManagerが便利です

More information

Express5800/R320a-E4, Express5800/R320b-M4ユーザーズガイド

Express5800/R320a-E4, Express5800/R320b-M4ユーザーズガイド 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

Express5800/320Lc/320Lc-Rユーザーズガイド(セットアップ編)

Express5800/320Lc/320Lc-Rユーザーズガイド(セットアップ編) 3 B 1 2 Phoenix BIOS S etup Utility Main Advanced Security System Hardare Boot Exit Processor Type : Processor Speed : Cache RAM : System Memory : Extended Memory : Intel (R) Xeon (TM) processor 2.80

More information

RAID RAID 0 RAID 1 RAID 5 RAID * ( -1) * ( /2) * RAID A. SATA SSD B. BIOS SATA ( 1) C. RAID BIOS RAID D. RAID/AHCI 2 SATA SSD ( 1) ( ( 3) 2

RAID RAID 0 RAID 1 RAID 5 RAID * ( -1) * ( /2) * RAID A. SATA SSD B. BIOS SATA ( 1) C. RAID BIOS RAID D. RAID/AHCI 2 SATA SSD ( 1) ( ( 3) 2 RAID SATA... 2 RAID/AHCI... 16 Intel Optane... 19 Intel Virtual RAID on CPU Intel VROC... 21 RAID RAID 0 RAID 1 RAID 5 RAID 10 2 2 3 4 * ( -1) * ( /2) * RAID A. SATA SSD B. BIOS SATA ( 1) C. RAID BIOS

More information

MINI2440マニュアル

MINI2440マニュアル ARM Cortex-M3 STM32F103 (GCC TOPPERS/ASP ) http://www.nissin-tech.com info@nissin-tech.com 2009/10/15 copyright@2009 1 STM32F103...3 STM32...4...8 3.1...8 3.2...9 3.3...13 KEIL...19 4.1 KEIL...19 4.2...22

More information

EQUIUM EQUIUM S5010 1 1 1 2 3 4 2 1 2 3 2 3 1 2 3 4 5 6 7 8 4 1 2 3 5 1 2 1 2 3 4 5 6 7 6 1 3 7 1 2 3 4 5 6 7 8 9 10 11 1 2 3 4 4 5 6 7 8 1 1 2 3 4 10 1 11 12 1 13 14 1 15 1 16 1 1 17 1 2 18 3 1 4 5 19

More information

1 142

1 142 7 1 2 3 4 5 6 7 8 1 142 PhoenixBIOS Setup Utility MainSystem DevicesSecurityPowerOthersBootExit System Time: [XX:XX:XX] Item Specific Help System Date: [XX/XX/XXXX] Floppy Drive: 1.44MB, 3 1 / 2" Hard

More information

SR-X526R1 サーバ収容スイッチ ご利用にあたって

SR-X526R1 サーバ収容スイッチ ご利用にあたって SR-X526R1 P3NK-3432-05Z0 526R1 V01 SR-X526R1 V01 2009 10 2010 4 2 2011 5 3 2012 3 4 2012 11 5 Microsoft Corporation Copyright FUJITSU LIMITED 2009-2012 2 SR-X526R1 V01...2...5...5...5...5...6...7...8...8...11...11...11...11...11...11...12...12...12...12...13...13...13

More information

RAID RAID 0 RAID 1 RAID 5 RAID * ( -1) * ( /2) * RAID A. SATA B. BIOS SATA ( 1) C. RAID BIOS RAID D. SATA RAID/AHCI 2 SATA M.2 SSD ( 2) ( (

RAID RAID 0 RAID 1 RAID 5 RAID * ( -1) * ( /2) * RAID A. SATA B. BIOS SATA ( 1) C. RAID BIOS RAID D. SATA RAID/AHCI 2 SATA M.2 SSD ( 2) ( ( RAID RAID 0 RAID 1 RAID 5 RAID 10 2 2 3 4 * ( -1) * ( /2) * RAID A. SATA B. BIOS SATA ( 1) C. RAID BIOS RAID D. SATA RAID/AHCI 2 SATA M.2 SSD ( 2) ( ( 3) 2 ) Windows USB 1 SATA A. SATA SATA Intel SATA

More information

Introduction Purpose The course describes library configuration and usage in the High Performance Embedded Workshop (HEW), which speeds development of

Introduction Purpose The course describes library configuration and usage in the High Performance Embedded Workshop (HEW), which speeds development of Introduction Purpose The course describes library configuration and usage in the High Performance Embedded Workshop (HEW), which speeds development of software for embedded systems. Objectives Learn the

More information

R1LV0416Dシリーズ データシート

R1LV0416Dシリーズ データシート Wide Temperature Range Version 4M SRAM (256-kword 16-bit) RJJ03C0237-0100 Rev. 1.00 2007.05.24 262,144 16 4M RAM TFT 44 TSOP II 48 CSP 0.75mm 3.0V 2.7V 3.6V 55/70ns max 3µW typ V CC =3.0V 2CS 40 +85 C

More information

RT300i/RT140x/RT105i 取扱説明書

RT300i/RT140x/RT105i 取扱説明書 2 3 4 5 6 7 8 9 10 Bold face Enter Ctrl Tab BS Del Typewriter face RT105i RT300i RT140p RT140f RT140i RT140e RT105i RT300i 11 RARP 9600 bit/s 8 http://www.rtpro.yamaha.co.jp/ ftp.rtpro.yamaha.co.jp 12

More information

New version (2.15.1) of Specview is now available Dismiss Windows Specview.bat set spv= Specview set jhome= JAVA (C:\Program Files\Java\jre<version>\

New version (2.15.1) of Specview is now available Dismiss Windows Specview.bat set spv= Specview set jhome= JAVA (C:\Program Files\Java\jre<version>\ Specview VO 2012 2012/3/26 Specview Specview STSCI(Space Telescope SCience Institute) VO Specview Web page http://www.stsci.edu/resources/software hardware/specview http://specview.stsci.edu/javahelp/main.html

More information

RTX830 取扱説明書

RTX830 取扱説明書 RTX830 JA 1 2 3 4 5 6 7 8 9 10 11 external-memory performance-test go 12 13 show config 14 15 16 17 18 19 20 save 21 22 23 24 25 26 27 save RTX830 BootROM Ver. 1.00 Copyright (c) 2017 Yamaha Corporation.

More information

5 1 2 3 4 5 6 7 8 9 10 11 12 1 132 CMOS Setup Utility - Copyright (C) 1984-2000 Award Software Power Management Setup ACPI Suspend Type S3 (STR) Power Management User Define Video Off Method DPMS Video

More information

RX600 & RX200シリーズ アプリケーションノート RX用仮想EEPROM

RX600 & RX200シリーズ アプリケーションノート RX用仮想EEPROM R01AN0724JU0170 Rev.1.70 MCU EEPROM RX MCU 1 RX MCU EEPROM VEE VEE API MCU MCU API RX621 RX62N RX62T RX62G RX630 RX631 RX63N RX63T RX210 R01AN0724JU0170 Rev.1.70 Page 1 of 33 1.... 3 1.1... 3 1.2... 3

More information

TOS7200 CD-ROM DUT PC 1.0X p.15 NEMA Vac/10 A [85-AA-0003] m : CEE7/7 : 250Vac/10 A [85-AA-0005] : GB1002 : 250Vac/10A [ ] 2016

TOS7200 CD-ROM DUT PC 1.0X p.15 NEMA Vac/10 A [85-AA-0003] m : CEE7/7 : 250Vac/10 A [85-AA-0005] : GB1002 : 250Vac/10A [ ] 2016 No. IB028901 Nov. 2016 1. 11 TOS7200 2. 14 3. 19 4. 23 5. 39 6. 49 7. 51 TOS7200 CD-ROM DUT PC 1.0X p.15 NEMA5-15 125 Vac/10 A [85-AA-0003] 1 2.5 m : CEE7/7 : 250Vac/10 A [85-AA-0005] : GB1002 : 250Vac/10A

More information

RT300/140/105シリーズ 取扱説明書

RT300/140/105シリーズ 取扱説明書 REMOTE & BROADBAND ROUTER RT300i/RT140p/RT140f/RT140i RT140e/RT105p/RT105i/RT105e 2 3 4 5 6 7 8 9 10 Bold face Enter Ctrl Tab BS Del Console RT105i RT300i RT140p RT140f RT140i RT140e RT105p RT105i RT105e

More information

SR-X324T2/316T2 サーバ収容スイッチ ご利用にあたって

SR-X324T2/316T2 サーバ収容スイッチ ご利用にあたって SR-X324T2/316T2 P3NK-4612-01Z0 324T2/316T2 V01 2012 11 Microsoft Corporation Copyright FUJITSU LIMITED 2012 2 ...2...5...5...5...5...6...7...8...8...11...11...11...11...11...12...12...12...12...12...13...13...13

More information

SR-X324T1/316T1 サーバ収容スイッチ ご利用にあたって

SR-X324T1/316T1 サーバ収容スイッチ ご利用にあたって SR-X324T1/316T1 P3NK-4092-04Z0 324T1/316T1 V01 SR-X324T1/316T1 V01 2010 4 2011 5 2 2012 3 3 2012 11 4 Microsoft Corporation Copyright FUJITSU LIMITED 2010-2012 2 SR-X324T1/316T1 V01...2...5...5...5...5...6...7...8...8...11...11...11...11...11...11...12...12...12...12...12...13...13

More information

デジタル回路入門

デジタル回路入門 Open-It FPGA トレーニングコース ( 初級編 ) 第 9 版 2. 組み合わせ回路入門 2.4. 実習 FPGA への実装 2013 年 5 月 10 日修正 まずは動かしてみましょう!! 詳細内容は明日説明します Open-It FPGA トレーニングコース ( 初級 ) 2 FPGA への実装方法 HDL コード Synthesize 論理合成 4 つの要素へ変換 最適化 ISE Implementation

More information

Цифровой спутниковый ресивер Lumax DV 2400 IRD

Цифровой спутниковый ресивер Lumax DV 2400 IRD 13... 3 1.... 5 1.1 1.2... 5... 6 2.... 7 2.1 2.2 2.3... 7... 8... 9 3.... 10 3.1... 10 3.1.1 RF...10 3.1.2 SCART...11 3.2... 12 3.2.1 DISH IN...12 3.2.2 DiSEqC...13 4..... 14 4.1 0003.... 15 4.1.1...15

More information

2

2 REVISION 2.85(6).I 2 3 4 5 8 24 32 37 83 87 88 88 89 90 1 91 1 6 7 8 KDC200 ユーザーマニュアル 1.1 同梱物 本機のパッケージには 以下の物が同梱されています 1 2 3 4 本体 バーコード Data Collector 1 台 USB ケーブル 1本 ネックストラップ 1 本 ソフトウェアとユーザーマニュアルを含む CD-ROM

More information

quattro.PDF

quattro.PDF Quattro USB Audio Interface 2 M-AUDIO 3 Windows Windows 98 SE/ Windows ME/ Windows 2000/ Windows XP Platinum III 500MHz/ 96kHz Platinum II 400MKz/ 48kHz 128MB RAM / 96kHz 64MB RAM/ 48kHz Macintosh USB

More information

PDW-75MD

PDW-75MD 3-270-633-02(1) PDW-75MD 2007 Sony Corporation m a b c 2 ... 2 6 6... 8... 8 1... 10... 10... 12... 13... 13... 19... 23 2... 25... 26... 27... 27... 28... 29... 29... 29... 30... 31... 33 3... 34... 34...

More information

oxygen49-61_userguide

oxygen49-61_userguide [ WEB ] 8 9 10 1 2 3 4 6 7 11 5 1 2 3 4 Key Parameter Value Control Assign (example) MIDI CC number 10 (Pan) Data 2 (example) Release 0 (minimum) Data 3 (example) Press 127 (maximum) Key Parameter

More information

DS90CP04 1.5 Gbps 4x4 LVDS Crosspoint Switch (jp)

DS90CP04 1.5 Gbps 4x4 LVDS Crosspoint Switch (jp) 1.5 Gbps 4x4 LVDS Crosspoint Switch Literature Number: JAJS984 1.5Gbps 4 4 LVDS 4 4 (LVDS) ( ) 4 4:1 4 1 MODE 4 42.5Gb/s LVDS 20010301 33020 23900 11800 ds200287 2007 12 Removed preliminary. Removed old

More information

FMUP-204取扱説明書

FMUP-204取扱説明書 B6FY441101 Z000 American Power Conversion Corporation SmartUPS PowerChute Schneider Electric Industries S.A.S American Power Conversion Corporation Microsoft Windows Windows Server Microsoft Corporation

More information

SR-X340TR1 サーバ収容スイッチ ご利用にあたって

SR-X340TR1 サーバ収容スイッチ ご利用にあたって SR-X340TR1 P3NK-4102-01Z0 340TR1 V01 SR-X340TR1 V01 2010 4 Microsoft Corporation Copyright FUJITSU LIMITED 2010 2 SR-X340TR1 V01...2...5...5...5...5...6...6...7...7...10...10...10...10...10...10...11...11...11...11...12...12

More information

ESP32-KEY-KIT-R1 (ESP-WROOM-32 ) Copyright c 2

ESP32-KEY-KIT-R1 (ESP-WROOM-32 ) Copyright c 2 ESP32-KEY-KIT-R1 (ESP-WROOM-32 ) http://www.microfan.jp/ http://store.shopping.yahoo.co.jp/microfan/ http://www.microfan.jp/shop/ 2017 4 Copyright c 2017 MicroFan, All Rights Reserved. i 1 ESP32-KEY-KIT-R1

More information