PLL クイック・ガイド for Cyclone III

Size: px
Start display at page:

Download "PLL クイック・ガイド for Cyclone III"

Transcription

1 ver 年 1 月 1. はじめに アルテラ社製 FPGA デバイスにおいて PLL を実現するには ALTPLL メガファンクションを使用します ALTPLL を使用することでクロック信号を逓倍 分周 シフトなど簡単に調整することができます PLL で生成したクロック信号を出力専用ピンから外部のデバイスへ供給することも可能なので システムクロックを FPGA にて生成することも可能です ALTPLL メガファンクションは Cyclone シリーズ, Arria シリーズ, Stratix シリーズ, HardCopy シリーズにて利用可能です 使用するデバイスによって ALTPLL のパラメータも異なりますので ご使用デバイスのデータ シートを必ずご確認ください この資料は Quartus II の MegaWizard TM Plug-In Manager で Cyclone III の ALTPLL を生成する方法をご紹介しています 2. 操作方法 本章では 以下のフローに沿って操作方法をご紹介します 2-1. Megawizard Plug-In Manager の起動 2-2. ALTPLL ファンクションの選択 2-3. パラメータの設定 2-1. Megawizard Plug-In Manager の起動 1 2 Quartus II 上の Tool メニュー Megawizard Plug-In Manager をクリックします Create a new custom megafunction variation を選択し Next をクリックします Page 1 of 12 Altima Corporation

2 補足 1 : MegaWizard Plug-In Manager にて既存のアルテラ ファンクションを変更 すでに MegaWizard Plug-In Manager で作成済みのデザインを編集する場合は Edit an existing custom megafunction variation を選択します 編集したいデザイン ファイルを選択し Next ボタンをクリックします その後 パラメータの編集を行います (2-3. Parameter Settings へ進んでください ) 編集したいファイルを選択して Next をクリック 2-2. ALTPLL ファンクションの選択 MegaWizard Plug-In Manager [page 2a] で 以下の設定を行います デバイスの選択 出力ファイルの言語を選択 出力先ディレクトリを指定 出力ファイル名 ( ファンクション名 : 任意 ) を入力 メガファンクション リストから Installed Plug-Ins I/O ALTPLL を選択 ver 年 1 月 Page 2 of 12 Altima Corporation

3 2-3. パラメータの設定以下より ALTPLL のパラメータの設定を行います ( 使用デバイスにより 使用オプション レンジなどが異なりますのでご利用になるデバイスのデータ シートを必ずご確認ください また MegaWizard Plug-In Manager 上の About Documentation などでも ALTPLL の詳細やユーザー ガイドなどがご参照できます ) 1 Parameter Settings タブ ここでは Parameter Settings タブの設定をご紹介しています Parameter Settings タブは General/Modes タブ Inputs/Lock タブ Bandwidth/SS タブ Clock switchover タブで構成されています General/Modes タブ使用するデバイス スピードグレード PLL に入力するクロック周波数 PLL の種類 動作モードを設定します スピードグレードごとに PLL で生成可能なクロックの周波数が異なります PLL を正しく生成するために選定デバイスのスピードグレードを設定してください 各種設定後 Next をクリックします デバイス選択 使用するデバイスのスピードグレード選択 入力周波数 動作モードの指定 ( 次頁参照 ) ver 年 1 月 Page 3 of 12 Altima Corporation

4 PLL 動作モードの各種設定は以下のとおりです Use the feedback path inside the PLL In Normal Mode 入力クロックと各レジスタまでのディレイ差を最小限にします In Source-Synchronous Compensation Mode 入力クロックピンと入力データピンの位相関係を I/O レジスタのクロックポート データポートでも保ちます In Zero Delay Buffer Mode 入力クロック信号から CLKLK_OUT ピンに出力される信号までのディレイ差を最小限におさえます with no Compensation クロックの位相調節は行いませんが ジッタ パフォーマンスは改善します Create an fbin input for an external feedback (External Feedback Mode) (Cyclone III 未対応 ) Which output clock will be compensated for? 補正する PLL 出力ポートを指定します Inputs/Lock タブ PLL オプション信号 Lock 信号出力の設定をします 各種設定後 Next をクリックします オプション入力信号の設定 Lock 出力の設定 PLL のアドバンスド パラメータの設定 ver 年 1 月 Page 4 of 12 Altima Corporation

5 Inputs/Lock タブの各種設定は以下のとおりです Optional inputs Create a 'pllena' input to selectively enable the PLL (Cyclone III 未対応 ) Create an 'areset' input to asynchronously reset the PLL PLL リセット信号入力ポートをファンクションに追加します (High active) Create an 'pfdena' input to selectively enable the phase/frequency detector 位相周波数検出器 (PFD) イネーブル信号入力ポートをファンクションに追加します (High active) Lock output Create locked output PLL Lock 信号出力ポートをファンクションに追加します (PLL が Lock すると High を出力 ) Enable self-reset on loss of lock PLL の Lock が外れたとき 自動的に PLL のリセットを行います Advanced PLL parameters Create output file(s) using the Advanced PLL parameters PLL のアドバンスド パラメータ (m カウンタ値 n カウンタ値など ) が出力ファイルに追記されます Bandwidth/SS タブ 帯域幅とスペクトラム拡散の設定をします ( 不要な場合はデフォルト設定 ) 各種設定後 Next をクリックします この設定は Cyclone III は未サポート ver 年 1 月 Page 5 of 12 Altima Corporation

6 また Bandwidth 欄の各種設定は以下のとおりです How would you like to specify the bandwidth setting? Auto 帯域幅はコンパイルが決定します Preset 帯域幅を Low, Medium, High から選択します Low は PLL のジッタ除去は向上しますが ロック時間が遅くなります High はロック時間が早くなりますが より多くジッタに追従します Medium は前の 2 つのオプションの中間です Custom (Cyclone III 未対応 ) Clock switchover タブ Clock Switchover 1) 機能を使用すると PLL に対して2 本のリファレンス クロックを切り替えることが可能です この機能は プライマリ クロックが動作を停止した際に セカンダリ クロックを動作させるなど デュアル クロック ドメイン アプリケーションに対して使用します ( 不要な場合はデフォルト設定 ) 各種設定後 Next をクリックします Clock Switchover を有効 入力周波数 (inclk1) Auto/Manual の設定 使用クロックの識別信号出力設定 クロックのトグル確認信号出力設定 ver 年 1 月 Page 6 of 12 Altima Corporation

7 Clock Switchover タブの各種設定は以下のとおりです Input clock switch Create a 'clkswitch' input to manually select between the input clocks クロックをマニュアルで切り替えます また切り替えようのコントロール ポートをファンクションに追加します Allow PLL to automatically control the switching between input clocks オートでクロックが切り替える機能をイネーブルにします Create activeclock output to indicate the input clock begin used 出力されているクロックのソースである入力クロックの識別信号出力ポートをファンクションに追加します inclk0 が使われているときは High inclk1 が使われているときは Low に信号が遷移します Create clkbad output for each input clk クロック信号のトグル状態を表す出力ポートをファンクションに追加します (clkbad0 は inclk0 clkbad1 は inclk1 の状態を表す ) トグルしているときは Low トグルしていないときは High に信号が遷移します 1) Clock Switchover の詳細は Cyclone III Device Handbook 内の Chapter 5. Clock Networks and Plls in Cyclone III Devices をご参照ください 2 PLL Reconfiguration タブ PLL Reconfiguration タブでは PLL リコンフィギュレーション 2) に関する設定を行います 2) PLL リコンフィギュレーションの詳細は Cyclone III Device Handbook 内の Chapter 5. Clock Networks and Plls in Cyclone III Devices をご参照ください ver 年 1 月 Page 7 of 12 Altima Corporation

8 3 Output Clocks タブ PLL で生成するクロックの仕様を各 clk タブにて設定します 出力クロックは 直接周波数を入力する方法と入力クロックを元に逓倍 分周比を設定する方法があります PLL インプリメント メッセージ クロック出力ポートの有 / 無 クロック出力の設定 出力クロックのパラメータ設定 ( 以下参照 ) クロック出力の各設定は以下のとおりです Clock Tap Setting Enter output clock frequency 周波数を入力して設定します Enter output clock parameters 逓倍 分周の値を入力して設定します Clock phase shift 出力クロックの位相シフトの設定をします Clock duty cycle (%) 出力クロックの Duty 比を設定します ver 年 1 月 Page 8 of 12 Altima Corporation

9 補足 2 : PLL インプリメント メッセージ PLL インプリメント メッセージ から PLL にて生成可能なクロック可能かどうかを確認できます インプリメント可 インプリメント不可 インプリメントが不可の場合 上図のように赤字でメッセージが表示されますので 設定を変更してください 4 EDA タブ このメガファンクションを EDA Tool でファンクション シミュレーションする場合に必要なシミュレーション ライブラリが表示されます ALTPLL をシミュレーションする場合は altera_mf ライブラリが必要です ver 年 1 月 Page 9 of 12 Altima Corporation

10 5 Summary サマリです また この操作により生成される出力ファイルを指定します ( 選択したファイル フォーマットにより出力されるファイル内容が異なります ) AHDL の場合 < ファンクション名 >.tdf : AHDL のデザインファイル < ファンクション名 >.ppf : PinPlanner インポート ファイル < ファンクション名 >.inc : AHDL で呼びだす時のインクルード ファイル < ファンクション名 >.cmp :VHDL で呼び出すためのコンポーネント記述 < ファンクション名 >.bsf : 回路図で呼び出すためのシンボルファイル < ファンクション名 >_inst.tdf : AHDL で呼び出したときのインスタンス記述テンプレート < ファンクション名 >_waveforms.html : サンプル波形ファイル ver 年 1 月 Page 10 of 12 Altima Corporation

11 Verilog HDL の場合 < ファンクション名 >.v : Verilog HDL のデザインファイル < ファンクション名 >.ppf : PinPlanner インポート ファイル < ファンクション名 >.inc : AHDL で呼びだす時のインクルード ファイル < ファンクション名 >.cmp :VHDL で呼び出すためのコンポーネント記述 < ファンクション名 >.bsf : 回路図で呼び出すためのシンボルファイル < ファンクション名 >_inst.v : Verilog HDL で呼び出したときのインスタンス記述のテンプレート < ファンクション名 >_bb.v :Verilog でのブラック ボックスファイル < ファンクション名 >_waveforms.html : サンプル波形ファイル VHDL の場合 < ファンクション名 >.vhd : VHDL のデザインファイル < ファンクション名 >.ppf : PinPlanner インポート ファイル < ファンクション名 >.inc : AHDL で呼びだす時のインクルード ファイル < ファンクション名 >.cmp :VHDL で呼び出すためのコンポーネント記述 < ファンクション名 >.bsf : 回路図で呼び出すためのシンボルファイル < ファンクション名 >_inst.vhd : VHDL で呼び出したときのインスタンス記述のテンプレート < ファンクション名 >_waveforms.html : サンプル波形ファイル Finish ボタンをクリックすると 指定したファイルが生成され ALTPLL ファンクションの作成完了です ver 年 1 月 Page 11 of 12 Altima Corporation

12 弊社より資料を入手されましたお客様におかれましては 下記の使用上の注意を一読いただいた上でご使用ください 1. 本資料は非売品です 許可無く転売することや無断複製することを禁じます 2. 本資料は予告なく変更することがあります 3. 本資料の作成には万全を期していますが 万一ご不明な点や誤り 記載漏れなどお気づきの点がありましたら 弊社までご一報いただければ幸いです 4. 本資料で取り扱っている回路 技術 プログラムに関して運用した結果の影響については 責任を負いかねますのであらかじめご了承ください 5. 本資料は製品を利用する際の補助的な資料です 製品をご使用になる場合は 英語版の資料もあわせてご利用ください 横浜本社 横浜市港区新横浜 マクニカ第二ビル TEL FAX 大阪営業所 大阪市淀川区宮原 ニッセイ新大阪ビル 17 階 TEL FAX 名古屋営業所 名古屋市中区錦 名古屋錦シティビル 7 階 TEL FAX 宇都宮営業所 宇都宮市東宿郷 センターズビル 7 階 TEL FAX ver 年 1 月 Page 12 of 12 Altima Corporation

オンチップ・メモリ クイック・ガイド for Cyclone III

オンチップ・メモリ クイック・ガイド for Cyclone III ver.9.1 2010 年 1 月 1. はじめに アルテラ社製 FPGA デバイスにおいてオンチップ メモリ (FPGA 内部で RAM や ROM などを構成 ) を実現するには Memory Compiler メガファンクションを使用します Memory Compiler メガファンクションは Cyclone シリーズ, Arria シリーズ, Stratix シリーズ, HardCopy

More information

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II はじめてガイド - プロジェクトの作成方法 - Quartus II はじめてガイド - プロジェクトの作成方法 ver. 9.0 2009 年 5 月 1. はじめに Quartus II はユーザ デザインをプロジェクトで管理します プロジェクトは デザインのコンパイルに必要なすべてのデザイン ファイル 設定ファイルおよびその他のファイルで構成されます そのため開発を始めるには まずプロジェクトを作成する必要があります この資料では Quartus

More information

アルテラ USB-Blastre ドライバのインストール方法 for Windows OS

アルテラ USB-Blastre ドライバのインストール方法 for Windows OS アルテラ USB-Blaster ドライバのインストール方法 for Windows OS ver. 3.1 2009 年 6 月 1. はじめに この資料は アルテラ専用のダウンロードケーブル USB-Blaster をご利用いただく際に必要な ドライバのインストール方法をご案内しています ご利用になる Windows OS に応じ ご案内の手順に従ってドライバをインストールしてください なお USB-Blaster

More information

ModelSim-Altera - RTL シミュレーションの方法

ModelSim-Altera - RTL シミュレーションの方法 ALTIMA Corp. ModelSim-Altera RTL シミュレーションの方法 ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. 目次 1. 2. 3. はじめに...3 RTL シミュレーションの手順...4 RTL シミュレーションの実施...5 3-1. 3-2. 新規プロジェクトの作成... 5 ファイルの作成と登録... 7 3-2-1. 新規ファイルの作成...

More information

Quartus II クイック・スタート・ガイド

Quartus II クイック・スタート・ガイド ver.2.0 2010 年 1 月 1. はじめに 弊社では Quartus II をはじめて使用する方を対象に Quartus II はじめてガイド と題した簡易操作マニュアルを提供しています この資料では Quartus II の基本的な作業フローをご案内すると共に 各オペレーションではどの資料を参考にするのが適当かをご紹介しています 2. Quartus II の基本操作フロー 以下の図は

More information

複数の Nios II を構成する際の注意事項

複数の Nios II を構成する際の注意事項 ver. 1.0 2009 年 4 月 1. はじめに Nios II IDE で ソフトウェアをビルドすると SOPC Builder の GUI 上で Nios II と接続されているペリフェラル用の初期化コードを自動で生成します この各ペリフェラルに対応した初期化コードで ペリフェラルを制御するためにアルテラ社から提供された HAL を利用するための準備や 各ペリフェラルの一般的な理想と考えられる初期状態のレジスタ設定等を行います

More information

Nios II Flash Programmer ユーザ・ガイド

Nios II Flash Programmer ユーザ・ガイド ver. 8.0 2009 年 4 月 1. はじめに 本資料は Nios II 開発環境においてフラッシュメモリ または EPCS へのプログラミングを行う際の参考マニュアルです このマニュアルでは フラッシュメモリの書き込みの際に最低限必要となる情報を提供し さらに詳しい情報はアルテラ社資料 Nios II Flash Programmer User Guide( ファイル名 :ug_nios2_flash_programmer.pdf)

More information

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法 ver. 8.1 2009 年 3 月 1. はじめに Nios II 開発ボードに実装されているメモリ用のコンポーネントは SOPC Builder の中にあらかじめ用意されています しかし 実際に基板を作成した場合には Nios II 開発ボードに実装されているメモリと同じ仕様の製品でない限り SOPC Builder であらかじめ用意されたメモリ用のコンポーネントを使用することはできません この場合

More information

Quartus II Web Edition インストール・ガイド

Quartus II Web Edition インストール・ガイド ver. 9.01 2009 年 9 月 1. はじめに Quartus II Web Edition ソフトウェアは アルテラの低コスト FPGA および CPLD ファミリの開発に必要な環境一式が含まれた無償パッケージです 回路図とテキスト形式によるデザイン入力 統合された VHDL と Verilog HDL 合成 サードパーティ ソフトウェアへのサポート SOPC Builder システム生成ソフトウェア

More information

Quartus II - デバイスの未使用ピンの状態とその処理

Quartus II - デバイスの未使用ピンの状態とその処理 Quartus II はじめてガイド デバイスの未使用ピンの状態とその処理 ver. 9.1 2010 年 6 月 1. はじめに ユーザ回路で使用していないデバイス上のユーザ I/O ピン ( 未使用ユーザ I/O ピン ) は Quartus II でコンパイルすることによりピンごとに属性が確定されます ユーザは 各未使用ユーザ I/O ピンがどのような状態 ( 属性 ) であるかに応じて 基板上で適切な取り扱いをする必要があります

More information

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II はじめてガイド - プロジェクトの作成方法 ALTIMA Corp. Quartus II はじめてガイド プロジェクトの作成方法 ver.10.0 2010 年 7 月 ELSENA,Inc. Quartus II はじめてガイド プロジェクトの作成方法 目次 1. はじめに... 3 2. Quartus II の起動... 3 3. 操作手順... 4 4. 既存プロジェクトの起動... 10 5. プロジェクト作成後の変更...11

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

Microsoft Word - ALT0982_program_epcs_by_niosii_v10.doc

Microsoft Word - ALT0982_program_epcs_by_niosii_v10.doc ver. 1.0 2008 年 6 月 1. はじめに この資料では ホスト PC に存在する ハードウェアのコンフィギュレーション データ ファイルをホスト ファイルシステムの機能を使用して Nios II システム メモリへ転送し そのコンフィギュレーション データを Nios II を使って EPCS へプログラムする手法を紹介します この資料は Quartus II ver.7.2 SP3

More information

Nios II カスタム・インストラクションによるキャスト(型変換)の高速化

Nios II カスタム・インストラクションによるキャスト(型変換)の高速化 ver. 9.1 2009 年 12 月 1. はじめに Nios II にオプションで実装できる浮動小数演算カスタム インストラクションは 浮動小数四則演算はサポートしているものの 整数から浮動小数にキャスト ( 型変換 ) する機能やその逆の機能は備えていません この資料では 単精度浮動小数型と整数型の変換を簡単に Nios II のカスタム インストラクションに実装する方法を紹介しています なお

More information

Quartus II はじめてガイド - デバイス・プログラミング方法

Quartus II はじめてガイド - デバイス・プログラミング方法 - Quartus II はじめてガイド - デバイス プログラミング方法 ver. 9.1 2010 年 1 月 1. はじめに この資料では Quartus II の Programmer の操作方法を紹介しています Programmer を使用し デバイスにプログラミング ( デバイスへの書き込み ) を行います アルテラのデバイスへデータを書き込むときには プログラミング ハードウェアを使用します

More information

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装 LIM Corp. Nios II - PIO を使用した I 2 C-Bus (2 ワイヤ ) マスタの実装 ver.1.0 2010 年 6 月 ELSEN,Inc. 目次 1. はじめに... 3 2. 適用条件... 3 3. システムの構成... 3 3-1. SOPC Builder の設定... 3 3-2. PIO の設定... 4 3-2-1. シリアル クロック ライン用 PIO

More information

Quartus II クイック・スタートガイド

Quartus II クイック・スタートガイド ALTIMA Corp. Quartus II クイック スタートガイド ver.3.0 2010 年 8 月 ELSENA,Inc. 目次 1. はじめに... 3 2. Quartus II の基本操作フロー... 3 3. Quartus II の基本操作... 4 ステップ 1. プロジェクトの作成... 4 ステップ 2. デザインの作成... 4 ステップ 3. ファンクション シミュレーション...

More information

Nios II 簡易シミュレーション

Nios II 簡易シミュレーション ver. 8.1 2009 年 3 月 1. はじめに この資料は 別資料である Nios II 簡易チュートリアル を終えた後 Nios II システムのデザインを ModelSim で RTL シミュレーションを行う場合の操作マニュアルです この資料では ModelSim-Altera を使用していますが ModelSim PE ModelSim SE でも同様にシミュレーションが可能です この資料においてのシミュレーション環境は

More information

Quartus II はじめてガイド - Convert Programming File の使い方

Quartus II はじめてガイド - Convert Programming File の使い方 ALTIMA Corp. Quartus II はじめてガイド Convert Programming File の使い方 ver.14 2015 年 1 月 Rev.1 ELSENA,Inc. Quartus II はじめてガイド Convert Programming File の使い方 目次 1. 2. はじめに...3 操作方法...3 2-1. 2-2. 2-3. Convert Programming

More information

ModelSim-Altera Edition インストール & ライセンスセットアップ Linux ver.11

ModelSim-Altera Edition インストール & ライセンスセットアップ Linux ver.11 ALTIMA Corp. ModelSim-Altera Edition インストール & ライセンスセットアップ Linux ver.11 2012 年 3 月 Rev. 1 ELSENA,Inc. ModelSim-Altera Edition インストール & ライセンスセットアップ 目次 1. はじめに... 3 2. ModelSim-Altera について... 3 2-1. ModelSim-Altera

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

Quartus Prime はじめてガイド - デバイス・プログラミングの方法

Quartus Prime はじめてガイド - デバイス・プログラミングの方法 ALTIMA Corp. Quartus Prime はじめてガイドデバイス プログラミングの方法 ver.15.1 2016 年 3 月 Rev.1 ELSENA,Inc. Quartus Prime はじめてガイド デバイス プログラミングの方法 目次 1. 2. 3. 4. はじめに...3 プログラミング方法...5 Auto Detect 機能...14 ISP CLAMP 機能...17

More information

Quartus II はじめてガイド - Device & Pin Options 設定方法

Quartus II はじめてガイド - Device & Pin Options 設定方法 - Quartus II はじめてガイド - Device & Pin Options 設定方法 ver.9.1 2010 年 5 月 1. はじめに この資料は Quartus II における Device & Pin Options の設定に関して説明しています Device & Pin Options ダイアログ ボックスでは 現在のプロジェクトで選択されているデバイスにおけるデバイス オプションとピン

More information

ModelSim - アルテラ・シミュレーション・ライブラリ作成および登録方法

ModelSim - アルテラ・シミュレーション・ライブラリ作成および登録方法 ALTIMA Corp. ModelSim アルテラ シミュレーション ライブラリ作成および登録方法 ver.10 2013 年 3 月 Rev.1 ELSENA,Inc. 目次 1. はじめに... 3 2. 操作方法... 6 2-1. Quartus II におけるシミュレーション ライブラリの作成... 6 2-2. ライブラリの登録... 10 2-3. ライブラリの選択... 14 3.

More information

Quartus II はじめてガイド - 回路図エディタの使い方

Quartus II はじめてガイド - 回路図エディタの使い方 Quartus II はじめてガイド 回路図エディタの使い方 ver.9.0 2009 年 5 月 1. はじめに この資料は Quartus II 回路図エディタの操作方法をご紹介しています Quartus II はデザイン エントリの手法として 回路図 AHDL VHDL Verilog HDL EDIF VQM に対応しています 階層設計をしたときに 最終的に最上位階層のデザイン ファイルが

More information

Quartus Prime - プログラミング・ファイルの生成や変換(Convert Programming Files)

Quartus Prime - プログラミング・ファイルの生成や変換(Convert Programming Files) ALTIMA Corp. Quartus Prime プログラミング ファイルの生成や変換 (Convert Programming Files) ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. Quartus Prime プログラミング ファイルの生成や変換 (Convert Programming Files) 目次 1. 2. はじめに...3 操作方法...4 2-1.

More information

Nios II SBT Flash Programmer ユーザ・ガイド

Nios II SBT Flash Programmer ユーザ・ガイド ALTIMA Corp. Nios II SBT Flash Programmer ユーザ ガイド ver.9.1 2010 年 12 月 ELSENA,Inc. 目次 1. はじめに... 3 2. 使用条件... 3 3. GUI 操作手順... 3 3-1. SOF ファイルをダウンロード... 4 3-1-1. Quartus II Programmer の起動... 4 3-1-2. SOF

More information

Nios II - Vectored Interrupt Controller の実装

Nios II - Vectored Interrupt Controller の実装 ALTIMA Corp. Nios II Vectored Interrupt Controller の実装 ver.1.0 2010 年 7 月 ELSENA,Inc. 目次 1. はじめに... 3 2. 適用条件... 3 3. システムの構成... 4 3-1. SOPC Builder の設定... 4 3-2. ペリフェラルの設定... 4 3-2-1. VIC の設定... 4 3-2-2.

More information

FPGAメモリおよび定数のインシステム・アップデート

FPGAメモリおよび定数のインシステム・アップデート QII53012-7.2.0 15. FPGA FPGA Quartus II Joint Test Action Group JTAG FPGA FPGA FPGA Quartus II In-System Memory Content Editor FPGA 15 2 15 3 15 3 15 4 In-System Memory Content Editor Quartus II In-System

More information

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II はじめてガイド - プロジェクトの作成方法 ALTIMA Corp. Quartus II はじめてガイド プロジェクトの作成方法 ver.14 2015 年 4 月 Rev.1.1 ELSENA,Inc. Quartus II はじめてガイド プロジェクトの作成方法 目次 1. はじめに...3 2. プロジェクトとは...3 3. Quartus II 開発ソフトウェアの起動...4 4. 新規プロジェクトの作成...7 5. 既存プロジェクトの起動と終了...15

More information

PCI-Express ハード IP を使用した DMA の実現 for Cyclone V GT FPGA 開発キット(ソフトウェア編)

PCI-Express ハード IP を使用した DMA の実現 for Cyclone V GT FPGA 開発キット(ソフトウェア編) ALTIMA Corp. PCI-Express ハード IP を使用した DMA の実現 for Cyclone V GT FPGA 開発キット ( ソフトウェア編 ) ver.1 2015 年 4 月 Rev.1 ELSENA,Inc. PCI-Express ハード IP を使用した DMA の実現 for Cyclone V GT FPGA 開発キット ( ソフトウェア編 ) 目次 1. はじめに...3

More information

Quartus II はじめてガイド - 回路図エディタの使い方

Quartus II はじめてガイド - 回路図エディタの使い方 ALTIMA Corp. Quartus II はじめてガイド 回路図エディタの使い方 ver.10.0 2010 年 12 月 ELSENA,Inc. Quartus II はじめてガイド回路図エディタの使い方 目次 1. はじめに... 3 2. 基本操作方法... 4 2-1. 新規ファイルの作成... 4 2-2. デザイン入力... 5 2-2-1. シンボルの入力... 5 2-2-2.

More information

Virtex-6 Clocking

Virtex-6 Clocking Spartan-6 クロックリソース Proprietary to PALTEK CORPORATION 1 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 2 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 3 高速なクロッキング 新型アプリケーションには複雑なクロック要件が必要 : 高速クロック信号

More information

Quartus II はじめてガイド ‐ Device and Pin Options 設定方法

Quartus II はじめてガイド ‐ Device and Pin Options 設定方法 ALTIMA Corp. Quartus II はじめてガイド Device and Pin Options 設定方法 ver.10.0 2010 年 9 月 ELSENA,Inc. Quartus II はじめてガイド Device and Pin Options 設定方法 目次 1. はじめに... 3 2. Device and Pin Options の起動... 3 3. Device and

More information

RAM-ベース・シフト・レジスタ (ALTSHIFT_TAPS) メガファンクションのユーザーガイド

RAM-ベース・シフト・レジスタ (ALTSHIFT_TAPS) メガファンクションのユーザーガイド RAM?????????????ALTSHIFT_TAPS????????????????? 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-01009-2.1 Subscribe 2010 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, HARDCOPY,

More information

Quartus II - Chip Planner クイック・ガイド

Quartus II - Chip Planner クイック・ガイド - Quartus II - Chip Planner クイック ガイド ver.9.0 2009 年 8 月 1. はじめに この資料は Quartus II の Chip Planner の使用方法を紹介しています Chip Planner は 従来のフロアプランと Chip Editor が統合された機能です この機能により Quartus II 上でアルテラ デバイスの内部構造の表示 内部タイミングの調査

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

Quartus II はじめてガイド - EDA ツールの設定方法

Quartus II はじめてガイド - EDA ツールの設定方法 ALTIMA Corp. Quartus II はじめてガイド EDA ツールの設定方法 ver.14 2015 年 4 月 Rev.1.1 ELSENA,Inc. Quartus II はじめてガイド EDA ツールの設定方法 目次 1. 2. 3. はじめに...3 サポート環境...4 操作方法...5 3-1. 3-2. 論理合成ツールとのインタフェース設定... 5 シミュレーション ツールとのインタフェース設定...

More information

Quartus II はじめてガイド - EDA ツールの設定方法

Quartus II はじめてガイド - EDA ツールの設定方法 ALTIMA Corp. Quartus II はじめてガイド EDA ツールの設定方法 ver.10.0 2010 年 12 月 ELSENA,Inc. Quartus II はじめてガイド EDA ツールの設定方法 目次 1. はじめに... 3 2. サポート環境... 3 3. 操作方法... 4 3-1. 論理合成ツールとのインタフェース設定... 4 3-2. シミュレータ ツールとのインタフェース設定...

More information

! STEP 2. Quartus Prime のダウンロード WEB ブラウザで以下の URL を開きます 2 ページ中段の Quartus Prime 開発ソフトウェア ライト エディ

! STEP 2. Quartus Prime のダウンロード WEB ブラウザで以下の URL を開きます   2 ページ中段の Quartus Prime 開発ソフトウェア ライト エディ STEP 学習内容 パソコンに FPGA の開発環境を構築します インストールは以下の手順で行います. Quartus Prime とは 2. Quartus Prime のダウンロード. Quartus Prime のインストール. USB ドライバのインストール. Quartus Prime とは Quartus Prime は Intel の FPGA 統合開発環境です Quartus Prime

More information

Chip PlannerによるECO

Chip PlannerによるECO 13. Chip Planner ECO QII52017-8.0.0 ECO Engineering Change Orders Chip Planner ECO Chip Planner FPGA LAB LE ALM ECO ECO ECO ECO Chip Planner Chip Planner ECO LogicLock Chip Planner Quartus II Volume 2

More information

Quartus II はじめてガイド - よく使用するロジック・オプション設定方法 (個別設定)

Quartus II はじめてガイド - よく使用するロジック・オプション設定方法 (個別設定) ALTIMA Corp. Quartus II はじめてガイドよく使用するロジック オプション設定方法 ( 個別設定方法 ) rev.1 ver.10 2011 年 4 月 ELSENA,Inc. Quartus II はじめてガイド よく使用するロジック オプション設定方法 ( 個別設定方法 ) rev.1 目次 1. はじめに... 3 2. 出力電流値の設定 ...4

More information

Quartus II はじめてガイド - Device and Pin Options 設定方法

Quartus II はじめてガイド - Device and Pin Options 設定方法 ALTIMA Corp. Quartus II はじめてガイド Device and Pin Options 設定方法 ver.14 2015 年 3 月 Rev.1 ELSENA,Inc. Quartus II はじめてガイド Device and Pin Options 設定方法 目次 1. 2. 3. はじめに...3 Device and Pin Options の起動...4 Device

More information

Nios II ハードウェア・チュートリアル

Nios II ハードウェア・チュートリアル Nios II ver. 7.1 2007 8 1. Nios II FPGA Nios II Quaruts II 7.1 Nios II 7.1 Nios II Cyclone II count_binary 2. 2-1. http://www.altera.com/literature/lit-nio2.jsp 2-2. Nios II Quartus II FEATURE Nios II

More information

Application Note Application Note No. ESC-APN 文書番号 : ESC-APN Tcl link library インストールガイド はじめに Tcl link library インストールガイド ( 以下 本書 ) では Act

Application Note Application Note No. ESC-APN 文書番号 : ESC-APN Tcl link library インストールガイド はじめに Tcl link library インストールガイド ( 以下 本書 ) では Act No. ESC-APN-006-05 文書番号 : ESC-APN-006-05 Tcl link library インストールガイド はじめに Tcl link library インストールガイド ( 以下 本書 ) では ActiveState Software Inc.( 以下 ActiveState 社 ) のフリーソフトウェアである Active Tcl と microview-plus

More information

HP USB Port Managerご紹介資料 -シンクライアント

HP USB Port Managerご紹介資料 -シンクライアント HP USB Port Manager ご紹介資料 株式会社日本 HP パーソナルシステムズ事業本部クライアントソリューション本部 2015 年 11 月 ソリューションビジネス部 HP USB Port Manager とは これまで HP シンクライアント用に提供していたツールでは 書き込み 読み込み 無効化の設定はすべての USB ストレージデバイスが対象でした 新しくリリースした HP USB

More information

Quartus® Prime ガイド - Design Space Explorer II の使い方 Ver.16

Quartus® Prime ガイド - Design Space Explorer II の使い方 Ver.16 ALTIMA Corp. Quartus Prime ガイド Design Space Explorer II の使い方 Ver.16 2017 年 1 月 Rev.1 ELSENA,Inc. Quartus Prime ガイド Design Space Explorer II の使い方 目次 1. 2. はじめに...3 DSE II 概要...4 2-1. 2-2. 2-3. DSE II の推奨使用方法...

More information

HP シンクライアント はじめにお読みください HP ThinPro 7 クイックマニュアル

HP シンクライアント はじめにお読みください HP ThinPro 7 クイックマニュアル HP シンクライアント はじめにお読みください HP ThinPro 7 クイックマニュアル Ver.1.00 株式会社日本 HP 9/26/2018 Copyright 2018 HP Inc. Page 0 目次 はじめに... 3 1.ThinPro 7 とは... 3 2.ThinPro と Smart Zero について... 3 3.ThinPro 7 の利用方法... 6 3.1 初回起動時の設定について...

More information

RW-5100 導入説明書 Windows7 用 2017 年 7 月 シャープ株式会社

RW-5100 導入説明書 Windows7 用 2017 年 7 月 シャープ株式会社 RW-5100 導入説明書 Windows7 用 2017 年 7 月 シャープ株式会社 はじめに 本書は Windows 7 環境において IC カードリーダライタ RW-5100 を使用するため お使いのパソコンにデバイスドライバソフトウェア ( 以下 ドライバソフト と記載 ) をインストールする方法について記述しています 本書で説明するドライバソフトは Windows 7 SP1 で動作するものです

More information

はじめにお読みくださいfor HP Smart Zero Client v5.0

はじめにお読みくださいfor HP Smart Zero Client v5.0 はじめにお読みください hp Smart Client シンクライアント用クイックマニュアル Ver.5.0 日本ヒューレット パッカード株式会社クライアント技術部 目次 1. Smart Client とは 2. 工場出荷時の状態について ( デモモード ) 3. Smart Client Service の利用方法 4. Profile Editor の使い方 5. Smart Client Service

More information

AN 357: Error Detection & Recovery Using CRC in Altera FPGA Devices

AN 357: Error  Detection & Recovery Using CRC in Altera FPGA Devices 2008 年 7 月 ver. 1.4 アルテラ FPGA デバイスの CRC によるエラー検出およびリカバリ Application Note 357 概要 航空電子 テレコム システム コントロール および軍事用アプリケーションの分野で使用されるクリティカルな用途では 以下ができることが重要です FPGA デバイスに格納されたコンフィギュレーション データが正確であるかを確認する システムにコンフィギュレーション

More information

ご注意 1) 本書の内容 およびプログラムの一部 または全部を当社に無断で転載 複製することは禁止されております 2) 本書 およびプログラムに関して将来予告なしに変更することがあります 3) プログラムの機能向上のため 本書の内容と実際の画面 操作が異なってしまう可能性があります この場合には 実

ご注意 1) 本書の内容 およびプログラムの一部 または全部を当社に無断で転載 複製することは禁止されております 2) 本書 およびプログラムに関して将来予告なしに変更することがあります 3) プログラムの機能向上のため 本書の内容と実際の画面 操作が異なってしまう可能性があります この場合には 実 周辺機器ツールセットアップガイド ( 第 1.1 版 ) ご注意 1) 本書の内容 およびプログラムの一部 または全部を当社に無断で転載 複製することは禁止されております 2) 本書 およびプログラムに関して将来予告なしに変更することがあります 3) プログラムの機能向上のため 本書の内容と実際の画面 操作が異なってしまう可能性があります この場合には 実際の画面 操作を優先させていただきます 4)

More information

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ CPLD ISP ISP この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください AN-630-1.0 アプリケーション ノート このアプリケーションノートでは MAX II および MAX V デバイスにおけるリアルタイム ISP(In-System Programmability)

More information

Microsoft Word - XPC4ソフトマニュアル.doc

Microsoft Word - XPC4ソフトマニュアル.doc < XPC-4 映像ツール 簡易マニュアル> お試し版 Ver1.0 XPC-4 に USB ケーブルを接続する際の注意事項 ファームウェア アップデートの作業 もしくは XPC-4 映像ツール を使用するときは USB2.0 に対応した USB ケーブル (Type A[ オス ]-Type B[ オス ]) が 1 本必要です USB ケーブルはパソコンの OS(Windows) が完全に起動してから

More information

ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力 冶具 ケーブル等の影響のない

ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力 冶具 ケーブル等の影響のない Keysight Technologies を使用した De-Embedding 2016.4.27 キーサイト テクノロジー計測お客様窓口 ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力

More information

AN424 Modbus/TCP クイックスタートガイド CIE-H14

AN424 Modbus/TCP クイックスタートガイド CIE-H14 Modbus/TCP クイックスタートガイド (CIE-H14) 第 1 版 2014 年 3 月 25 日 動作確認 本アプリケーションノートは 弊社取り扱いの以下の機器 ソフトウェアにて動作確認を行っています 動作確認を行った機器 ソフトウェア OS Windows7 ハードウェア CIE-H14 2 台 ソフトウェア ezmanager v3.3a 本製品の内容及び仕様は予告なしに変更されることがありますのでご了承ください

More information

Sharpdesk V3.5インストレーションガイド:プロダクトキー編

Sharpdesk V3.5インストレーションガイド:プロダクトキー編 Sharpdesk V3.5 インストレーションガイド : プロダクトキー編 Version 1.0 著作権 このソフトウェアの著作権はシャープ株式会社にあります 著作権法で許諾される場合を除き 無断で複製 転載 翻訳することはできません 登録商標 SHARP および Sharpdesk はシャープ株式会社の登録商標です Microsoft および Windows は Microsoft 社の登録商標です

More information

RW-4040 導入説明書 Windows 7 用 2017 年 7 月 シャープ株式会社

RW-4040 導入説明書 Windows 7 用 2017 年 7 月 シャープ株式会社 Windows 7 用 2017 年 7 月 シャープ株式会社 はじめに 本書は IC カードリーダライタ RW-4040 を使用するため お使いのパソコンにデバイスドライバソフトウェア ( 以下 ドライバソフト と記載 ) をインストールする方法について記述しています このドライバソフトは Windows 7 SP1 で動作します 本書では ドライバソフトバージョン 2.27 のインストールについて説明します

More information

はじめに 弊社では Native Instruments 社製 DJ ソフトウエア TRAKTOR PRO 専用のセッティングファイルをご提供しております このファイルを使用することにより TRAKTOR PRO のサンプルデッキを本機でコントロールすることが出来ます サンプルデッキはデッキ C デ

はじめに 弊社では Native Instruments 社製 DJ ソフトウエア TRAKTOR PRO 専用のセッティングファイルをご提供しております このファイルを使用することにより TRAKTOR PRO のサンプルデッキを本機でコントロールすることが出来ます サンプルデッキはデッキ C デ DJ コントローラー DDJ-T1 TRAKTOR PRO セッティングファイルインポートガイド はじめに 弊社では Native Instruments 社製 DJ ソフトウエア TRAKTOR PRO 専用のセッティングファイルをご提供しております このファイルを使用することにより TRAKTOR PRO のサンプルデッキを本機でコントロールすることが出来ます サンプルデッキはデッキ C デッキ

More information

Quartus II はじめてガイド - ピン・アサインの方法

Quartus II はじめてガイド - ピン・アサインの方法 ALTIMA Corp. Quartus II はじめてガイドピン アサインの方法 rev.1 ver.10 2011 年 3 月 ELSENA,Inc. Quartus II はじめてガイド ピン アサインの方法 rev.1 目次 1. はじめに... 3 2. 事前作業... 3 2-1. デバイスの選択... 3 2-2. データベースの構築... 4 3. ユーザ I/O ピンのアサイン方法...

More information

Quartus II - TimeQuest クイック・ガイド

Quartus II - TimeQuest クイック・ガイド Quartus II TimeQuest クイック ガイド ver. 9.1 2010 年 6 月 1. はじめに この資料は Quartus II のタイミング解析エンジン TimeQuest の基本的な操作方法をご紹介しています TimeQuest は 独立したツールとして高性能なタイミング解析を行えるだけでなく Quartus II に対して TimeQuest の解析結果に基づいた配置配線を実行させることもできます

More information

セットアップガイド

セットアップガイド セットアップガイド ~ ドライバ編 ~ 2017 年 5 月 10 日版 JIP テクノサイエンス株式会社 < 目次 > 1. はじめに... 1 2. セットアップ作業を始める前に... 1 3. 運用環境... 1 3.1 ネットワーク運用... 1 3.2 スタンドアロン運用... 1 4. ドライバ... 2 4.1 Windows 7/8 HASP ドライバ... 2 4.1.1 インストール...

More information

Welcome-Kit ~STM32L4-Nucleo~

Welcome-Kit ~STM32L4-Nucleo~ STM32CubeMX の使い方 0 STM32CubeMX ダウンロード 1 1 ST マイクロ社 HP より STM32CubeMX インストーラーをダウンロードし インストーラーの表示に沿ってインストールします URL : http://www.st.com/content/st_com/ja/products/development-tools/software-development-tools/stm32-

More information

目次 ページ 1. 本マニュアルについて 3 2. 動作環境 4 3. ( 前準備 ) ライブラリの解凍と保存 5 4. モデルのインポート 6 5. インポートしたモデルのインピーダンス計算例 8 6. 補足 単シリーズ 単モデルのインポート お問い合わせ先 21 2

目次 ページ 1. 本マニュアルについて 3 2. 動作環境 4 3. ( 前準備 ) ライブラリの解凍と保存 5 4. モデルのインポート 6 5. インポートしたモデルのインピーダンス計算例 8 6. 補足 単シリーズ 単モデルのインポート お問い合わせ先 21 2 SIMetrix/SIMPLIS ライブラリ ユーザーマニュアル 2018 年 8 月 株式会社村田製作所 Ver1.0 1 22 August 2018 目次 ページ 1. 本マニュアルについて 3 2. 動作環境 4 3. ( 前準備 ) ライブラリの解凍と保存 5 4. モデルのインポート 6 5. インポートしたモデルのインピーダンス計算例 8 6. 補足 単シリーズ 単モデルのインポート

More information

AN1609 GNUコンパイラ導入ガイド

AN1609 GNUコンパイラ導入ガイド GNU コンパイラ導入ガイド 2 版 2017 年 04 月 20 日 1. GNU コンパイラの導入... 2 1.1 はじめに... 2 1.2 必要なプログラムとダウンロード... 3 1.2.1 GNU ツールチェインのダウンロード... 3 1.2.2 e 2 studio のダウンロード... 5 1.3 GNU ツールチェインのインストール... 7 1.4 e 2 studio のインストール...

More information

AN 477: Designing RGMII Interface with HardCopy

AN 477: Designing RGMII Interface with HardCopy FPGA および HardCopy デバイスとの RGMII インタフェースの設計 ver. 1.0 Application Note 477 はじめに RGMII(Reduced Gigabit Media Independent Interface) は IEEE 802.3z GMII に代わるもので ピン数の削減が図られています ピン数の削減は クロックの立ち上がりと立ち下がりの両エッジでデータをやりとりし

More information

foobar2000

foobar2000 foobar2000 EXOFIELD コンポーネント マニュアル JVCKENWOOD Corporation 目次 はじめに... 3 インストール... 4 foobar2000 のインストール... 4 EXOFIELD コンポーネントのインストール... 8 EXOFIELD コンポーネント使用方法... 13 EXOFIELD コンポーネントを有効にする... 13 EXOFIELD の設定をする...

More information

MAC アドレス変更ツール MAC アドレスチェンジャー ユーザーズマニュアル User's Manual エレコム株式会社

MAC アドレス変更ツール MAC アドレスチェンジャー ユーザーズマニュアル User's Manual エレコム株式会社 MAC アドレス変更ツール MAC アドレスチェンジャー ユーザーズマニュアル User's Manual エレコム株式会社 本製品の仕様は 製品の改良等により予告なしに変更する場合があります 本製品に付随するドライバー ソフトウェア等を逆アセンブル 逆コンパイルまたはその他リバースエンジニアリングすること 弊社に無断でホームページ FTP サイトに登録するなどの行為を禁止させていただきます このマニュアルの著作権は

More information

V-CUBE Gate 管理者用マニュアル

V-CUBE Gate 管理者用マニュアル Admin Page 管理者用マニュアル ブイキューブ 1 目次 はじめに 本マニュアルについて とは 機能 1) ログイン 2) ダッシュボード 3) 管理者 4) ユーザー 5) 組織管理 6) チャットグループ管理 7) お知らせ 8) チャットログ 9) IP アドレス制限 10) デバイスセッション 11) 操作ログ 12) アプリ設定 13) ミーティングルーム 14) 企業情報 15)

More information

WES7シンクライアントIE11アップデート手順書

WES7シンクライアントIE11アップデート手順書 Technical white paper Windows Embedded Standard 7 シンクライアント IE11 アップデート手順書 Contents はじめに 2 対応する機種と OS イメージ 2 IE11 アドオンのダウンロードと展開 2 IE11 アドオンのインストール ( 手動インストール ) 5 HP Device Manager を使用した IE11 アドオンのインストール

More information

1. 使用する信号 1.1. UART 信号 UART 通信に使用する信号と接続相手との接続は以下の通りです UART 信号表 番号 CPU 機能名 CPU 信号名 基板コネクタピン番号 方向 接続相手の信号名 1 USART1_TX PA9 CN > RxD 2 USART1_R

1. 使用する信号 1.1. UART 信号 UART 通信に使用する信号と接続相手との接続は以下の通りです UART 信号表 番号 CPU 機能名 CPU 信号名 基板コネクタピン番号 方向 接続相手の信号名 1 USART1_TX PA9 CN > RxD 2 USART1_R TrueSTUDIO 用 L152CD_UART1 の説明 V001 2014/10/22 UART( 非同期シリアル通信 ) で送受信を行う STM32L152C-DISCO のプロジェクトサンプルです STM32L152C-DISCO は STMicroelectronics 社製の Cortex-M3 ARM CPU である STM32L152RCT6 を搭載した基板です 試用版の開発ツール

More information

三菱電機マイコン機器ソフトウエア株式会社

三菱電機マイコン機器ソフトウエア株式会社 MU500-RX サンプル回路仕様書 三菱電機マイコン機器ソフトウエア株式会社 2012-5-9 1 概要 1.1 目的本仕様書は MU500-RX と MU500-RK で実現する 1 秒カウンタの仕様について記述するものである マイコンで 1 秒を生成し 表示は 7 セグメント LED を用いる また 開始 / 停止は Push-SW を使う 1.2 関連文書 MU500-RX

More information

Quartus Prime はじめてガイド - デバイス・オプションの設定方法

Quartus Prime はじめてガイド - デバイス・オプションの設定方法 ALTIMA Corp. Quartus Prime はじめてガイドデバイス オプションの設定方法 ver.15.1 2016 年 5 月 Rev.3 ELSENA,Inc. Quartus Prime はじめてガイド デバイス オプションの設定方法 目次 1. 2. はじめに...3 デバイス オプションの設定...4 2-1. 2-2. 2-3. 2-4. 2-5. 2-6. 2-7. 2-8.

More information

Microsoft Word - BJ-Trans_JW_SXFInstallguide.doc

Microsoft Word - BJ-Trans_JW_SXFInstallguide.doc ビージェーソフト株式会社 Mail: support@bj-soft.jp FAX: 03-3444-7123 本書は BJ 変換 JW SXF ( 以下 本ソフト ) の概要とインストール ( アクティベート ) について説明するものです 本ソフトは JWW 形式と DWG 形式 ( または DXF 形式 ) の相互変換を行うための BricsCAD 対応アドオンソフトです 本ソフトのインストール前に

More information

簡単操作ガイド

簡単操作ガイド 簡単操作ガイド : デジタルビデオカメラで撮影した動画をパソコンに取り込む方法 (Microsoft Windows ムービーメーカー 2 編 ) 簡単操作ガイド テープに撮影した映像をパソコンに取り込もう (Microsoft Windows ムービーメーカー 2-DV 接続編 ) 対象機種 : IXY DV M3/IXY DV M2/IXY DV M/IXY DV5/IXY DV3/IXY DV2

More information

KDDI Smart Mobile Safety Manager Apple Business Manager(ABM) 運用マニュアル 最終更新日 2019 年 4 月 25 日 Document ver1.1 (Web サイト ver.9.6.0)

KDDI Smart Mobile Safety Manager Apple Business Manager(ABM) 運用マニュアル 最終更新日 2019 年 4 月 25 日 Document ver1.1 (Web サイト ver.9.6.0) KDDI Smart Mobile Safety Manager Apple Business Manager(ABM) 運用マニュアル 最終更新日 2019 年 4 月 25 日 Document ver1.1 (Web サイト ver.9.6.0) 変更履歴 日付 ver 変更箇所変更内容 2018/12/13 1.0 新規作成 2019/4/25 1.1 2.1.2 サーバートークンの取得 Apple

More information

AudioGate 4 取扱説明書

AudioGate 4 取扱説明書 AudioGate 4 J 1 3 4 5 ライブラリの作成 AudioGate 4 のライブラリにコンピューター内のMR プロジェクトやオーディオ ファイルを登録することで AudioGate 4で再生したり 任意のフォーマットに変換して出力することができるようになります なお AudioGate 4では ソングリスト上のオーディオ データをソングと呼びます AudioGate 4のライブラリにソングを登録するとファイルのコピーが作成されるのではなく

More information

Microsoft Word - DDJ-WeGO_TRAKTOR2_Import_Guide_J.doc

Microsoft Word - DDJ-WeGO_TRAKTOR2_Import_Guide_J.doc TRAKTOR PRO 2 セッティングファイルインポートガイド はじめに ( 重要 ) 既存のセッティングファイルをバックアップする 弊社では Native Instruments 社製 DJソフトウエア TRAKTOR PRO 2 専用のセッティングファイルを提供しております このファイルをインポートすることにより TRAKTOR PRO 2 を本機でコントロールできます TRAKTOR PRO

More information

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration 5. Stratix IV SIV52005-2.0 Stratix IV GX PMA BER FPGA PMA CMU PLL Pphased-Locked Loop CDR 5 1 5 3 5 5 Quartus II MegaWizard Plug-In Manager 5 42 5 47 rx_tx_duplex_sel[1:0] 5 49 logical_channel_address

More information

バーコードハンディターミナル BT-1000 シリーズセットアップガイド ( 第 1 版 ) CE ***

バーコードハンディターミナル BT-1000 シリーズセットアップガイド ( 第 1 版 ) CE *** バーコードハンディターミナル BT-1000 シリーズセットアップガイド ( 第 1 版 ) CE-201707-*** ご注意 1) 本書の内容 およびプログラムの一部 または全部を当社に無断で転載 複製することは禁止されております 2) 本書 およびプログラムに関して将来予告なしに変更することがあります 3) プログラムの機能向上のため 本書の内容と実際の画面 操作が異なってしまう可能性があります

More information

Microsoft PowerPoint LC_15.ppt

Microsoft PowerPoint LC_15.ppt ( 第 15 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 言語を使った設計 (2) 2.1 HDL 設計入門 2.2 FPGA ボードの設計デモ配布資料 VHDL の言語構造と基本文法 2.1 HDL 設計入門 EDAツール : メンター社製品が有名 FPGAベンダーのSW 1 1 仕様設計 にも簡易機能あり 2 3 2 HDLコード記述 3 論理シミュレーション 4 4 論理合成

More information

DS099-E04: XC3S400 FPGA エラッタおよび Spartan-3 データシートの確認

DS099-E04: XC3S400 FPGA エラッタおよび Spartan-3 データシートの確認 DS099-E04 (v2.5) 2006 年 12 月 14 日 XC3S400 FPGA エラッタおよび Spartan-3 データシートの確認 エラッタ これらのエラッタは Spartan-3 XC3S400 FPGA の量産デバイスおよびエンジニアリングサンプルの両方に適用されます その他の Spartan-3 FPGA では ここに記載したエラッタは該当しません 記載されている以外のデバイスをご使用の場合は

More information

WES7/WE8SシンクライアントVMwareHorizonClientアップデート手順書

WES7/WE8SシンクライアントVMwareHorizonClientアップデート手順書 Technical white paper Windows Embedded Standard シンクライアント VMware Horizon Client アップデート手順 目次 はじめに 2 対応する機種と OS イメージ 2 VMware Horizon Client アドオンのダウンロードと展開 3 VMware Horizon Client アドオンのインストール ( 手動インストール )

More information

Power Calculator

Power Calculator 1 4... 4... 4... 5 6... 6... 6 isplever... 6... 7... 8... 8... 8 (NCD)... 9 (.vcd)... 10... 11...11... 12 Power Summary... 16 Logic Block... 19 Clocks... 20 I/O... 20 I/O Term... 21 Block RAM... 22 DSP...

More information

IOWebDOC

IOWebDOC IOWebDOC Windows 版インストールマニュアル Page1 > 1 IOWEBDOC のインストール... 3 IOWEBDOC JAVA INTERFACE 環境設定例について... 3 1.1 インストールの前に... 4 1.2 インストール手順 (32bit 版 )... 4 1.3 インストール手順 (64bit 版 )... 8 Page2 1 IOWebDOC

More information

COBOL Standard Edition COBOL GUI のご紹介 2017 年 3 本電気株式会社 次 COBOL GUIとは P.4 画 機能との機能差分 P.11 画 のGUI 化例 P.14 COBOL85 View Generatorからの移 P.18 製品情報 P.21 COBOL GUI とは 製品概要 続き型 COBOL プログラム同様の記述で GUI プログラム開発が可能に

More information

WAGO PROFIBUS バスカプラ/コントローラと、QJ71PB92Dとのコンフィグレーションマニュアル

WAGO PROFIBUS バスカプラ/コントローラと、QJ71PB92Dとのコンフィグレーションマニュアル < 概要 > WAGO-I/O-SYSTEM750 シリーズ PROFIBUS バスカプラ / コントローラと 三菱電機 製 PROFIBUS ユニット QJ71PB92D とのコンフィグレーション手順を説明しております < 使用機器接続図 > 下記機器を準備し 図の通り接続しました WAGO-I/O-SYSTEM PROFIBUS バスカプラ / コントローラ 750-xxx および I/O モジュール

More information

利用ガイド

利用ガイド Linux/Dos 版起動 CD の使用方法について この資料では LB コピーワークスの Linux/Dos 版起動 CD の使用方法についてご紹介します 1-1 起動 CD からの起動方法起動 CD をドライブにセットして PC を再起動 ( 起動 ) します CD からブートされ LB コピーワークス 10 のメインメニューが表示されます この画面が表示されずに OS が起動してしまう場合には

More information

現行のICカードリーダRW4040インストーラの課題

現行のICカードリーダRW4040インストーラの課題 RW-5100 導入説明書 Windows 8 用 2012 年 12 月 シャープ株式会社 はじめに 本書は Windows 8 環境において IC カードリーダライタ RW-5100 を使用するため お使いのパソコンにデバイスドライバソフトウェア ( 以下 ドライバソフト と記載 ) をインストールする方法について記述しています Windows 8 以外の OS に関するドライバソフトのインストールについては

More information

Microsoft Word - 作業報告書アプリの操作マニュアル.docx

Microsoft Word - 作業報告書アプリの操作マニュアル.docx 作業報告書 Point アプリ利用 マニュアル Ver. 改定日改定種別改定内容 1.1 2013/11/21 新規新規作成 著作権所有者著作権所有者の文章による許可なく本書を電子 電気 写真などの機器により複写 送信 再生することは禁じられております 本書の内容は 将来予告なしに変更されることがあります 本書の内容につきましては万全を期しておりますが 本書中の技術的あるいは校正上の誤り 脱落に対して

More information

等価回路モデルライブラリ TDK Corporation Passive Application Center July. 1, 2015

等価回路モデルライブラリ TDK Corporation Passive Application Center July. 1, 2015 等価回路モデルライブラリ TDK Corporation Passive Application Center July. 1, 2015 ご注意 < データの適用範囲 > 本ライブラリに記載のデータは, 温度 25, 直流バイアスなし (DC バイアスモデル, 直流重畳モデルを除く ), 小振幅動作のときの代表値です. 従って, この条件から大きく異なる場合は適切な結果が得られないことがあります.

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション KDDI クラウドプラットフォームサービス (KCPS) CPU 脆弱性 (Meltdown/Spectre) に対するパッチ適用 確認手順書 _WindowsOS KCPS ver.1 の手順書となります はじめに 本資料は KDDI クラウドプラットフォームサービス ( 以下 KCPS) ナレッジサイトにおいて情報を発出している KCPS に関する Meltdown および Spectre 脆弱性への対応ついて

More information

等価回路モデルライブラリ TDK Corporation Passive Application Center July 15, 2016

等価回路モデルライブラリ TDK Corporation Passive Application Center July 15, 2016 等価回路モデルライブラリ TDK Corporation Passive Application Center July 15, 2016 ご注意 < データの適用範囲 > 本ライブラリに記載のデータは, 温度 25, 直流バイアスなし (DC バイアスモデル, 直流重畳モデルを除く ), 小振幅動作のときの代表値です. 従って, この条件から大きく異なる場合は適切な結果が得られないことがあります.

More information

アカウント管理者 操作ドキュメント

アカウント管理者 操作ドキュメント s シンプルメール アカウント管理者操作ドキュメント ver. 2.0 目次 ログイン ログアウト... 2 ログイン... 2 ログアウト... 2 アカウント... 3 アカウント利用状況の表示... 3 アカウント設定の表示... 4 アカウント設定の編集... 6 ドメイン... 7 ドメインの表示... 7 管理者... 8 アカウント管理者一覧の表示... 8 アカウント管理者の検索...

More information

LTE-Advanced キャリア・アグリゲーションの測定 アプリケーションノート

LTE-Advanced キャリア・アグリゲーションの測定 アプリケーションノート Application Note LTE-Advanced キャリア アグリゲーションの測定 シグナルアナライザとベクトル信号発生器を使ったデモ MX269020A-001 LTE-Advanced FDD ダウンリンク測定ソフトウェア MX370108A-001 LTE-Advanced FDD IQproducer MS2690A/MS2691A/MS2692A シグナルアナライザ MG3710A

More information

DS099-E09: XC3S5000 FPGA エラッタおよび Spartan-3 データシートの確認

DS099-E09: XC3S5000 FPGA エラッタおよび Spartan-3 データシートの確認 DS099-E09 (v2.5) 2006 年 12 月 14 日 XC3S5000 FPGA エラッタと Spartan-3 データシートの確認 エラッタ このエラッタは Spartan-3 XC3S5000 FPGA の量産デバイスおよびエンジニアリングサンプルの両方に適用されます その他の Spartan-3 FPGA では ここに記載したエラッタは該当しません 記載されている以外のデバイスをご使用の場合は

More information

AN661: ALTERA_PLLおよびALTERA_PLL_RECONFIGメガファンクションでフラクショナルPLLリコンフィギュレーションの実装

AN661: ALTERA_PLLおよびALTERA_PLL_RECONFIGメガファンクションでフラクショナルPLLリコンフィギュレーションの実装 AN-661-2.0 このアプリケーション ノートでは Quartus II ソフトウェアでの ALTERA_PLL と ALTERA_PLL_RECONFIG メガファンクションとの 28 nm デバイス (Arria V Cyclone V および Stratix V デバイス ファミリ ) でフラクショナル PLL(Phase-Locked Loop) のためにフラクショナル PLL のリコンフィギュレーションおよびダイナミック位相シフトを実装するための流れを説明します

More information

画面について 画面構成 画面構成 Smart Copy for Android の画面構成は 次のとおりです 1フォルダパス 2. ファイルの種類 3 ファイル一覧 5[ 戻る ] ボタン 4[ メニュー ] ボタン 1 フォルダパス現在表示している画面のフォルダパスが表示されます 2ファイルの種類

画面について 画面構成 画面構成 Smart Copy for Android の画面構成は 次のとおりです 1フォルダパス 2. ファイルの種類 3 ファイル一覧 5[ 戻る ] ボタン 4[ メニュー ] ボタン 1 フォルダパス現在表示している画面のフォルダパスが表示されます 2ファイルの種類 Smart Copy for Android ~ ユーザーズガイド ~ 画面について 画面構成...2 LMF シリーズのデータを表示する...9 ファイル一覧の表示を変更する... 11 データ操作ファイルを再生する... 17 ファイルやフォルダをコピーする... 19 ファイルやフォルダを移動する... 24 ファイルやフォルダを削除する... 29 ファイルやフォルダの名前を変更する...

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル ALTIMA Corp. ver.14 2014 年 8 月 Rev.1 ELSENA,Inc. 目次 1. はじめに...3 1-1. フロー概要... 3 2. ハードウェア...4 2-1. 2-2. 2-3. 2-4. 2-5. ハードウェア プロジェクトの作成 ( Quartus II )... 4 コンフィギュレーション モードの設定... 5 Qsys にてシステムを構成し HDL を生成...

More information

目 次 第 1 章はじめに JAVA ポリシーを設定するまでの流れ... 1 第 2 章 JAVA ポリシーを設定する前に 前提条件の確認 JAVA ランタイム (JRE) のバージョンの確認方法... 3 第 3 章 JAVA 実行環境の設定.

目 次 第 1 章はじめに JAVA ポリシーを設定するまでの流れ... 1 第 2 章 JAVA ポリシーを設定する前に 前提条件の確認 JAVA ランタイム (JRE) のバージョンの確認方法... 3 第 3 章 JAVA 実行環境の設定. 第 3.3 版 平成 28 年 5 月 目 次 第 1 章はじめに... 1 1-1 JAVA ポリシーを設定するまでの流れ... 1 第 2 章 JAVA ポリシーを設定する前に... 2 2-1 前提条件の確認... 2 2-2 JAVA ランタイム (JRE) のバージョンの確認方法... 3 第 3 章 JAVA 実行環境の設定... 7 3-1 JAVA ランタイム (JRE) のインストール...

More information