AN661: ALTERA_PLLおよびALTERA_PLL_RECONFIGメガファンクションでフラクショナルPLLリコンフィギュレーションの実装

Size: px
Start display at page:

Download "AN661: ALTERA_PLLおよびALTERA_PLL_RECONFIGメガファンクションでフラクショナルPLLリコンフィギュレーションの実装"

Transcription

1 AN このアプリケーション ノートでは Quartus II ソフトウェアでの ALTERA_PLL と ALTERA_PLL_RECONFIG メガファンクションとの 28 nm デバイス (Arria V Cyclone V および Stratix V デバイス ファミリ ) でフラクショナル PLL(Phase-Locked Loop) のためにフラクショナル PLL のリコンフィギュレーションおよびダイナミック位相シフトを実装するための流れを説明します このアプリケーション ノートでは 以下について説明します PLL(Phase-Locked Loop) は いくつかの分周カウンタおよび異なる voltage-controlled oscillator(vco) の位相タップを使用して 周波数合成と位相シフトを実行します たとえば カウンタの設定をリコンフィギュレーションして 動的に 28 nm デバイスの PLL のにフラクショナル PLL 出力クロックを位相シフトすることができます またチャージ ポンプおよびループ フィルタ コンポーネントを変更して PLL 帯域幅を動的に変化させることもできます これらの PLL コンポーネントを使用して FPGA 全体をリコンフィギュレーションせずに 出力クロック周波数 PLL 帯域幅 および位相シフトをリアルタイムで更新することができます 28 nm デバイスにおけるフラクショナル PLL は整数 PLL もサポートしています PLL は デバイス クロック管理 外部システム クロック管理 および高速 I/O インタフェースのための強力なクロック管理および合成機能を提供します 28 nm デバイスにおけるフラクショナル PLL は ダイナミック リコンフィギュレーションをサポートしています デバイスがユーザー モードになっている間は FPGA 全体をリコンフィギュレーションせずにリアルタイムで新しいフラクショナル PLL コンフィギュレーションをダウンロードすることができます 次フラクショナル PLL のコンポーネントがダイナミック リコンフィギュレーション IP を使用してリアルタイムにリコンフィギュレーション可能です ポスト スケール出力カウンタ (C) 101 Innovation Drive San Jose, CA Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos are trademarks of Altera Corporation and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as trademarks or service marks are the property of their respective holders as described at Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. ISO 9001:2008 Registered

2 Page 2 フィードバック カウンタ (M) プリスケール カウンタ (N) チャージ ポンプ電流 (I CP ) とループ フィルタ コンポーネント (R C) 1 Quartus II ソフトウェア バージョン 12.0 は R と C のリコンフィギュレーションを I CP がサポートされています 各カウンタのダイナミック位相シフト Delta Sigma Modulator(DSM) フラクショナル分周 (M FRAC ) リアルタイムでフラクショナル PLL リコンフィギュレーションから複数の周波数で動作するアプリケーションに有用です フラクショナル PLL リコンフィギュレーションは PLL 出力周波数をスイープし クロックの出力フェースをデザインのどの階段でも調整できるため プロトタイプ環境でも有用です また この機能を使用すると PLL 出力クロック位相シフトを変更して クロック ツー アウト (t CO ) 遅延を調整することも可能です ALTPLL MegaWizard Plug-in Manager を使用して デザイン内の ALTPLL メガファンクションのインスタンス化におけるリコンフィギュレーション回路をイネーブルすることができます ALTERA_PLL_RECONFIG メガファンクションは フラクショナル PLL のリコンフィギュレーション プロセスを簡素化します ALTERA_PLL_RECONFIG メガファンクションは Avalon Memory-Mapped(Avalon-MM) インタフェースを使用してユーザー コントロール ロジックおよび ALTERA_PLL インスタンスに直接接続するバスと対話します に ALTPLL メガファンクションおよび ALTLVDS メガファンクション間の接続を示します Top-Level Design File reset reset global_reset Nios II Processor JTAG UART PLL PLL Locked Signal PLL Output Clocks inclk On-Chip Memory ALTERA_PLL_RECONFIG Megafunction

3 Page 3 デザインに ALTERA_PLL と ALTERA_PLL_RECONFIG インスタンスを接続するには 次の手順を実行します 1. ALTERA_PLL インスタンス上 reconfig_to_pll [63:0] バスに ALTERA_PLL_RECONFIG インスタンス上 reconfig_to_pll [63:0] バスを接続します 2. ALTERA_PLL_RECONFIG インスタンス上 reconfig_from_pll [63:0] バスに ALTERA_PLL インスタンス上 reconfig_from_pll [63:0] バスを接続します 3. クロック ソースに mgmt_clk を接続します mgmt_clk は mgmt_clk の開始と停止を制御する必要がなくなり フリーランニング クロックとすることができます. Avalon のリード動作 またはライト動作を実行するには ユーザー コントロール ロジックに mgmt_reset _mgmt_read_data [31:0] mgmt_write mgmt_address [5:0] mgmt_write_data [31:0] バス mgmt_wait_request および mgmt_read 信号を接続します ALTERA_PLL_RECONFIG メガファンクションのためのコントロール インタフェースは Avalon-MM スレーブ インタフェース マスタ ユーザー ロジック コントロールです 外部ユーザー ロジックは直接フラクショナル PLL 設定をリコンフィギュレーションするために これらの Avalon ポートを使用しています ALTERA_PLL_RECONFIG メガファンクションでの Avalon-MM 信号を示します mgmt_read_data [31:0] 出力 mgmt_read をアサートするときの ALTERA_PLL_RECONFIG メガファンクションから読み込むデータが mgmt_write_data [31:0] 入力 mgmt_write 信号をアサートするときの ALTERA_PLL_RECONFIG メガファンクションに書き込まれたデータ mgmt_address [5:0] mgmt_read mgmt_write 入力 入力 入力 リードまたはライト動作のためのメモリ マップされたレジスタのアドレスを指定します アクティブ High 信号 読み出し動作を示すためにアサートされます 存在する場合 mgmt_read_data バスでリード データが提供されます アクティブ High 信号 ライト動作を示すためにアサートされます 存在する場合 mgmt_write_data バスは ライト データが必要とします

4 Page mgmt_reset mgmt_waitrequest 入力 出力 初期値にすべての PLL カウンタをリセットするアクティブ High 信号 この信号が High にドライブされると PLL がそのカウンタをリセットし PLL 出力をクリアして ロックを失います この信号が再び Low になった後 ロック プロセスが開始され PLL は 入力基準クロックに再同期します アクティブ High 信号 mgmt_waitrequest 信号をアサートすると ALTERA_PLL_RECONFIG メガファンクションは リード動作またはライト動作を無視します

5 Page 5 フラクショナル PLL ダイナミック リコンフィギュレーション レジスタと設定を示します モード レジスタ値 ステータス レジスタ 登録を開始 N カウンタ = busy waitrequest モードには 0 を書き込む polling モードには 1 を書き込む 1 = ready フラクショナル PLL リコンフィギュレーションまたは動的位相シフトを開始するために 0 または 1 のどちらかをお書きください N_counter [7:0] = low_count N_counter [15:8] = high_count Total_div = high_count + low_count N_counter [16] =bypass イネーブル N_counter[16] = 0, f REF =f IN /Total_div N_counter[16] = 1, f REF =f IN (N カウンタがバイパスされる ) N_counter[17] = odd division リード / ライト 読み出し ライト リード / ライト M カウンタ N_counter[17] = 0, even division, duty cycle = high_count/total_div v N_counter[17] = 1, odd division, duty cycle = (high_count- 0.5)/Total_di M_counter [7:0] = low_count M_counter [15:8] = high_count Total_div = high_count + low_count M_counter[16] = bypass enable M_counter[16] = 0, f FB =f VCO /Total_div M_counter[17] = odd division M_counter[17] = 0, even division, duty cycle = high_count/total_div M_counter[17] = 1, odd division, duty cycle = (high_count- 0.5)/Total_div リード / ライト

6 Page 6 C カウンタ C_counter [7:0] = low_count C_counter [15:8] = high_count Total_div = high_count + low_count C_counter[16] = bypass イネーブル C_counter[16] = 0, f OUT =f VCO /Total_div C_counter[16] = 1, f OUT =f VCO (C カウンタがバイパスされる ) C_counter[17] = odd division C_counter[17] = 0, even division, duty cycle = high_count/total_div C_counter[17] = 1, odd division, duty cycle = (high_count- 0.5)/Total_div C_counter[22:18] は から 10001(0-17) までの 5 ビットのバイナリ数で 変更する C カウンタを選択します たとえば C2 を変更したい場合 C_counter[18:22] を に設定します Dynamic_Phase_Shift [15:0] = シフトの数 リード / ライト Number of shifts = 出力クロックをシフトする回数です シフトを実行するたびに シフトの実際の量は VCO 周期の 1/8 である VCO は 1.6 GHz で動作している場合 それぞれの位相シフトは PS に等しいです Dynamic_Phase _Shift Dynamic_Phase_Shift [??08?16] = cnt_select? cnt_select はシフトするカウンタ出力を指定する 5 ビット値です cnt_select マッピングについて詳しくは を参照してください ライト Dynamic_Phase_Shift[21] = up_dn up_dn = シフトの方向 up_dn = 1 ( 正のフェース シフト ) up_dn = 0 ( 負のフェース シフト ) M カウンタ小数値 (K) (DSM 用 )M カウンタの小数部 実際の端数値は次のとおりです M FRAC = K[X:0]/2 X (X=8, 16, 2 または 32) M counter final value = M Counter + M FRAC のための Total_div ライト PLL 帯域幅設定 帯域幅の設定については PLL リコンフィギュレーション電卓を参照してください アルテラのウェブサイトにあるドキュメント : アプリケーションノートページから PLL リコンフィギュレーション電卓をダウンロードすることができます リード / ライト

7 Page 7 チャージ ポンプ電流 チャージ ポンプの設定については PLL リコンフィギュレーション電卓を参照してください ドキュメント : アルテラの Web サイトにあるアプリケーションノートページから PLL リコンフィギュレーション電卓をダウンロードすることができます リード / ライト の注 (1) K カウンタリコンフィギュレーションには フラクショナルモードでリコンフィギュレーションする前に PLL をコンフィギュレーションする場合にのみ有効です 最適なパフォーマンスを得るために 0.05 と 0.95 の間に M FRAC 値を設定します X ALTERA_PLL の MegaWizard で決定 = 小数キャリービット X のデフォルト値は 2 で PLL リコンフィギュレーション中にリコンフィギュレーションすることはできません (2) C カウンタ読み出し動作の場合は での選択したカウンタのアドレスを使用します 読み出し動作時に選択したカウンタの対応するアドレスを一覧表示します カウンタ C カウンタ C カウンタ C2 は カウンタ C3 の カウンタ C カウンタ C カウンタ カウンタの C カウンタの C カウンタ C カウンタ C カウンタ C カウンタ C カウンタ C カウンタ C カウンタ C カウンタ C カウンタ C17 C_counter [7:0] = low_count C_counter [15:8] = high_count Total_div = high_count + low_count

8 Page 8 には cnt_select(dynamic_phase_shift [20:16]) のマッピングおよびダイナミック位相シフトのためのカウンタが一覧表示されます Arria V および Stratix V 5 b00000 カウンタ C0 Arria V Cyclone V および Stratix V 5 b00001 カウンタ C1 Arria V Cyclone V および Stratix V 5 b00010 カウンタ C2 Arria V Cyclone V および Stratix V 5'b00011 カウンタ C3 の Arria V Cyclone V および Stratix V 5 b00100 カウンタ C Arria V Cyclone V および Stratix V 5'b00101 カウンタ C5 Arria V Cyclone V および Stratix V 5'b00110 カウンタ 6 Arria V Cyclone V および Stratix V 5'b00111 カウンタ C7 Arria V Cyclone V および Stratix V 5 b01000 カウンタの C8 Arria V および Stratix V 5'b01001 カウンタ C9 Arria V および Stratix V 5'b01010 カウンタ C10 Arria V および Stratix V 5'b01011 カウンタ C11 Arria V および Stratix V 5'b01100 カウンタ C12 Arria V および Stratix V 5'b01101 カウンタ C13 Arria V および Stratix V 5'b01110 カウンタ C1 Arria V および Stratix V 5'b01111 カウンタ C15 Arria V および Stratix V 5 b10000 カウンタ C16 Arria V および Stratix V 5'b10001 カウンタ C17 Arria V Cyclone V および Stratix V 5'b11111 すべての出力カウンタ Arria V Cyclone V および Stratix V 5'b10010 M カウンタ Avalon-MM インタフェースをダイナミックにフラクショナル PLL をリコンフィギュレーションすることができます ダイナミック位相シフトを実行するには 以下の手順に従います 1. Avalon のライト動作を通じ ALTERA_PLL_RECONFIG メガファンクションの起動時に 0 または 1 の値をモード レジスタに書き込みます モード レジスタは ALTERA_PLL_RECONFIG メガファンクションが waitrequest または polling モードで動作するかどうかを判断します 2. Avalon ライト動作を通して要素とその新しい値を指定します 各リコンフィギュレーション可能な要素のアドレスについて詳しくは を参照してください 3. 変更したいすべてのリコンフィギュラブル要素 (N M C のカウンタ M FRAC 値など ) のためにステップ を繰り返します

9 Page 9. Avalon のライト動作を通じ スタート レジスタに 0 または 1 のいずれかを記述します スタートレジスタへのライトは 動的リコンフィギュレーション 動的な位相シフト またはその両方をトリガします a. ステップ には 0(waitrequest モード ) にモード レジスタを設定した場合 ALTERA_PLL_RECONFIG メガファンクションは リコンフィギュレーション後まで mgmt_waitrequest をアサートします 別の Avalon がリードまたはライト動作は ALTERA_PLL_RECONFIG メガファンクションをデアサート後 mgmt_waitrequest のみ実行することができます または b. ステップ には 1(polling モード ) にモード レジスタを設定した場合 ALTERA_PLL_RECONFIG メガファンクションは ステータス レジスタに 0 ( ビジー ) に書き込みます Avalon はリコンフィギュレーションが完了したことを確実にするためにリード動作を実行することによって 定期的にステータス レジスタのビット 0 をポーリングすることができます ALTERA_PLL_RECONFIG メガファンクションは 1 の値まで ステータ スレジスタから読み出された新しいリコンフィギュレーション命令 (Avalon のライト動作 ) を無視します 1 ダイナミック リコンフィギュレーションやダイナミック位相シフトを実行する前に 基準クロックにフラクショナル PLL をロックします 1 waitrequest モードでは mgmt_waitrequest は PLL リコンフィギュレーション中に あるいは PLL がロック状態の喪失時にアサートします Polling モードでは ステータス レジスタは PLL リコンフィギュレーション中に または PLL がロック状態の喪失時に 0( ビジー状態 ) になります に M M FRAC N と C カウンタをリコンフィギュレーションするには ダイナミック リコンフィギュレーションを実行するための波形例を示します mgmt_clk mgmt_address[5:0] 00h 0h 07h 03h 05h 08h 09h 02h 01h mgmt_writedata[31:0] h h 00h h h 00000h a b c d e f h h h g h i don t care mgmt_write mgmt_read j mgmt_readdata[31:0] h h

10 Page 10 以下のリストは で示される動作を説明します a. Avalon-MM は ポーリング モードで動作するように ALTERA_PLL_RECONFIG メガファンクションを設定するためにモード レジスタ ( アドレス = 0x00 の ) に書き込みます b. Avalon-MM は 36 に M カウンタをリコンフィギュレーションする M カウンタ レジスタ ( アドレス = 0x0) に書き込みます c ( デシマル値 ) に M FRAC をリコンフィギュレーションするために Avalon-MM は M カウンタの小数値 (K) レジスタ ( アドレス =0x07) に書き込みます d. N カウンタを にリコンフィギュレーションするために Avalon-MM は N カウンタ レジスタ ( アドレス =0x03) に書き込みます e. C0 カウンタを 8(high_count =3 low_count=3 偶数の分周 ) にリコンフィギュレーションするために Avalon-MM は C カウンタ レジスタに ( アドレス s=0x05) 書き込みます f. C1 カウンタを 8(high_count = low_count= 偶数の分周 ) にリコンフィギュレーションするために Avalon-MM は C カウンタ レジスタに ( アドレス s=0x05) 書き込みます g. 帯域幅の設定をメディアの帯域幅にリコンフィギュレーションするために Avalon-MM は 帯域幅の設定のレジスタ ( アドレス = 0x08) に書き込みます h. ミディアム帯域幅にチャージ ポンプ設定をリコンフィギュレーションするために Avalon-MM は チャージ ポンプ設定レジスタ ( アドレス =0x09) に書き込みます i. リコンフィギュレーションを開始するために Avalon-MM は スタート レジスタ ( アドレス = 0 02) に書き込みます j. 成功したリコンフィギュレーションを示して 1 の値がステータス レジスタから読まれるまで Avalon-MM はステータス レジスタ ( アドレス =0x01) から読み出します

11 Page 11 ダイナミック位相シフト機能により 個々の PLL 出力の出力位相をほかの PLL 出力や基準クロックに対してダイナミックに調整できます 最小の増分ステップは VCO 周期の 8 分の 1 に相当します 出力クロックは このダイナミック位相シフトの動作中にアクティブです ダイナミック位相シフトを実行するには 次の方法を使用できます ALTERA_PLL_RECONFIG メガファンクション 直接の ALTERA_PLL メガファンクションを使用したダイナミック位相シフト回路 1 Quartus II ソフトウェア バージョン 11.1 sp2 では ALTERA_PLL_RECONFIG メガファンクションを使用したダイナミック位相シフトだけを実行することができます ただし 直接 Quartus II ソフトウェア バージョン 12.0 以降の ALTERA_PLL メガファンクションを使用してダイナミック位相シフトを実行することができます 直接ダイナミック位相シフトポートをイネーブルすることで ダイナミック位相シフトを実行することができます ダイナミック位相シフトを実行するには 以下の手順に従います 1. UPDN と cntsel ポートを設定します 2. 少なくとも 2 scanclk サイクル phase_en ポートをアサートします phase_en パルスごとに 1 位相シフトします 3. phase_done は Low になった後 phase_en をディアサートします updn cntsel および phase_en ポートは scanclk に同期しています VCO および scanclk の周波数に応じて phasedone の Low 時間は 1scanclk サイクルよりも長くなるか または短くなることがあります phase_done は Low から High になった後 別のダイナミック位相シフトを実行しますが phase_en は少なくとも 1 scanclk サイクルで間隔でなければなりません phase_en パルスごとに 1 位相シフトします に ダイナミック位相シフトのための波形を示します clk updn a cntsel 00h 09h 00h phase_en b c d e phase_done

12 Page 12 以下のリストは で示される動作を説明します a. フィジカル カウンタ C9 を cntsel ポートに また正の位相シフト方向に cntsel ポートにを設定します b. フィジカル カウンタ C9 上の最初の位相シフト動作を開始する phase_en ポートをアサートします c. phase_done は Low になった後 phase_en をアサートします d. 第 2 の位相シフト動作を開始するために再度 phase_en をアサートします e. phase_down は Low になった後 phase_en ポートをアサートします phase_en scanclk UPDN cntsel phase_done の注 入力 入力 入力 入力 出力 Low から High への移行は Low から High に 遷移ごとに 1 つの位相シフトをダイナミック位相シフトが可能になります phase_en と組み合わせて使用され ダイナミック位相シフトをイネーブル / ディセーブルにするコアからのフリー ランニング クロック ダイナミック位相シフト方向を選択 1 = 正の位相シフト 0 = 負の位相シフト 信号は scanclk の立ち上がりエッジで PLL にレジスタされます フィジカル カウンタ セレクト 位相調整のために M カウンタまたは C カウンタのいずれかを選択するためにデコードされる ビット PLL は scanclk の立ち上がりエッジで信号をレジスタします アサートされると 位相調整が完了し PLL が 2 番目の調整パルスに反応できる状態であることをコア ロジックに示します 内部 PLL タイミングに基づいてアサートします scanclk の立ち上がりエッジでディアサートします (1) フィジカル的なカウンタは PLL 内のカウンタの実際の位置を指します チップ プランナとのフィジカル カウンタを表示することができます 選択したフィジカル カウンタの対応するアドレスについては を参照してください 5 b00000 フィジカル C0 カウンタ 5b'00001 フィジカル カウンタ C1 5 b00010 フィジカル カウンタ C2 5'b00011 フィジカル カウンタ C3 5 b00100 フィジカル カウンタ C 5'b00101 フィジカル カウンタ C5 5'b00110 フィジカル カウンタ C6 5'b00111 フィジカル カウンタ C7 5 b01000 フィジカル カウンタ C8 5'b01001 フィジカル カウンタ C9 5'b01010 フィジカル カウンタ C10 5'b01011 フィジカル カウンタ C11

13 Page 13 5'b01100 フィジカル カウンタ C12 5'b01101 フィジカル カウンタ C13 5'b01110 フィジカル カウンタ C1 5'b01111 フィジカル カウンタ C15 5 b10000 フィジカル カウンタ C16 5'b10001 フィジカル カウンタ C17 PLL0 Physical Counter C0 Physical Counter C1 PLL1 Physical Counter C17 Physical Counter C16 PLL1 Physical Counter C8 Physical Counter C9 Physical Counter C16 Physical Counter C0 to C17 (up-to-down sequence) PLL0 Physical Counter C9 Physical Counter C8 Physical Counter C1 Physical Counter C17 to C0 (down-to-up sequence) Physical Counter C17 Physical Counter C0

14 Page 1 CLK[20..23][p,n] CLK[16..19][p,n] CLK[12..15][p,n] COR_X0_Y100 COR_X0_Y91 COR_X202_Y100 COR_X202_Y91 LR_X0_Y77 LR_X0_Y68 CEN_X92_Y96 CEN_X92_Y87 LR_X202_Y77 LR_X202_Y68 LR_X0_Y55 LR_X0_Y6 2 2 (3) (3) () () 2 2 LR_X202_Y55 LR_X202_Y6 LR_X0_Y31 LR_X0_Y22 LR_X202_Y31 LR_X202_Y22 COR_X0_Y10 COR_X0_Y1 CEN_X92_Y11 CEN_X92_Y2 COR_X202_Y10 COR_X202_Y1 CLK[0..3][p,n] CLK[..7][p,n] CLK[8..11][p,n] (1) 各インデックスはデバイス内の 1 つの fpll を表します fpll のフィジカル的位置は Quartus II ソフトウェア Chip Planner 内の位置に相当します (2) PLL0 と PLL1 のロジカル パーティションについて詳しくは を参照してください (3) CLK0 CLK1 CLK22 および CLK23 クロック ピンはフラクショナル PLL LR_X0_Y6 とフラクショナル PLL LR_X0_Y55 にクロックを供給します () CLK8 CLK9 CLK1 および CLK15 クロック ピンはフラクショナル PLL LR_X202_Y6 とフラクショナル PLL LR_X202_Y55 にクロックを供給します

15 Page 15 CLK[20..23][p,n] CLK[16..19][p,n] CLK[12..15][p,n] LR_X0_Y109 LR_X0_Y100 CEN_X90_Y123 CEN_X90_Y11 LR_X197_Y109 LR_X197_Y100 LR_X0_Y85 LR_X0_Y76 LR_X197_Y85 LR_X197_Y76 LR_X0_Y63 LR_X0_Y5 2 2 (3) (3) () () 2 2 LR_X197_Y63 LR_X197_Y5 LR_X0_Y39 LR_X0_Y30 LR_X197_Y39 LR_X197_Y30 LR_X0_Y1 LR_X0_Y5 LR_X197_Y1 LR_X197_Y5 CEN_X90_Y11 CEN_X90_Y2 CLK[0..3][p,n] CLK[..7][p,n] CLK[8..11][p,n] (1) 各インデックスはデバイス内の 1 つの fpll を表します fpll のフィジカル的位置は Quartus II ソフトウェア Chip Planner 内 の位置に相当します (2) PLL0 と PLL1 のロジカル パーティションについて詳しくは を参照してください (3) CLK0 CLK1 CLK20 および CLK21 クロック ピンは fpll LR_X0_Y5 および fpll LR_X0_Y63 にクロックを供給します () CLK8 CLK9 CLK12 および CLK13 クロック ピンは fpll LR_X197_Y5 および fpll LR_X197_Y63 にクロックを供給します

16 Page 16 CLK[20..23][p,n] CLK[16..19][p,n] CLK[12..15][p,n] COR_X0_Y122 COR_X0_Y113 LR_X0_Y100 LR_X0_Y91 CEN_X98_Y118 CEN_X98_Y109 COR_X210_Y122 COR_X210_Y113 LR_X210_Y100 LR_X210_Y91 LR_X0_Y75 LR_X0_Y66 LR_X210_Y75 LR_X210_Y66 LR_X0_Y53 LR_X0_Y LR_X210_Y53 LR_X210_Y LR_X0_Y29 LR_X0_Y20 LR_X210_Y29 LR_X210_Y20 COR_X0_Y10 COR_X0_Y1 CEN_X98_Y11 CEN_X98_Y2 COR_X210_Y10 COR_X210_Y1 CLK[0..3][p,n] CLK[..7][p,n] CLK[8..11][p,n] (1) 各インデックスはデバイス内の 1 つの fpll を表します fpll のフィジカル的位置は Quartus II ソフトウェア Chip Planner 内の位置に相当します (2) PLL0 と PLL1 の論理パーティションについて詳しくは を参照してください

17 Page 17 CLK[20..23][p,n] CLK[16..19][p,n] CLK[12..15][p,n] COR_X0_Y81 COR_X0_Y72 CEN_X8_Y77 CEN_X8_Y68 COR_X185_Y81 COR_X185_Y72 LR_X0_Y55 LR_X0_Y6 LR_X185_Y55 LR_X185_Y6 LR_X0_Y33 LR_X0_Y2 LR_X185_Y33 LR_X185_Y2 COR_X0_Y10 COR_X0_Y1 CEN_X8_Y11 CEN_X8_Y2 COR_X185_Y10 COR_X185_Y1 CLK[0..3][p,n] CLK[..7][p,n] CLK[8..11][p,n] (1) 各インデックスはデバイス内の 1 つの fpll を表します fpll のフィジカル的位置は Quartus II ソフトウェア Chip Planner 内の位置に相当します (2) PLL0 と PLL1 の論理パーティションについて詳しくは を参照してください

18 Page 18 CLK[20..23][p,n] CLK[16..19][p,n] CLK[12..15][p,n] COR_X0_Y15 COR_X0_Y136 LR_X0_Y112 LR_X0_Y103 CEN_X96_Y11 CEN_X96_Y132 COR_X208_Y15 COR_X208_Y136 LR_X208_Y112 LR_X208_Y103 LR_X0_Y87 LR_X0_Y78 LR_X208_Y87 LR_X208_Y78 LR_X0_Y65 LR_X0_Y56 LR_X208_Y65 LR_X208_Y56 LR_X0_Y1 LR_X0_Y32 LR_X208_Y1 LR_X208_Y32 COR_X0_Y10 COR_X0_Y1 CEN_X96_Y11 CEN_X96_Y2 COR_X208_Y10 COR_X208_Y1 CLK[0..3][p,n] CLK[..7][p,n] CLK[8..11][p,n] (1) 各インデックスはデバイス内の 1 つの fpll を表します fpll のフィジカル的位置は Quartus II ソフトウェア Chip Planner 内の位置に相当します (2) PLL0 と PLL1 の論理パーティションについて詳しくは を参照してください

19 Page 19 CLK[20..23][p,n] CLK[16..19][p,n] CLK[12..15][p,n] COR_X0_Y170 COR_X0_Y161 COR_X225_Y170 COR_X225_Y161 CEN_X10_Y166 CEN_X10_Y157 LR_X0_Y133 LR_X0_Y12 LR_X225_Y133 LR_X225_Y12 LR_X0_Y108 LR_X0_Y99 LR_X225_Y108 LR_X225_Y99 LR_X0_Y86 LR_X0_Y (3) (3) () () 2 2 LR_X225_Y86 LR_X225_Y77 LR_X0_Y61 LR_X0_Y52 LR_X225_Y61 LR_X225_Y52 LR_X0_Y38 LR_X0_Y29 LR_X225_Y38 LR_X225_Y29 COR_X0_Y10 COR_X0_Y1 CEN_X10_Y11 CEN_X10_Y2 COR_X225_Y10 COR_X225_Y1 CLK[0..3][p,n] CLK[..7][p,n] CLK[8..11][p,n] (1) 各インデックスはデバイス内の 1 つの fpll を表します fpll のフィジカル的位置は Quartus II ソフトウェア Chip Planner 内 の位置に相当します (2) PLL0 と PLL1 の論理パーティションについて詳しくは を参照してください (3) CLK0 CLK1 CLK22 および CLK23 クロック ピンは fpll LR_X0_Y77 と fpll LR_X0_Y86 にクロックを供給します () CLK8 CLK9 CLK1 および CLK15 クロック ピンは fpll LR_X225_Y77 と fpll LR_X225_Y86 にクロックを供給します

20 Page 20 ダイナミック位相シフトを実行するには 手順に従ってください - で ステップ除く だけ Dynamic_Phase_Shift レジスタに書き込む必要があります c 動的位相シフト後フラクショナル PLL に ARESET 信号をアサートした場合は ユーザーモードでダイナミック位相シフトを持つすべての成功した位相調整を失う ダイナミック位相シフトを実行するための波形例を示します mgmt_clk mgmt_address[5:0] 00h 06h 02h 01h mgmt_writedata[31:0] h h h don t care mgmt_write a b c d mgmt_read mgmt_readdata[31:0] don t care h h 以下のリストは の動作を説明します : a. Avalon は polling モードで動作するように ALTERA_PLL_RECONFIG メガファンクションを設定する ( アドレス = 0 00) モード レジスタに書き込みます b. Avalon は 前方の つのステップのために C1 カウンタの上にダイナミック位相シフトを実行する ( アドレス = 0x06) ダイナミック位相シフト レジスタに書き込みます c. Avalon は ダイナミック位相シフトを開始するスタート レジスタ ( アドレス = 0 02) に書き込みます d. Avalon は ダイナミック位相シフトが完了したことを示して 1 の値がステータス レジスタから読み出されるまで ステータス レジスタ ( アドレス =0x01) から読み込みます

21 Page 21 PLL リコンフィギュレーションを使用するときは 以下の内容について考慮する必要があります プリスケールおよびフィードバック カウンタの設定 (M N M FRAC ) またはチャージ ポンプ / ループ フィルタの設定を変更すると PLL VCO 周波数が影響を受けるため PLL を基準クロックに再ロックしなければならない場合があります M カウンタの位相シフト設定を変更すると 基準クロックに対する出力クロックの位相関係が変化するため PLL も再ロックする必要があります プリスケールおよびフィードバック カウンタの設定 (M N) を変更した場合の正確な影響は 設定の変更内容によって異なりますが どの変更を行った場合でも通常は再クロックが必要です M カウンタ位相シフト設定を使用して 位相シフトを追加すると 基準クロックに対するすべてのフラクショナル PLL クロック出力を引き込みます M カウンタは フィードバックパス内にあるので これを効果的に負の位相シフトを追加する ループ エレメント (M N M FRAC M カウンタ位相 I cp R C) を変更する場合に アルテラは ALTCLKCTRL メガファンクションにある clkena 信号を使用して ロジック アレイへの PLL 出力をディセーブルすることを推奨しています これにより システム ロジックに影響を与える過度の高周波数状態がなくなります リコンフィギュレーションする前に PLL はフラクショナル モードでのみカウンタ K の値の変更が有効です ポスト スケール カウンタ (C) や位相を変更しても PLL ロックや VCO 周波数は影響を受けません 位相シフトの分解能は 常に最小の増分ステップ (VCO 周期の 1/8 に等しい ) を持つ VCO 周波数の関数としています 出力クロック間の位相関係が重要な場合 アルテラは areset 信号を使用して PLL を再同期化することを推奨しています areset 信号のリセットを使用すると すべての内部フラクショナル PLL カウンタがリセットされ ロック プロセスが再び開始されます フラクショナル PLL リコンフィギュレーション インタフェースは正確に mgmt_clk の開始および停止を制御する必要がなくなり フリー ランニング mgmt_clk サポートしています M カウンタまたは N カウンタの値の変化はすべての出力クロック周波数に影響を与えます また 出力カウンタは個別でリコンフィギュレーションできます C カウンタが 1 に設定され バイパスが有効になっていても 位相シフトを行うことができます PLL がクロック間の 0 度の初期の位相シフト付きの 2 つのクロックを有する場合 フィッタは自動的に離れて第 2 のクロックを合成します マージからクロックを防止するために アルテラは 同じ周波数と位相シフトを共有 PLL 出力カウンタごとに手動で実行する場所の制約を推奨しています リード バック カウンタ動作は 少なくとも 3 つの scanclk サイクル レイテンシを必要とします

22 Page 22 waitrequest モードでは PLL リコンフィギュレーションが完了したとき mgmt_waitrequest をデアサートします リコンフィギュレーションが完了した後 PLL がロックを失った場合 PLL がロックするまで 再び mgmt_waitrequest をアサートします そこ PLL リコンフィギュレーションが完了した後に短い期間かもしれませんが PLL 前 mgmt_waitrequest がデアサートされたときに ロックを失ってしまいました アルテラは新しい Avalon のリードまたはライト動作を実行する前に完了した PLL リコンフィギュレーション後にロックする PLL のに十分な時間を許可することを推奨しています PLL リコンフィギュレーションが完了すると ポーリングモードでは ステータスは 0(busy) から 1(ready) への変更をレジスタします リコンフィギュレーションが完了した後 PLL がロックを失った場合 ステータス レジスタは PLL がロックするまで 0(busy) にあります そこ PLL リコンフィギュレーションが完了した後 短い周期であってもよいが PLL の前に ステータス レジスタ 1(ready) にあるとき ロックを失ってしまいました アルテラは新しい Avalon をリードまたはライト動作を実行する前に完了した PLL リコンフィギュレーション後にロックする PLL のに十分な時間を許可することを推奨しています 次の項では リファレンス デザインのセットアップおよび使用について説明します このアプリケーション ノート用のデザイン例は資料からダウンロードできます アルテラ ウェブサイト上のアプリケーションノートのページ 高度な設定について詳しくは を参照してください PC 内の以下のソフトウェアをインストールする必要があります バージョン 11.1 SP2 から始まる Quartus II 開発ソフトウェア バージョン 11.1 から始まる MegaCore IP ライブラリ (Quartus II 開発ソフトウェアと一緒にインストールされます ) バージョン 11.1 SP2 から始まるの Nios II エンベデッド デザイン スイート (EDS) 1 デザイン例のの Quartus Archived File (.qar) を抽出することを確認します 1 このアプリケーション ノートでは デフォルトの場所にソフトウェアをインストールすることを前提としています

23 Page 23 次のセクションでは ALTERA_PLL と ALTERA_PLL_RECONFIG メガファンクションを使用してシフトフラクショナル PLL ダイナミック リコンフィギュレーションと位相を実現するためのデザイン例を説明します デザイン例は 5SGXEA7 デバイスを使用します このデザイン例では ALTERA_PLL と ALTERA_PLL_RECONFIG メガファンクションで設定されています フラクショナル PLL はそれぞれ C0 と C1 の出力で 0ps および 107 ps 位相シフトの 2 つの MHz の出力クロックを合成します フラクショナル PLL への入力基準クロックは 100 MHz です ALTERA_PLL_RECONFIG メガファンクションは 必要な Avalon ライトとリード動作を実行するには ステート マシンに接続します reset_sm ピンでの Low パルスは Avalon のリードおよびライト シーケンスを始めます リコンフィギュレーション後 フラクショナル PLL は 次のコンフィギュレーションで動作します M カウンタ =36 M FRAC = N カウンタ = C0 = 6(high_count = 3 low_count = 3 偶数除算 ) C1 = 8(high_count = low_count = 偶数除算 ) 帯域幅設定 = 0110( 中帯域幅 ) チャージ ポンプの設定 = 010( 中帯域幅 ) デザイン例を使用してテストを実行するには 次の手順を実行します 1. PLL_Reconfig_MNC.qar ファイルをダウンロードし 復元します 2. デザインで ALTERA_PLL と ALTERA_PLL_RECONFIG インスタンスを再生成します 3. お使いのハードウェアに一致するようにデザイン例のピン割り当てと I/O 規格を変更します. デザインをリコンパイルします デザインがリコンパイルした後 任意のタイミング違反が含まれていないことを確認してください 5..stp を開き sof をダウンロードします 6. リコンフィギュレーションを開始する reset_sm ピンに Low パルスを提供します 予想される C0 出力周波数は MHz ですと予想 C1 の出力周波数は MHz です このデザイン例では ALTERA_PLL_RECONFIG メガファンクションとフラクショナル PLL のダイナミック位相シフト機能を示していることを除いて このデザイン例は に似いたようなものです reset_sm ピンでの Low パルスは Avalon のリードとライトシーケンスを開始して 動的に PLL 出力をフェース シフトします 正常ダイナミック位相シフトが完了した後 C1 出力は 前進 のステップで位相シフトされます

24 Page 2 デザイン例を使用してテストを実行するには 次の手順を実行します 1. PLL_Reconfig_DPS.qar ファイルをダウンロードし 復元します 2. デザインで ALTERA_PLL と ALTERA_PLL_RECONFIG インスタンスを再生成します 3. お使いのハードウェアに一致するようにデザイン例のピン割り当てと I/O 規格を変更します. デザインを再コンパイルし デザインが再コンパイルした後 任意のタイミング違反が含まれていないことを確認してください 5..stp を開き sof をダウンロードします 6. リコンフィギュレーションを開始する reset_sm ピンに Low パルスを提供します フラクショナル PLL リコンフィギュレーションのデザイン例は Qsys のデザイン フローを使用して 5SGXEA7 デバイスをターゲットとしています フラクショナル PLL は それぞれ C0 C1 C5 および C10 出力に 0ps 168 ps 336 ps および 505 ps を持つ 106 MHz の つの出力クロックを合成します フラクショナル PLL の入力周波数は 50MHz です には Qsys は システムおよびこのデザイン例のコンポーネントが表示されます Nios II プロセッサの C コードのプログラムは フラクショナル PLL リコンフィギュレーションの IP を制御します このプログラムは JTAG UART からのコマンドを受信して実行し 単純なループでコンフィギュレーションされています には Nios II プロセッサの C コードのプログラムが受信と実行する JTAG UART からのメイン メニュー コマンドを示します スイッチ A スイッチ B スイッチ C スイッチ D スイッチ E スイッチ F スイッチ G このコマンドは 26(high_count = 13 low_count = 13) に M カウンタをリコンフィギュレーションします このコマンドは (high_count = 2 low_count = 2) に N カウンタをリコンフィギュレーションします このコマンドでは 62.5% のデューティ サイクル (low_count = 10 high_count= 6) で 16 に C0 をリコンフィギュレーションします このコマンドは 30% のデューティ サイクルで 20 C1 をリコンフィギュレーションします (low_count = 6 high_count= 1 ) このコマンドは M FRAC = に K カウンタをリコンフィギュレーションします このコマンドでは 前方の 3 ステップの C0 でダイナミック位相シフトを実行します このコマンドは 下位の 7 つのステップのために C1 のダイナミック位相シフトを実行します

25 Page 25 スイッチ H I を切り替える このコマンドは M と M FRAC カウンタを に N カウンタを に そして C0 を 8(37.5% デューティ サイクル ) にリコンフィギュレーションします このコマンドは リード バック レジスタ ビットのサブメニューに入ります サブメニューのコマンドについては を参照してください にはサブコマンドを示します スイッチ A スイッチ B スイッチ C スイッチ D スイッチ E スイッチ F スイッチ R N カウンタ レジスタのコマンドのリードバック値 M カウンタ レジスタのコマンドのリードバック値 C0 カウンタ レジスタのコマンドのリードバック値 C1 カウンタ レジスタのコマンドのリードバック値 帯域幅設定レジスタのコマンドのリードバック値 チャージ ポンプ設定レジスタのコマンドのリードバック値 このコマンドを実行すると メイン メニューに戻ります サブメニューのコマンドについては を参照してください デザイン例を使用してテストを実行するには 次の手順を ( サブメニューに入ります ) を実行する : 1. PLL_Reconfig_Qsys.qar をダウンロードし 復元します 2. お使いのハードウェアに一致するようにデザイン例のピン割り当てと I/O 規格を変更します 3. デザイン例での Qsys システムを再生成します. デザインが再コンパイルした後 デザインを再コンパイルし 任意のタイミング違反が含まれていないことを確認します 5. SignalTap ファイル (.stp) を開き.sof をダウンロードします 6. Nios II プロジェクトを設定し テストプログラムをコンパイルするために Eclipse 用の Nios II Software Build Tools(SBT) を起動します 7. サンプル テストを実行する.elf をダウンロードします

26 Page 26

27 Page 27 このデザイン例では 5SGXEA7 が使用されます このデザイン例では ALTERA_PLL メガファンクションで構成されています フラクショナル PLL はそれぞれ C0 と C1 の出力に 0ps および 107 ps の位相シフトとの MHz での 2 つの出力クロックを合成します 入力基準クロックは 100 MHz です ALTERA_PLL メガファンクションは 直接ダイナミック シフト動作を実行するために ステート マシンに接続します rest_sm ピンでの Low パルスは直接ダイナミック位相シフト シーケンスを開始します デザイン例を使用してテストを実行するには 次の手順に従います 1. PLL_DynamicPhaseShift.qar をダウンロードし 復元します 2. デザインで ALTERA_PLL インスタンスを再生成します 3. デザインに合うようにデザイン例のピン割り当てと I/O 規格を変更します. デザインを再コンパイルし デザインがコンパイルした後に違反が含まれていないことを確認します 5..stp を開き.sof をダウンロードしてください 6. ダイナミック位相シフトを開始する reset_sm ピンに Low パルスを提供します デザインを作成し テストを実行する上のチュートリアルでは 参照してください このチュートリアルでは Quartus II ソフトウェアおよび Qsys システム統合ツールに精通していることを前提としています Quartus II ソフトウェアでは Stratix V デバイスをターゲットとする新しい Quartus II プロジェクトを作成します h 新しい Quartus II プロジェクトの作成について詳しくは Quartus II ヘルプでの Managing Files in a Project を参照してください 1 プロジェクトのパスにスペースや拡張文字を含めないでください

28 Page 28 新規プロジェクトを作成するには 以下のステップに従います 1. Tools メニューの Qsys をクリックします 2. デザインが必要とするの Qsys コンポーネントを追加するには 次の手順を実行します a. ALTERA_PLL のインスタンスを作成するには 次のステップを実行します PLL は Altera PLL を展開し Add をクリックします Reference Clock Frequency を 50.0 MHz にリセットします 出力クロック数を選択し その出力周波数及び位相関係を設定します Settings タブでは Enable dynamic reconfiguration of PLL のオプションをオンにします Finish をクリックします b. ALTERA_PLL_RECONFIG のインスタンスを作成するには 次のステップを実行します PLL を展開し Altera PLL Reconfig を選択して Add をクリックします Finish をクリックします c. コンポーネント ライブラリの下で Memories and Memory Controllers を展開して On-Chip を展開します On-Chip Memory (RAM or ROM) を選択し Add をクリックします 総合メモリ サイズに K バイトを入力します Finish をクリックします d. コンポーネントライブラリの下 Embedded Processors を展開し Nios II Processor を選択し Add をクリックします Nios II/s を選択します Reset Vector Offset を 0x20 に Exception Vector Offset を 0x0 に変更します Finish をクリックします e. SOPC Builder で Interface Protocols および Serial を拡張します JTAG UART を選択して Add をクリックします Write FIFO and Read FIFO の下で Buffer Depth (bytes) に 6 を選択し IRQ Threshold に 8 を入力します Prepare interactive windows の場合は シミュレーション中にインタラクティブな表示ウィンドウを開くために INTERACTIVE_INPUT_OUTPUT を選択します Finish をクリックします f. Project Setting タブで Clock Crossing Adapter Type には Auto を選択します 3. System Contents タブで に示されるように適切なバス接続と IRQ ラインを作成します

29 Page 29 1 アドレスのオーバーラップを警告するメッセージが表示される場合 System メニューで Auto-Assign Base Addresses をクリックします IRQ のオーバーラップを警告するメッセージが表示される場合 System メニューで Assign Interrupt numbers をクリックします. 接続を設定した後 Nios II プロセッサを右クリックして Nios II プロセッサのパラメータエディタを開くには Edit を選択します Core Nios II タブで Reset vector memory and Exception vector memory の下で onchip_memory2_0.s1 を選択して Finish をクリックします 5. File メニューの Save をクリックします 6. Generation タブの Synthesis の下で Create HDL design files for synthesis および Create block symbol file (.bsf) をオンにします 7. Generate をクリックします 概念上 SOPC Builder システムはデザインのコンポーネントと見なすことができます これは 唯一のコンポーネントである場合も 多くのコンポーネントの 1 つである場合もあります したがって SOPC Builder システムが完成したときに トップレベル デザインに追加しなければなりません トップレベル デザインは ユーザーが希望する HDL 言語とするか または.bdf 回路図デザインとすることもできます この実習では トップレベル デザインは 追加コンポーネント無しの Qsys システムを囲む単純なラッパー ファイルです トップレベル デザインは ピンの命名規則およびポート接続のみを定義します に Qsys のトップレベル ブロック図を示します Top-Level Design File reset reset global_reset Nios II Processor JTAG UART Fractional PLL Fractional PLL Locked Signal Fractional PLL Output Clocks inclk On-Chip Memory ALTERA_PLL_RECONFIG Megafunction

30 Page 30.bdf の回路図を使用して Qsys システムのトップレベル デザインを作成するには 以下の手順に従います 1. Quartus II ソフトウェアで File メニューの New をクリックします 2. Block Diagram/Schematic File を選択し OK をクリックします ブランクの.bdf である Block1.bdf が開きます 3. File メニューの Save As をクリックします Save As ダイアログ ウィンドウで Save をクリックします 1 Quartus II ソフトウェアは 自動的に.bdf のファイル名をユーザーのプロジェクト名に設定します. ブランクの.bdf を右クリックし Insert をポイントして Symbol をクリックし Symbol ダイアログ ボックスを開きます 5. Project を展開し ライブラリの下でシステムを選択し OK をクリックします 6. プロジェクトに system.qip を追加します 7. ALTERA_PLL インスタンス上 reconfig_to_pll [63:0] バスに ALTERA_PLL_RECONFIG インスタンス上 reconfig_to_pll [63:0] バスを接続します 8. ALTERA_PLL_RECONFIG インスタンス上 reconfig_from_pll [63:0] バスに ALTERA_PLL インスタンス上 reconfig_from_pll [63:0] バスを接続します 9. Qsys システム コンポーネントを右クリックし Generate for Symbol Ports をクリックすると 回路図の記号にピンおよびネットが自動的に追加されます 10. 修正されたピン名に次のピンの名前を変更します には既存および修正されたピン名が一覧表示されます clk_clk reset_reset_n pll_0_reset_reset pll_0_locked_export pll_0_outclk0_clk pll_0_outclk1_clk pll_0_outclk10_clk pll_0_outclk5_clk inclk reset_n areset locked co_ouput c1_ouptut c10_ouptut c5_output 11. inclk ピンに pll_refclk_clk ポートを接続します 12. File メニューの Save をクリックします 13. Project メニューの Set as Top-Level Entity をクリックします 1. デザインのすべてのピンの I/O 規格とピン位置を割り当てます 15. デザインの入力クロックを制限する.sdc にタイミング制約を追加します 16. デザインをコンパイルします

31 Page 31 プロジェクトの Nios II プロセッサにテスト コードを追加し このプログラムを使用して簡単なテストを実行することができます Eclipse 用の Nios II SBT にテストコードを追加するには 次の手順に従います 1. Eclipse 用の NiosII SBT を開きます 2. File メニューの Switch Workspace をクリックして Other をクリックして プロジェクト ディレクトリを選択します 3. File メニューで New をポイントして Project をクリックします. Nios II Application and BSP from Template を選択し Next をクリックします 5. Select Project Template のリストで Blank Project をクリックして SOPC Information File (.sopcinfo) を検索します 6. Application プロジェクトの下にプロジェクト名として pll_reconfig を入力します 7. Next をクリックし Finish をクリックします 8. Windows Explorer で PLL_RECONFIG.c を pll_reconfig ディレクトリにドラッグします Nios II プロジェクトの設定をセットアップするには 以下の手順に従います 1. Project Explorer タブで <project_name> を右クリックして Nios II を選択します そして Nios II BSP エディタを起動するため BSP Editor をクリックします 2. ライブラリ プロジェクトのフットプリントのサイズを最適化するには Main タブの Settings を選択して enabled_reduced_device_drivers をオンにします 3. システム ライブラリに割り当てられるメモリ サイズを小さくするために Max file descriptors に を入力します. Linker Script タブで.bss.heap.rodata.rwdata.stack および.text のリンカー領域名を選択します 5. Generate をクリックします

32 Page 32 ハードウェア上でデザインを確認するには プロジェクトをコンパイルし オブジェクト ファイルをダウンロードし Eclipse 用の Nios II SBT でデザインを確認する必要があります デザインをコンパイルするには 以下のステップを実行します 1. デザインに SignalTap II Logic Analyzer を追加します SignalTap II ロジック アナライザは システム内のリードおよびライト動作を表示します 2. SignalTap II ロジック アナライザに信号を追加した後 デザインを再コンパイルすることができます プロジェクトをコンパイルするには Processing メニューの Start Compilation をクリックします 3. デザインがコンパイルされた後 TimeQuest のタイミング解析が合格することを確認します. コンピュータにハードウェアを接続します オブジェクト ファイルをダウンロードするには 次の手順を実行します 1. Tools メニューの SignalTap II Logic Analyzer をクリックします SignalTap II ダイアログ ボックスが表示されます 1 SRAM Object File(SOF)Manager には <your_project_name>.sof が含まれています をクリックして Select Program Files ダイアログ ボックスを開きます 3. <your_project_name>.sof を選択します. Open をクリックします 5. ファイルをダウンロードすると Program Device ボタンをクリックします Eclipse 用の Nios II SBT でデザインを確認してください これを行うには 以下のステップを実行します 1. サンプル テスト プログラムをコンパイルするために <project> を右クリックして Run As と選択して Nios II Hardware をクリックします 2. お使いのシステムをテストするための選択を入力します

33 Page 33 に このアプリケーション ノートの改訂履歴を示します 2012 年 10 月 2.0 年 12 月 を更新 を更新 を追加 および を追加 を追加 新しいデザイン例および を追加 2012 年 5 月 ページの デザインの検討事項 を更新 2012 年 2 月 1.0 年 12 月 初版

34 Page 3

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用 WP-01034-1.0/JP DLL (PVT compensation) 90 PLL PVT compensated FPGA fabric 90 Stratix III I/O block Read Dynamic OC T FPGA Write Memory Run Time Configurable Run Time Configurable Set at Compile dq0 dq1

More information

Microsoft Word - quick_start_guide_16 1_ja.docx

Microsoft Word - quick_start_guide_16 1_ja.docx Quartus Prime ソフトウェア ダウンロードおよびインストール クイック スタート ガイド 2016 Intel Corporation. All rights reserved. Intel, the Intel logo, Intel FPGA, Arria, Cyclone, Enpirion, MAX, Megacore, NIOS, Quartus and Stratix words

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ CPLD ISP ISP この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください AN-630-1.0 アプリケーション ノート このアプリケーションノートでは MAX II および MAX V デバイスにおけるリアルタイム ISP(In-System Programmability)

More information

Nios II ハードウェア・チュートリアル

Nios II ハードウェア・チュートリアル Nios II ver. 7.1 2007 8 1. Nios II FPGA Nios II Quaruts II 7.1 Nios II 7.1 Nios II Cyclone II count_binary 2. 2-1. http://www.altera.com/literature/lit-nio2.jsp 2-2. Nios II Quartus II FEATURE Nios II

More information

ウォッチドッグ・タイマ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM)

ウォッチドッグ・タイマ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM) 11? 2012? cv_54024-1.2 cv_54024-1.2 ウォッチドッグ タイマの主な機能は 無応答ステートから回復するシステムの方法を提供することです ハード プロセッサ システム (HPS) は レベル 4(L4) のペリフェラル バスに接続された 2 つのプログラム可能なウォッチドッグ タイマを提供しています ウォッチドッグ タイマは Synopsys DesignWare APB

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル ALTIMA Corp. ver.14 2014 年 8 月 Rev.1 ELSENA,Inc. 目次 1. はじめに...3 1-1. フロー概要... 3 2. ハードウェア...4 2-1. 2-2. 2-3. 2-4. 2-5. ハードウェア プロジェクトの作成 ( Quartus II )... 4 コンフィギュレーション モードの設定... 5 Qsys にてシステムを構成し HDL を生成...

More information

オンチップ・メモリ クイック・ガイド for Cyclone III

オンチップ・メモリ クイック・ガイド for Cyclone III ver.9.1 2010 年 1 月 1. はじめに アルテラ社製 FPGA デバイスにおいてオンチップ メモリ (FPGA 内部で RAM や ROM などを構成 ) を実現するには Memory Compiler メガファンクションを使用します Memory Compiler メガファンクションは Cyclone シリーズ, Arria シリーズ, Stratix シリーズ, HardCopy

More information

ModelSim-Altera - RTL シミュレーションの方法

ModelSim-Altera - RTL シミュレーションの方法 ALTIMA Corp. ModelSim-Altera RTL シミュレーションの方法 ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. 目次 1. 2. 3. はじめに...3 RTL シミュレーションの手順...4 RTL シミュレーションの実施...5 3-1. 3-2. 新規プロジェクトの作成... 5 ファイルの作成と登録... 7 3-2-1. 新規ファイルの作成...

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法 ver. 8.1 2009 年 3 月 1. はじめに Nios II 開発ボードに実装されているメモリ用のコンポーネントは SOPC Builder の中にあらかじめ用意されています しかし 実際に基板を作成した場合には Nios II 開発ボードに実装されているメモリと同じ仕様の製品でない限り SOPC Builder であらかじめ用意されたメモリ用のコンポーネントを使用することはできません この場合

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

AN 357: Error Detection & Recovery Using CRC in Altera FPGA Devices

AN 357: Error  Detection & Recovery Using CRC in Altera FPGA Devices 2008 年 7 月 ver. 1.4 アルテラ FPGA デバイスの CRC によるエラー検出およびリカバリ Application Note 357 概要 航空電子 テレコム システム コントロール および軍事用アプリケーションの分野で使用されるクリティカルな用途では 以下ができることが重要です FPGA デバイスに格納されたコンフィギュレーション データが正確であるかを確認する システムにコンフィギュレーション

More information

PLL クイック・ガイド for Cyclone III

PLL クイック・ガイド for Cyclone III ver.9.1 2010 年 1 月 1. はじめに アルテラ社製 FPGA デバイスにおいて PLL を実現するには ALTPLL メガファンクションを使用します ALTPLL を使用することでクロック信号を逓倍 分周 シフトなど簡単に調整することができます PLL で生成したクロック信号を出力専用ピンから外部のデバイスへ供給することも可能なので システムクロックを FPGA にて生成することも可能です

More information

Microsoft Word - ALT0982_program_epcs_by_niosii_v10.doc

Microsoft Word - ALT0982_program_epcs_by_niosii_v10.doc ver. 1.0 2008 年 6 月 1. はじめに この資料では ホスト PC に存在する ハードウェアのコンフィギュレーション データ ファイルをホスト ファイルシステムの機能を使用して Nios II システム メモリへ転送し そのコンフィギュレーション データを Nios II を使って EPCS へプログラムする手法を紹介します この資料は Quartus II ver.7.2 SP3

More information

1-2 MLAB 図 1-1: Arria 10 デバイスにおける LAB 構造およびインタコネクトの概要 この図は LAB インタコネクトを有する Arria 10 の LAB および MLAB 構造の概要を表しています C4 C27 異なる速度と長さのロウ インタコネクト R32 R3/R6 s

1-2 MLAB 図 1-1: Arria 10 デバイスにおける LAB 構造およびインタコネクトの概要 この図は LAB インタコネクトを有する Arria 10 の LAB および MLAB 構造の概要を表しています C4 C27 異なる速度と長さのロウ インタコネクト R32 R3/R6 s 1 署名 ロジック アレイ ブロック (LAB) は アダプティブ ロジック モジュール () として知られる基本のビルディング ブロックで構成されています ロジック ファンクション 演算ファンクション およびレジスタ ファンクションを実装するために LAB をコンフィギュレーションすることができます また Arria 10 デバイスで使用可能な LAB の 4 分の 1 をメモリ LAB(MLAB)

More information

Cyclone V デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール、Cyclone Vデバイス・ハンドブック、Volume 1、第1章

Cyclone V デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール、Cyclone Vデバイス・ハンドブック、Volume 1、第1章 June 2012 CV-52001-2.0 CV-52001-2.0 この章では Cyclone V コア ファブリック内のロジック アレイ ブロック (LAB) の機能を説明します LAB は ロジック ファンクション 演算ファンクション およびレジスタ ファンクションを実装するためにコンフィギュレーションできるアダプティブ ロジック モジュール () として知られる基本的なビルディング ブロックで構成されています

More information

Quartus II クイック・スタートガイド

Quartus II クイック・スタートガイド ALTIMA Corp. Quartus II クイック スタートガイド ver.3.0 2010 年 8 月 ELSENA,Inc. 目次 1. はじめに... 3 2. Quartus II の基本操作フロー... 3 3. Quartus II の基本操作... 4 ステップ 1. プロジェクトの作成... 4 ステップ 2. デザインの作成... 4 ステップ 3. ファンクション シミュレーション...

More information

インテル(R) Visual Fortran コンパイラ 10.0

インテル(R) Visual Fortran コンパイラ 10.0 インテル (R) Visual Fortran コンパイラー 10.0 日本語版スペシャル エディション 入門ガイド 目次 概要インテル (R) Visual Fortran コンパイラーの設定はじめに検証用ソースファイル適切なインストールの確認コンパイラーの起動 ( コマンドライン ) コンパイル ( 最適化オプションなし ) 実行 / プログラムの検証コンパイル ( 最適化オプションあり ) 実行

More information

AN 611:3G-SDI レベルB とデュアル・リンクHD-SDI(SMPTE372)リファレンス・デザインのマッピング

AN 611:3G-SDI レベルB とデュアル・リンクHD-SDI(SMPTE372)リファレンス・デザインのマッピング AN-611-1.0 この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください このリファレンス デザインは Altera SDI MegaCore ファンクションおよびオーディオ ビデオ開発キット Stratix IV GX エディションを使用して 3 ギガビット / 秒のシリアル

More information

Nios II Flash Programmer ユーザ・ガイド

Nios II Flash Programmer ユーザ・ガイド ver. 8.0 2009 年 4 月 1. はじめに 本資料は Nios II 開発環境においてフラッシュメモリ または EPCS へのプログラミングを行う際の参考マニュアルです このマニュアルでは フラッシュメモリの書き込みの際に最低限必要となる情報を提供し さらに詳しい情報はアルテラ社資料 Nios II Flash Programmer User Guide( ファイル名 :ug_nios2_flash_programmer.pdf)

More information

A-AN pdf

A-AN pdf JQFP BGA 1999 1 ver. 4 Application Note 71 J QFPFineLine BGA TM BGA JQFPBGA JQFP QFPBGA JQFP BGA JQFP BGA J QFP J QFP QFP QFP 125 QFP QFP QFPQFP Carrier & Development Socket Altera Corporation Page 1 A-AN-071-04/J

More information

Quartus II クイック・スタート・ガイド

Quartus II クイック・スタート・ガイド ver.2.0 2010 年 1 月 1. はじめに 弊社では Quartus II をはじめて使用する方を対象に Quartus II はじめてガイド と題した簡易操作マニュアルを提供しています この資料では Quartus II の基本的な作業フローをご案内すると共に 各オペレーションではどの資料を参考にするのが適当かをご紹介しています 2. Quartus II の基本操作フロー 以下の図は

More information

Quartus Prime はじめてガイド - デバイス・プログラミングの方法

Quartus Prime はじめてガイド - デバイス・プログラミングの方法 ALTIMA Corp. Quartus Prime はじめてガイドデバイス プログラミングの方法 ver.15.1 2016 年 3 月 Rev.1 ELSENA,Inc. Quartus Prime はじめてガイド デバイス プログラミングの方法 目次 1. 2. 3. 4. はじめに...3 プログラミング方法...5 Auto Detect 機能...14 ISP CLAMP 機能...17

More information

ロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール

ロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール 1 AV-52001 署名 この章では ArriaV コア ファブリックのロジック アレイ ブロック (LAB) の機能について説明します LAB は ロジック ファンクション 演算ファンクション およびレジスタ ファンクションを実装するようにコンフィギュレーションできるアダプティブ ロジック モジュール () として知られる基本ビルディング ブロックで構成されています ArriaV デバイス内で使用可能な

More information

Quartus II はじめてガイド - Convert Programming File の使い方

Quartus II はじめてガイド - Convert Programming File の使い方 ALTIMA Corp. Quartus II はじめてガイド Convert Programming File の使い方 ver.14 2015 年 1 月 Rev.1 ELSENA,Inc. Quartus II はじめてガイド Convert Programming File の使い方 目次 1. 2. はじめに...3 操作方法...3 2-1. 2-2. 2-3. Convert Programming

More information

FPGAメモリおよび定数のインシステム・アップデート

FPGAメモリおよび定数のインシステム・アップデート QII53012-7.2.0 15. FPGA FPGA Quartus II Joint Test Action Group JTAG FPGA FPGA FPGA Quartus II In-System Memory Content Editor FPGA 15 2 15 3 15 3 15 4 In-System Memory Content Editor Quartus II In-System

More information

Nios II 簡易シミュレーション

Nios II 簡易シミュレーション ver. 8.1 2009 年 3 月 1. はじめに この資料は 別資料である Nios II 簡易チュートリアル を終えた後 Nios II システムのデザインを ModelSim で RTL シミュレーションを行う場合の操作マニュアルです この資料では ModelSim-Altera を使用していますが ModelSim PE ModelSim SE でも同様にシミュレーションが可能です この資料においてのシミュレーション環境は

More information

Nios II SBT Flash Programmer ユーザ・ガイド

Nios II SBT Flash Programmer ユーザ・ガイド ALTIMA Corp. Nios II SBT Flash Programmer ユーザ ガイド ver.9.1 2010 年 12 月 ELSENA,Inc. 目次 1. はじめに... 3 2. 使用条件... 3 3. GUI 操作手順... 3 3-1. SOF ファイルをダウンロード... 4 3-1-1. Quartus II Programmer の起動... 4 3-1-2. SOF

More information

Microsoft Word - HowToSetupVault_mod.doc

Microsoft Word - HowToSetupVault_mod.doc Autodesk Vault 環境設定ガイド Autodesk Vault をインストール後 必要最小限の環境設定方法を説明します ここで 紹介しているのは一般的な環境での設定です すべての環境に当てはまるものではありません 1 条件 Autodesk Data Management Server がインストール済み Autodesk Vault Explorer がクライアント PC にインストール済み

More information

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II はじめてガイド - プロジェクトの作成方法 ALTIMA Corp. Quartus II はじめてガイド プロジェクトの作成方法 ver.10.0 2010 年 7 月 ELSENA,Inc. Quartus II はじめてガイド プロジェクトの作成方法 目次 1. はじめに... 3 2. Quartus II の起動... 3 3. 操作手順... 4 4. 既存プロジェクトの起動... 10 5. プロジェクト作成後の変更...11

More information

SAC (Jap).indd

SAC (Jap).indd 取扱説明書 機器を使用する前に本マニュアルを十分にお読みください また 以後も参照できるよう保管してください バージョン 1.7 目次 目次 について...3 ご使用になる前に...3 インストール手順...4 ログイン...6 マネージメントソフトウェアプログラムの初期画面... 7 プロジェクタの検索...9 グループの設定... 11 グループ情報画面... 12 グループの削除... 13

More information

1. 新規プロジェクト作成の準備新規プロジェクトのためのフォルダを用意して そこにプロジェクトを作成します [ 新しいフォルダー ] をクリックして希望のフォルダに新しいフォルダを作成します この例では TrST_F401N_BlinkLD2 というフォルダを作成しました TrST_F401N_Bl

1. 新規プロジェクト作成の準備新規プロジェクトのためのフォルダを用意して そこにプロジェクトを作成します [ 新しいフォルダー ] をクリックして希望のフォルダに新しいフォルダを作成します この例では TrST_F401N_BlinkLD2 というフォルダを作成しました TrST_F401N_Bl NUCLEO-F401RE の TrueSTUDIO プロジェクト構築方法 V001 2014/09/24 Atollic TrueSTUDIO for ARM Lite を使用して NUCLEO-F401RE のプロジェクトを新規に作成する方法について説明します また ビルドとデバッグについても説明しています 目次 1. 新規プロジェクト作成の準備... 2 2. 新規プロジェクトの作成... 3

More information

(Microsoft Word - \214\264\215e B_\217\221\202\253\215\236\202\335\225\224.docx)

(Microsoft Word - \214\264\215e B_\217\221\202\253\215\236\202\335\225\224.docx) トランジスタ技術 2009 年 3 月号特集気軽にはじめる FPGA 第 5 章マルチチャネル信号発生器信号発生器の製作 ~はんだ付け不要ロジックの自在さを生かす~ ISE WebPACK を使って FPGA にソースを書き込むまでの手順 坂本三直 プロジェクトプロジェクトの新規生成 / 読み込み : CQ 出版社の HP より本スタータキット用のプロジェクトをダウンロードしてください. パソコン上にコピーできたら,Xilinx

More information

Oracle ESB - レッスン02: CustomerDataバッチCSVファイル・アダプタ

Oracle ESB - レッスン02: CustomerDataバッチCSVファイル・アダプタ Oracle ESB レッスン 02: CustomerData バッチ CSV ファイル アダプタ Oracle 統合製品管理 Page 1 シナリオの概要 機能 複数レコードを含む CSV ファイルを 1 レコードずつ処理する CustomerData にインバウンド ファイル アダプタを追加する 顧客データと同期する CSV ファイル Features - JDeveloper ESB ダイアグラマ

More information

Cyclone III デバイス・ファミリの メモリ・ブロック

Cyclone III デバイス・ファミリの メモリ・ブロック この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください Cyclone III デバイス ファミリ (Cyclone III および Cyclone III LS デバイス ) は アルテラの Cyclone III デバイス ファミリのデザインのオンチップ メモリの要件に対応するエンベデッド

More information

Quartus II Web Edition インストール・ガイド

Quartus II Web Edition インストール・ガイド ver. 9.01 2009 年 9 月 1. はじめに Quartus II Web Edition ソフトウェアは アルテラの低コスト FPGA および CPLD ファミリの開発に必要な環境一式が含まれた無償パッケージです 回路図とテキスト形式によるデザイン入力 統合された VHDL と Verilog HDL 合成 サードパーティ ソフトウェアへのサポート SOPC Builder システム生成ソフトウェア

More information

Quartus Prime - プログラミング・ファイルの生成や変換(Convert Programming Files)

Quartus Prime - プログラミング・ファイルの生成や変換(Convert Programming Files) ALTIMA Corp. Quartus Prime プログラミング ファイルの生成や変換 (Convert Programming Files) ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. Quartus Prime プログラミング ファイルの生成や変換 (Convert Programming Files) 目次 1. 2. はじめに...3 操作方法...4 2-1.

More information

A 既製のプロジェクトがある場合

A 既製のプロジェクトがある場合 2008 年 7 月 15 日 ワゴジャパン株式会社 1 使用機器 -Siemens S7-300:CPU315F-2 PN/DP プロセッサ /PROFINET スキャナ -Siemens SIMATIC Manager STEP 7 ソフトウェア バージョン V5.4-750-333 GSD ファイル :B754_V30.GSD(FW Ver.7 以降 ) -WAGO I/O ノード構成ノード

More information

2-2 デザイン ガイドライン 表 2-1: 容量と分配 A10-MEMORY タイプ Arria 10 GX Arria 10 GT Arria 10 SX 製品ライン ブロック数 M20K RAM ビット数 (Kb) ブロック数 MLAB RAM ビット数 (Kb) トータル RAM ビット数

2-2 デザイン ガイドライン 表 2-1: 容量と分配 A10-MEMORY タイプ Arria 10 GX Arria 10 GT Arria 10 SX 製品ライン ブロック数 M20K RAM ビット数 (Kb) ブロック数 MLAB RAM ビット数 (Kb) トータル RAM ビット数 2 A10-MEMORY 署名 デバイス内のエンベデッド メモリ ブロックには柔軟性があり デザイン要件に合った最適な小規模メモリ アレイおよび大規模メモリ アレイを提供できるようデザインされています 関連情報 Arria 10 Device Handbook: Known Issues >Arria 10 Device Handbook の章にて予定される更新をリストします エンベデッド メモリのタイプ

More information

PCI-Express ハード IP を使用した DMA の実現 for Cyclone V GT FPGA 開発キット(ソフトウェア編)

PCI-Express ハード IP を使用した DMA の実現 for Cyclone V GT FPGA 開発キット(ソフトウェア編) ALTIMA Corp. PCI-Express ハード IP を使用した DMA の実現 for Cyclone V GT FPGA 開発キット ( ソフトウェア編 ) ver.1 2015 年 4 月 Rev.1 ELSENA,Inc. PCI-Express ハード IP を使用した DMA の実現 for Cyclone V GT FPGA 開発キット ( ソフトウェア編 ) 目次 1. はじめに...3

More information

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II はじめてガイド - プロジェクトの作成方法 - Quartus II はじめてガイド - プロジェクトの作成方法 ver. 9.0 2009 年 5 月 1. はじめに Quartus II はユーザ デザインをプロジェクトで管理します プロジェクトは デザインのコンパイルに必要なすべてのデザイン ファイル 設定ファイルおよびその他のファイルで構成されます そのため開発を始めるには まずプロジェクトを作成する必要があります この資料では Quartus

More information

マルチ VRFCE PE-CE リンクのプロビジョ ニング

マルチ VRFCE PE-CE リンクのプロビジョ ニング CHAPTER 26 この章では Prime Fulfillment のプロビジョニングプロセスで MPLS VPN マルチ VRFCE PE-CE リンクを構成する方法を説明します MPLS VPN MVRFCE PE-CE リンクの概要 この項の内容は 次のとおりです ネットワークトポロジ (P.26-2) 前提タスク (P.26-2) Prime Fulfillment で MPLS VPN

More information

Microsoft iSCSI Software Targetを使用したクラスタへの共有ディスク・リソースの提供

Microsoft iSCSI Software Targetを使用したクラスタへの共有ディスク・リソースの提供 Microsoft iscsi Software Target を使用したクラスタへの共有ディスク リソースの提供 はじめに... 2 クラスタ ホスト エントリの作成... 3 イニシエータの設定... 7 クラスタ ノード 1 のイニシエータ... 7 クラスタ ノード 2 のイニシエータ... 7 iscsi 仮想ディスクのエクスポート... 8 iscsi デバイスの初期化... 11 Microsoft

More information

Stratix IVデバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール, Stratix IV Device Handbook, Volume 1, Ch 2

Stratix IVデバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール, Stratix IV Device Handbook, Volume 1, Ch 2 2010?9? 2010 SIV51002-3.1 SIV51002-3.1 この章では Stratix IV コア ファブリックのロジック アレイ ブロック (LAB) の機能について説明します LAB は ロジック ファンクション 演算ファンクション およびレジスタ ファンクションのコンフィギュレーションに使用可能な から構成されます ロジック アレイ ブロック (LAB) およびアダプティブ

More information

AN 477: Designing RGMII Interface with HardCopy

AN 477: Designing RGMII Interface with HardCopy FPGA および HardCopy デバイスとの RGMII インタフェースの設計 ver. 1.0 Application Note 477 はじめに RGMII(Reduced Gigabit Media Independent Interface) は IEEE 802.3z GMII に代わるもので ピン数の削減が図られています ピン数の削減は クロックの立ち上がりと立ち下がりの両エッジでデータをやりとりし

More information

デュアルDIMM DDR2およびDDR3 SDRAMのボード・デザイン・ガイドライン、外部メモリ・インタフェース・ハンドブック、Volume 2、第5章

デュアルDIMM DDR2およびDDR3 SDRAMのボード・デザイン・ガイドライン、外部メモリ・インタフェース・ハンドブック、Volume 2、第5章 5? 2012? EMI_DG_005-2.0 EMI_DG_005-2.0 この章では デュアル バッファなし DIMM (UDIMM) DDR2 および DDR3 SDRAM インタフェースの実装のガイドラインについて説明します この章では デュアル DIMM 構成を次の条件で使用して データ信号のシグナル インテグリティに対する影響を説明します 1 スロット実装対 2 スロット実装 DIMM

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 SAU シリアル アレイ ユニット ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ SAU の概要 UART 通信機能のプログラム サンプル紹介 2 SAU の概要 3 SAU の機能 クロック同期式調歩同期式マスタ動作のみ チャネル 0: 送信チャネル 1: 受信 4 UART

More information

! STEP 2. Quartus Prime のダウンロード WEB ブラウザで以下の URL を開きます 2 ページ中段の Quartus Prime 開発ソフトウェア ライト エディ

! STEP 2. Quartus Prime のダウンロード WEB ブラウザで以下の URL を開きます   2 ページ中段の Quartus Prime 開発ソフトウェア ライト エディ STEP 学習内容 パソコンに FPGA の開発環境を構築します インストールは以下の手順で行います. Quartus Prime とは 2. Quartus Prime のダウンロード. Quartus Prime のインストール. USB ドライバのインストール. Quartus Prime とは Quartus Prime は Intel の FPGA 統合開発環境です Quartus Prime

More information

1. ボードの複数台制御 コンフィグ ROM から FPGA が起動できる場合を想定しています FPGA 回路には 特定のレジスタアドレスにプリセットしたデータが必要です 製品出荷時のサンプル FPGA 回路では レジスタ No.3 を 8bit 幅に設定し FPGA 外部の 4bit ディップスイ

1. ボードの複数台制御 コンフィグ ROM から FPGA が起動できる場合を想定しています FPGA 回路には 特定のレジスタアドレスにプリセットしたデータが必要です 製品出荷時のサンプル FPGA 回路では レジスタ No.3 を 8bit 幅に設定し FPGA 外部の 4bit ディップスイ LabVIEW 用リファレンス制御アプリケーション RefAppLV の使い方 概要 LabVIEW 開発ツールで設計したリファレンス制御アプリケーションです LabVIEW を所有していないユー ザ環境でも インストーラを利用して RefAppLV.exe を利用することができます 機能 1. 複数台ボード制御 2. USB コンフィグ機能 3. レジスタアクセス機能 4. 拡張レジスタアクセス機能

More information

任意の間隔での FTP 画像送信イベントの設定方法 はじめに 本ドキュメントでは AXIS ネットワークカメラ / ビデオエンコーダにおいて任意の間隔で画像を FTP サー バーへ送信するイベントの設定手順を説明します 設定手順手順 1:AXIS ネットワークカメラ / ビデオエンコーダの設定ページ

任意の間隔での FTP 画像送信イベントの設定方法 はじめに 本ドキュメントでは AXIS ネットワークカメラ / ビデオエンコーダにおいて任意の間隔で画像を FTP サー バーへ送信するイベントの設定手順を説明します 設定手順手順 1:AXIS ネットワークカメラ / ビデオエンコーダの設定ページ はじめに 本ドキュメントでは AXIS ネットワークカメラ / ビデオエンコーダにおいて任意の間隔で画像を FTP サー バーへ送信するイベントの設定手順を説明します 設定手順手順 1:AXIS ネットワークカメラ / ビデオエンコーダの設定ページにアクセスする 1.Web ブラウザを起動します FW v6.50 以下の場合は Internet Explorer を FW v7.10 以降の場合は

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? レジスタ アクセスの拡張機能 1. レジスタ アクセスの概要 Smart-USB Plus 製品で利用できるレジスタ アクセスとは FPGA 内にハードウエア レジスタを実装し ホスト PC の制御ソフトウエアから USB 経由でそれらのレジスタに値を設定したり レジスタの設定値を読み出すことができる機能です このレジスタ アクセス制御には USB バス仕様に基づく コントロール転送 を利用しています

More information

Microsoft Word - COP_MasterSim_Installation_Supplement_A00.doc

Microsoft Word - COP_MasterSim_Installation_Supplement_A00.doc Anybus CANopen Master Simulator インストール手順補足説明資料 Version: A00 エイチエムエス インダストリアルネットワークス株式会社 222-0033 神奈川県横浜市港北区新横浜 3-19-5 新横浜第 2 センタービル 6F TEL : 045-478-5340 FAX : 045-476-0315 URL www.anybus.jp EMAIL セールス

More information

1. Microsoft Loopback Adapter のインストール 1) ノートパソコンにおいて そのパソコンの管理者アカウントによりログオンします 2) [ スタート ] > コントロールパネルを開きます 3) 表示方法 : カテゴリの場合には ハードウェアとサウンド > デバイスマネージ

1. Microsoft Loopback Adapter のインストール 1) ノートパソコンにおいて そのパソコンの管理者アカウントによりログオンします 2) [ スタート ] > コントロールパネルを開きます 3) 表示方法 : カテゴリの場合には ハードウェアとサウンド > デバイスマネージ Windows 7 ノートパソコン上での SPLM 2012 の設定 10/24/2014 SmartPlant License Manager (SPLM) では ライセンスマシンに固定 IP アドレスを使用する必要があります Microsoft Loopback Adapter を使用して仮想ネットワークアダプタをノートパソコンにインストールすることで この要求を実現することができます このドキュメントでは

More information

adviceシリーズスタートアップガイド(Cortex-M3編)

adviceシリーズスタートアップガイド(Cortex-M3編) スタートアップガイド Cortex-M3 OMAP Cortex-M3 3 本スタートアップガイドは Cortex-M3 の作成方法を説明しています 上記 MPU は advicelunaⅡ および adviceluna でサポートしています mvwstartup_020_cortex-m3 Rev.02 はじめに advice シリーズスタートアップガイド 以下 本ガイド は advice シリーズ製品を購入してからデバッグを開始するまでの一連の操作を順に示したドキュメントです

More information

プリンタドライバのインストール. Windows で使用する場合 Windows プリンタドライバのインストール方法は 接続方法や使用するプリンタドライバによって異なります また コンピュータの OS によってインストール方法が異なります お使いのコンピュータの OS に合わせて 以下の参照ページを

プリンタドライバのインストール. Windows で使用する場合 Windows プリンタドライバのインストール方法は 接続方法や使用するプリンタドライバによって異なります また コンピュータの OS によってインストール方法が異なります お使いのコンピュータの OS に合わせて 以下の参照ページを プリンタドライバのインストール.1 プリンタドライバの種類と対応 OS 本プリンティングシステムを使用するためには プリンタドライバのインストールが必要です プリンタドライバとは 出力するデータの処理などを制御するプログラムで 使用する前に付属の CD からコンピュータにインストールします 付属の CD に含まれるプリンタドライバと 対応しているコンピュータの OS は以下のとおりです 必要なプリンタドライバをインストールしてください

More information

RAM-ベース・シフト・レジスタ (ALTSHIFT_TAPS) メガファンクションのユーザーガイド

RAM-ベース・シフト・レジスタ (ALTSHIFT_TAPS) メガファンクションのユーザーガイド RAM?????????????ALTSHIFT_TAPS????????????????? 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-01009-2.1 Subscribe 2010 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, HARDCOPY,

More information

Notes and Points for TMPR454 Flash memory

Notes and Points for TMPR454 Flash memory 表紙 TMPR454 内蔵 Flash メモリ対応版手順書 株式会社 DTS インサイト ご注意 (1) 本書の内容の一部または 全部を無断転載することは禁止されています (2) 本書の内容については 改良のため予告なしに変更することがあります (3) 本書の内容について ご不明な点やお気付きの点がありましたら ご連絡ください (4) 本製品を運用した結果の影響については (3) 項にかかわらず責任を負いかねますのでご了承ください

More information

8B10Bエンコーダ/デコーダMegaCoreファンクション・ユーザガイド

8B10Bエンコーダ/デコーダMegaCoreファンクション・ユーザガイド 8B10B / MegaCore 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com MegaCore : 7.1 : 2007 5 Copyright 2007 Altera Corporation. All rights reserved. Altera, The Programmable Solutions

More information

改訂履歴 改訂日付 改訂内容 2014/11/01 初版発行 2017/01/16 Studuino web サイトリニューアルに伴う改訂 2017/04/14 Studuino web サイトリニューアルに伴うアクセス方法の説明変更 2018/01/22 Mac 版インストール手順変更に伴う改訂

改訂履歴 改訂日付 改訂内容 2014/11/01 初版発行 2017/01/16 Studuino web サイトリニューアルに伴う改訂 2017/04/14 Studuino web サイトリニューアルに伴うアクセス方法の説明変更 2018/01/22 Mac 版インストール手順変更に伴う改訂 Arduino IDE 環境 設定手順書 Windows/Mac 用 2014/11/01 作成 2018/01/22 改訂 改訂履歴 改訂日付 改訂内容 2014/11/01 初版発行 2017/01/16 Studuino web サイトリニューアルに伴う改訂 2017/04/14 Studuino web サイトリニューアルに伴うアクセス方法の説明変更 2018/01/22 Mac 版インストール手順変更に伴う改訂

More information

CANコントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM)

CANコントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM) November 2012 cv_54025-1.2 cv_54025-1.2 ハードウェア プロセッサ システム (HPS) は Cortex -A9 マイクロプロセッサ ユニット (MPU) サブシステム ホスト プロセッサ および CAN プロトコルで使用するダイレクト メモリ アクセス (DMA) コントローラでのシリアル通信用に 2 つのコントローラ エリア ネットワーク (CAN) コントローラを提供しています

More information

Quartus II はじめてガイド - デバイス・プログラミング方法

Quartus II はじめてガイド - デバイス・プログラミング方法 - Quartus II はじめてガイド - デバイス プログラミング方法 ver. 9.1 2010 年 1 月 1. はじめに この資料では Quartus II の Programmer の操作方法を紹介しています Programmer を使用し デバイスにプログラミング ( デバイスへの書き込み ) を行います アルテラのデバイスへデータを書き込むときには プログラミング ハードウェアを使用します

More information

intra-mart ワークフローデザイナ

intra-mart ワークフローデザイナ intra-mart ワークフローデザイナ Version 5.0 インストールガイド 初版 2005 年 6 月 17 日 変更年月日 2005/06/17 初版 > 変更内容 目次 > 1 はじめに...1 1.1 インストールの概要...1 1.2 用語について...1 1.3 前提条件...1 2 インストール手順...2 2.1 サーバへのファイルのインストール...2

More information

新OS使用時の留意事項

新OS使用時の留意事項 2014 年 3 月富士通株式会社 新 OS 使用時の留意事項 Fujitsu Software Interstage Print Manager( 以降 Interstage Print Manager) の動作オペレーティングシステムに以下をサポートします Windows 8 Windows 8.1 2012 2012 R2 この動作環境においても従来と同等の機能をご利用になれますが ご利用に関しての留意事項について説明します

More information

AWS Client VPN - ユーザーガイド

AWS Client VPN - ユーザーガイド AWS Client VPN ユーザーガイド AWS Client VPN: ユーザーガイド Copyright 2019 Amazon Web Services, Inc. and/or its affiliates. All rights reserved. Amazon's trademarks and trade dress may not be used in connection with

More information

2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk

2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk 2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk Autodesk Vault 2014 新機能 操作性向上 Inventor ファイルを Vault にチェックインすることなくステータス変更を実行できるようになりました 履歴テーブルの版管理を柔軟に設定できるようになりました

More information

WAGO PROFIBUS バスカプラ/コントローラと、QJ71PB92Dとのコンフィグレーションマニュアル

WAGO PROFIBUS バスカプラ/コントローラと、QJ71PB92Dとのコンフィグレーションマニュアル < 概要 > WAGO-I/O-SYSTEM750 シリーズ PROFIBUS バスカプラ / コントローラと 三菱電機 製 PROFIBUS ユニット QJ71PB92D とのコンフィグレーション手順を説明しております < 使用機器接続図 > 下記機器を準備し 図の通り接続しました WAGO-I/O-SYSTEM PROFIBUS バスカプラ / コントローラ 750-xxx および I/O モジュール

More information

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装 LIM Corp. Nios II - PIO を使用した I 2 C-Bus (2 ワイヤ ) マスタの実装 ver.1.0 2010 年 6 月 ELSEN,Inc. 目次 1. はじめに... 3 2. 適用条件... 3 3. システムの構成... 3 3-1. SOPC Builder の設定... 3 3-2. PIO の設定... 4 3-2-1. シリアル クロック ライン用 PIO

More information

2. インストールの方法 インストールの手順は まずインストーラーをサイトからダウンロードし イールドブック カリキュレーターと Java Web Start をインストールします 次にイールドブック カリキュレーターを起動してサーバー接続し Java のファイルをダウンロードします 以下の手順に従

2. インストールの方法 インストールの手順は まずインストーラーをサイトからダウンロードし イールドブック カリキュレーターと Java Web Start をインストールします 次にイールドブック カリキュレーターを起動してサーバー接続し Java のファイルをダウンロードします 以下の手順に従 The Yield Book Calculator インストールガイド 本ガイドの内容 1. 必要システム. 1 2. インストールの方法. 2 3. Java Web Start / Java Runtime Environment (JRE). 8 4. プロキシの設定. 9 5. 言語の設定. 10 6. アンインストールの方法. 11 1.. 必要システム イールドブック カリキュレーターのインストールと動作に必要なシステムは以下のとおりです

More information

Microsoft PowerPoint - Tutorial_2_upd.ppt

Microsoft PowerPoint - Tutorial_2_upd.ppt 2 Eclipse を使った Bluemix アプリケーション開発 1 ハンズオン手順 ハンズオンの概要 Eclipse から Java アプリをデプロイする 公開されているプロジェクトをインポートする インポートしたプロジェクトをBluemixにデプロイする ここでは PostgreSQL サービスを提供する ElephantSQL というサービスを使用します デプロイしたアプリケーションを確認する

More information

音声認識サーバのインストールと設定

音声認識サーバのインストールと設定 APPENDIX C 次のタスクリストを使用して 音声認識ソフトウェアを別の音声認識サーバにインストールし 設定します このタスクは Cisco Unity インストレーションガイド に記載されている詳細な手順を参照します ドキュメントに従って 正しくインストールを完了してください この付録の内容は Cisco Unity ライセンスに音声認識が含まれていること および新しい Cisco Unity

More information

本書は INpMac v2.20(intime 5.2 INplc 3 Windows7/8/8.1に対応 ) の内容を元に記載しています Microsoft Windows Visual Studio は 米国 Microsoft Corporation の米国及びその他の国における登録商標です

本書は INpMac v2.20(intime 5.2 INplc 3 Windows7/8/8.1に対応 ) の内容を元に記載しています Microsoft Windows Visual Studio は 米国 Microsoft Corporation の米国及びその他の国における登録商標です ACTIVE TOUCH 拡張部品取扱説明書 - 共有メモリアクセスコンポーネント - 1. はじめに 1 (1) 概要... 1 (2) INpMac のインストール... 1 2. Windows アプリケーションとの連携 2 (1) コントロール ( 部品 ) の登録... 2 (2) データの関連付け... 3 3. INtime アプリケーションとの連携 4 (1) 部品 ( コンポーネント

More information

NOTICE The information contained in this document is believed to be accurate in all respects but is not warranted by Mitel Networks Corporation (MITEL

NOTICE The information contained in this document is believed to be accurate in all respects but is not warranted by Mitel Networks Corporation (MITEL MiVoice 6725ip Microsoft Lync Phone 41-001367-06 REV02 クイックスタートガイド NOTICE The information contained in this document is believed to be accurate in all respects but is not warranted by Mitel Networks Corporation

More information

クラウド内の Java - 動画スクリプト 皆さん こんにちは Steve Perry です 私たちが作成した人事アプリケーションを覚えていますか? 今回は そのアプリケーションをクラウド内で実行しましょう コードは GitHub の

クラウド内の Java - 動画スクリプト 皆さん こんにちは Steve Perry です 私たちが作成した人事アプリケーションを覚えていますか? 今回は そのアプリケーションをクラウド内で実行しましょう コードは GitHub の クラウド内の Java - 動画スクリプト 皆さん こんにちは Steve Perry です 私たちが作成した人事アプリケーションを覚えていますか? 今回は そのアプリケーションをクラウド内で実行しましょう コードは GitHub の https://github.com/makotogo/javainthecloud からダウンロードでき この動画では 次の方法を説明し WebSphere Application

More information

1. はじめに 本書は スプリット演算器 MFS2 用コンフィギュレータソフトウェア の取扱方法 操作手順 注意事項などを説明したものです Windows の操作や用語を理解している方を前提にしています Windows の操作や用語については それぞれのマニュアルを参照してください 1.1. MFS

1. はじめに 本書は スプリット演算器 MFS2 用コンフィギュレータソフトウェア の取扱方法 操作手順 注意事項などを説明したものです Windows の操作や用語を理解している方を前提にしています Windows の操作や用語については それぞれのマニュアルを参照してください 1.1. MFS スプリット演算器 MFS2 用コンフィギュレータソフトウェア MFS2CFG バージョン 0.02 取扱説明書 1/10 NM-9307 改 2 1. はじめに 本書は スプリット演算器 MFS2 用コンフィギュレータソフトウェア の取扱方法 操作手順 注意事項などを説明したものです Windows の操作や用語を理解している方を前提にしています Windows の操作や用語については それぞれのマニュアルを参照してください

More information

HLS はじめてガイド - 簡易チュートリアル

HLS はじめてガイド - 簡易チュートリアル ALTIMA Company, MACNICA, Inc. HLS はじめてガイド簡易チュートリアル Ver.17.1 2018 年 9 月 Rev.2 HLS はじめてガイド簡易チュートリアル 目次 はじめに...3 評価環境...3 インテル HLS コンパイラ...5 インテル HLS コンパイラの概要... 5 インテル HLS コンパイラ使用時に必要なソフトウェア... 6 事前準備 (

More information

Microsoft Word - CBSNet-It連携ガイドver8.2.doc

Microsoft Word - CBSNet-It連携ガイドver8.2.doc (Net-It Central 8.2) 本ガイドでは ConceptBase Search Lite.1.1 と Net-It Central 8.2 の連携手順について説明します 目次 1 はじめに...2 1.1 本書について...2 1.2 前提条件...2 1.3 システム構成...2 2 ConceptBase のインストール...3 2.1 インストールと初期設定...3 2.2 動作確認...3

More information

Welcome-Kit ~STM32L4-Nucleo~

Welcome-Kit ~STM32L4-Nucleo~ STM32CubeMX の使い方 0 STM32CubeMX ダウンロード 1 1 ST マイクロ社 HP より STM32CubeMX インストーラーをダウンロードし インストーラーの表示に沿ってインストールします URL : http://www.st.com/content/st_com/ja/products/development-tools/software-development-tools/stm32-

More information

WES7シンクライアントIE11アップデート手順書

WES7シンクライアントIE11アップデート手順書 Technical white paper Windows Embedded Standard 7 シンクライアント IE11 アップデート手順書 Contents はじめに 2 対応する機種と OS イメージ 2 IE11 アドオンのダウンロードと展開 2 IE11 アドオンのインストール ( 手動インストール ) 5 HP Device Manager を使用した IE11 アドオンのインストール

More information

Microsoft Word - SUA007

Microsoft Word - SUA007 アルテラ社ツール Qsys を利用した Smart-USB Plus 製品用リファレンス回路 SRAM-FIFO モジュール 1. SRAM-FIFO モジュールとは? Smart-USB Plus 製品に搭載する高速同期 SRAM を FIFO 化するモジュールです アルテラ社 AVALON バス仕様に準拠しています 既に提供している GPIF-AVALON ブリッジ (SUA006 アプリケーションノート参照

More information

Nios II カスタム・インストラクションによるキャスト(型変換)の高速化

Nios II カスタム・インストラクションによるキャスト(型変換)の高速化 ver. 9.1 2009 年 12 月 1. はじめに Nios II にオプションで実装できる浮動小数演算カスタム インストラクションは 浮動小数四則演算はサポートしているものの 整数から浮動小数にキャスト ( 型変換 ) する機能やその逆の機能は備えていません この資料では 単精度浮動小数型と整数型の変換を簡単に Nios II のカスタム インストラクションに実装する方法を紹介しています なお

More information

monologue Sound Librarian 取扱説明書

monologue Sound Librarian 取扱説明書 J 3 目次 はじめに... 2 monologue Sound Librarian とは?... 2 使用上のご注意... 2 動作環境... 2 インストール... 3 Mac へのインストール... 3 Windows へのインストール... 3 クイック スタート... 4 monologue Sound Librarian を起動する... 4 monologue Sound Librarian

More information

VPN 接続の設定

VPN 接続の設定 VPN 接続の設定 AnyConnect 設定の概要, 1 ページ AnyConnect 接続エントリについて, 2 ページ ハイパーリンクによる接続エントリの追加, 2 ページ 手動での接続エントリの追加, 3 ページ ユーザ証明書について, 4 ページ ハイパーリンクによる証明書のインポート, 5 ページ 手動での証明書のインポート, 5 ページ セキュアゲートウェイから提供される証明書のインポート,

More information

MIB サポートの設定

MIB サポートの設定 CHAPTER 2 この章では Cisco 10000 シリーズに SNMP および MIB のサポートを設定する手順について説明します 具体的な内容は次のとおりです Cisco IOS リリースに対応する MIB サポートの判別 (p.2-1) MIB のダウンロードおよびコンパイル (p.2-2) シスコの SNMP サポート (p.2-4) Cisco IOS リリースに対応する MIB サポートの判別

More information

USB-Blasterダウンロード・ケーブル・ユーザガイド

USB-Blasterダウンロード・ケーブル・ユーザガイド USB-Blaster 101 Innovation Drive San Jose, CA 95134 www.altera.com 2.3 2007 5 UG-USB81204-2.3 P25-10325-03 Copyright 2007 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company,

More information

Microsoft Word - AW-SF100導入手順書(スタンドアローン版)_ doc

Microsoft Word - AW-SF100導入手順書(スタンドアローン版)_ doc AW-SF100 スタンドアローン版 導入手順書 Revision 0.06 2015.11.24 Professional AV Systems Business Unit Panasonic AVC Networks Company Panasonic Co., Ltd. 1. はじめに... 3 2. リモートカメラの設定... 4 2.1. AW-HE130 の設定... 4 2.2. AW-UE70/AW-HE40

More information

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」 ALTIMA Company, MACNICA, Inc Nios II HAL API Modular Scatter-Gather DMA Core Ver.17.1 2018 8 Rev.1 Nios II HAL API Modular Scatter-Gather DMA Core...3...3...4... 4... 5 3-2-1. msgdma... 6 3-2-2. On-Chip

More information

Notes and Points for TM4C123Gx Internal Flash memory

Notes and Points for TM4C123Gx Internal Flash memory 表紙 TI 社製 TM4C123GH6PM 内蔵 Flash メモリ対応手順書 株式会社 DTS インサイト ご注意 (1) 本書の内容の一部または 全部を無断転載することは禁止されています (2) 本書の内容については 改良のため予告なしに変更することがあります (3) 本書の内容について ご不明な点やお気付きの点がありましたら ご連絡ください (4) 本製品を運用した結果の影響については (3)

More information

ファイル メニューのコマンド

ファイル メニューのコマンド CHAPTER43 次のオプションは Cisco Configuration Professional(Cisco CP) の [ ファイル ] メニューから利用できます 実行コンフィギュレーションを PC に保存 ルータの実行コンフィギュレーションファイルを PC 上のテキストファイルに保存します 43-1 設定をルータに配信する 第 43 章 設定をルータに配信する このウィンドウでは Cisco

More information

Oracle Enterprise Managerシステム監視プラグイン・インストレーション・ガイドfor Juniper Networks NetScreen Firewall, 10gリリース2(10.2)

Oracle Enterprise Managerシステム監視プラグイン・インストレーション・ガイドfor Juniper Networks NetScreen Firewall, 10gリリース2(10.2) Oracle Enterprise Manager システム監視プラグイン インストレーション ガイド for Juniper Networks NetScreen Firewall 10g リリース 2(10.2) 部品番号 : B28468-01 原典情報 : B28041-01 Oracle Enterprise Manager System Monitoring Plug-in Installation

More information

Fortinet 社 FortiExplorer 操作マニュアル 株式会社ネットワークバリューコンポネンツ 第一版 Page1 Network Value Components Ltd. Copyright (c)2012 Network Value Components Ltd. All Righ

Fortinet 社 FortiExplorer 操作マニュアル 株式会社ネットワークバリューコンポネンツ 第一版 Page1 Network Value Components Ltd. Copyright (c)2012 Network Value Components Ltd. All Righ Fortinet 社 FortiExplorer 操作マニュアル 株式会社ネットワークバリューコンポネンツ 第一版 Page1 Copyright (c)2012 All Right Reserved. 目次 1 ソフトウェアダウンロード... 3 1.1 PC(Mac, Windows)... 3 2 ソフトウェアインストール... 4 2.1 Mac... 4 2.2 Windows... 6

More information

Oracle Business Intelligence Standard Edition One のインストール

Oracle Business Intelligence Standard Edition One のインストール Oracle Business Intelligence Standard Edition One のインストール 第 1 版 作成日 :2007 年 7 月 31 日 更新日 :2007 年 7 月 31 日 目次 はじめに... 3 Ⅰ. インストール作業... 4 Ⅱ. 起動状況の確認... 8 Ⅱ-1. Oracle BI Administration Tool の起動... 8 Ⅱ-2.

More information

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration 5. Stratix IV SIV52005-2.0 Stratix IV GX PMA BER FPGA PMA CMU PLL Pphased-Locked Loop CDR 5 1 5 3 5 5 Quartus II MegaWizard Plug-In Manager 5 42 5 47 rx_tx_duplex_sel[1:0] 5 49 logical_channel_address

More information

LEAP を使用して Cisco ワイヤレス クライアントを認証するための Funk RADIUS の設定

LEAP を使用して Cisco ワイヤレス クライアントを認証するための Funk RADIUS の設定 LEAP を使用して Cisco ワイヤレスクライアントを認証するための Funk RADIUS の設定 目次 概要前提条件要件使用するコンポーネント表記法設定アクセスポイントまたはブリッジの設定 Funk ソフトウェアの Inc. Product 設定 Steel-Belted Radius Steel-Belted Radius のユーザの作成関連情報 概要 このドキュメントでは 340 および

More information

Shareresearchオンラインマニュアル

Shareresearchオンラインマニュアル Chrome の初期設定 以下の手順で設定してください 1. ポップアップブロックの設定 2. 推奨する文字サイズの設定 3. 規定のブラウザに設定 4. ダウンロードファイルの保存先の設定 5.PDFレイアウトの印刷設定 6. ランキングやハイライトの印刷設定 7. 注意事項 なお 本マニュアルの内容は バージョン 61.0.3163.79 の Chrome を基に説明しています Chrome の設定手順や画面については

More information

Hyper-V 仮想マシンの設定

Hyper-V 仮想マシンの設定 この章の内容は 次のとおりです Microsoft Hyper-V マネージャでの VM の作成, 1 ページ VF ドライバのインストール, 3 ページ ポートプロファイルの接続, 3 ページ Microsoft Hyper-V マネージャでの VM の作成 この手順は Microsoft Hyper-V マネージャの [New Virtual Machine Wizard] を使用して VM を作成します

More information

Quartus II はじめてガイド - Device & Pin Options 設定方法

Quartus II はじめてガイド - Device & Pin Options 設定方法 - Quartus II はじめてガイド - Device & Pin Options 設定方法 ver.9.1 2010 年 5 月 1. はじめに この資料は Quartus II における Device & Pin Options の設定に関して説明しています Device & Pin Options ダイアログ ボックスでは 現在のプロジェクトで選択されているデバイスにおけるデバイス オプションとピン

More information