MPLAB XC16 User’s Guide for Embedded Engineers

Size: px
Start display at page:

Download "MPLAB XC16 User’s Guide for Embedded Engineers"

Transcription

1 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います 組み込み技術者のための MPLAB XC16 ユーザガイド 組み込み技術者のための MPLAB XC16 ユーザガイド はじめに 本書には 16 ビットデバイスおよび MPLAB XC16 C コンパイラ向けの 5 つのサンプ ルコードを掲載しています これらを使うにはマイクロコントローラと C 言語プログ ラミングに関するある程度の知識が必要です 1. LED を点灯または消灯させる 2. _delay() 関数を使って LED を点滅させる 3. 遅延用に割り込みを使って LED を点滅させる 4. ADC を使ってポテンショメータの値を LED で表示する 5. LED に EEPROM データ値を表示する A. MPLAB X IDE でのコード実行 B. ソフトウェアとハードウェアの入手先 2017 Microchip Technology Inc. DS B_JP - p.1

2 1. LED を点灯または消灯させる 以下の例は PIC24FJ128GA010 プラグインモジュール (PIM) を挿した Explorer 16/32 開発ボード上の LED を点灯または消灯します 詳細はセクション B. ソフトウェアとハードウェアの入手先 を参照してください #include <xc.h> セクション 1.1 参照 // PIC24FJ128GA010 Configuration Bit Settings // For more on Configuration Bits, // consult your device data sheet セクション 1.2 参照 // CONFIG2 #pragma config POSCMOD = XT // XT Oscillator mode selected #pragma config OSCIOFNC = ON // OSC2/CLKO/RC15 as port I/O (RC15) #pragma config FCKSM = CSDCMD // Clock Switching and Monitor disabled #pragma config FNOSC = PRI // Primary Oscillator (XT, HS, EC) #pragma config IESO = ON // Int Ext Switch Over Mode enabled // CONFIG1 #pragma config WDTPS = PS32768 // Watchdog Timer Postscaler (1:32,768) #pragma config FWPSA = PR128 // WDT Prescaler (1:128) #pragma config WINDIS = ON // Watchdog Timer Window Mode disabled #pragma config FWDTEN = OFF // Watchdog Timer disabled #pragma config ICS = PGx2 // Emulator/debugger uses EMUC2/EMUD2 #pragma config GWRP = OFF // Writes to program memory allowed #pragma config GCP = OFF // Code protection is disabled #pragma config JTAGEN = OFF // JTAG port is disabled #define LEDS_ON_OFF 0x55 セクション 1.3 参照 int main(void) { // Port A access AD1PCFG = 0xFFFF; TRISA = 0x0000; LATA = LEDS_ON_OFF; セクション 1.4 参照 // set to digital I/O (not analog) // set all port bits to be output // write to port latch } return 0; 1.1 ヘッダファイル <xc.h> このヘッダファイルは ソースファイル内のコードからコンパイラ固有またはデバイス固有の機能を使えるようにします <xc.h> を含む各種ヘッダファイルは MPLAB XC16 インストールディレクトリ内の support サブディレクトリに保存されています 選択したデバイスに基づき コンパイラは xc.h が適切なデバイス固有ヘッダファイルを指定できるようにマクロを設定します デバイス固有ヘッダをユーザコード内でインクルードしない事が重要です そうするとコードの移植性が失われます DS B_JP - p Microchip Technology Inc.

3 1.2 コンフィグレーションビット Microchip 社製デバイスは 各種デバイス機能の動作を設定または有効化 / 無効化するためのビットを格納したコンフィグレーションレジスタを備えています Note: コンフィグレーションビットを正しく設定しないと デバイスは期待通りに ( あるいは全く ) 機能しません 設定が必要なコンフィグレーションビット特に以下の設定が必要です オシレータの選択 - ハードウェアのオシレータ回路に適合する必要があります 正しく選択しないとデバイスクロックは動作しません 一般的に開発ボードは高速水晶振動子の構成で使います 以下はサンプルコードからの抜粋です #pragma config FNOSC = PRI #pragma config POSCMOD = XT ウォッチドッグタイマ - このタイマは必要になるまで無効にしておく事を推奨します これにより予期せぬリセットを防ぎます 以下はサンプルコードからの抜粋です #pragma config FWDTEN = OFF コード保護 - コード保護は必要になるまで無効にしておきます そうする事でデバイスメモリへのフルアクセスを確保します 以下はサンプルコードからの抜粋です #pragma config GCP = OFF このサンプルコードで使っている MCU とは異なる 16 ビットデバイスを使う場合 上記とは異なるコンフィグレーションビットの設定が必要になる場合があります 対応するコンフィグレーションビットの番号と機能は 各デバイスのデータシートを参照してください データシートは で製品番号を使って検索できます 各デバイスが備えるコンフィグレーションビットの詳細は MPLAB XC16 インストールディレクトリ内の以下の場所に保存されているファイルを参照してください MPLAB XC16 Installation Directory/docs/config_index.html 2017 Microchip Technology Inc. DS B_JP - p.3

4 1.2.2 コンフィグレーションビットの設定方法 MPLAB X IDE では [Configuration Bits] ウィンドウを使ってコンフィグレーションビットを表示および設定できます このウィンドウは メニューを [Window]>[PIC Memory Views]>[Configuration Bits] と選択すると開きます 図 1: [Configuration Bits] ウィンドウ 設定を済ませたら pragma ディレクティブを挿入したい位置でコードをクリックし [Insert Source Code in Editor] アイコンをクリックします または [Generate Source Code to Output] をクリックして [Output] ウィンドウからコードへ pragma ディレクティブをコピーする事もできます 1.3 LED 値マクロの定義 LED に書き込む値は 次のセクションで説明するように マクロ記述 (LEDS_ON_OFF) に割り当て済みです (LED D3 D5 D7 D9 は ON LED D4 D6 D8 D10 は OFF) デモボード回路図の参照先はセクション B. ソフトウェアとハードウェアの入手先 を参照してください 1.4 ポートアクセス デジタル I/O デバイスピンは周辺モジュール I/O ピンと多重化されている場合があります デジタル I/O のみを使うため 多重化されている周辺モジュールは無効にします これには周辺モジュールレジスタとそれらのビットを表す定義済み C 変数を使います これらの変数は コンパイラの include ディレクトリ内にあるデバイス固有ヘッダファイルに書かれています どの周辺モジュールがどのピンを共有しているかは 各デバイスのデータシートを参照してください このセクションのサンプルコードのデバイス (PIC24FJ128GA010) では ポート A ピンが周辺モジュール ( 既定値では無効 ) と多重化されています 唯一の問題は それらのピンが既定値ではアナログとして設定されているという事です このため デジタル I/O として設定する必要があります AD1PCFG = 0xFFFF; // set to digital I/O (not analog) デバイスピンは デジタル I/O ポート (PORT) またはデバイス内のラッチ (LAT) レジスタのどちらかに接続します このサンプルコードでは LATA を使います マクロ LEDS_ON_OFF をラッチに割り当てます LATA = LEDS_ON_OFF; // write to port latch さらに ピン方向 ( 入力または出力 ) の指定に TRIS レジスタを使います このサンプルコードでは TRISD と TRISB を使います ビットを 0 にクリアすると 対応するピンは出力として 1 にセットすると入力として設定されます 以下に例を示します TRISA = 0x0000; // set all port bits to be output DS B_JP - p Microchip Technology Inc.

5 2. _delay() 関数を使って LED を点滅させる 以下のサンプルコードでは 最初のサンプルコードの一部を変更しています このサンプルコードは LED を点灯させるだけでなく点滅させます #include <xc.h> #include <libpic30.h> // PIC24FJ128GA010 Configuration Bit Settings // For more on Configuration Bits, consult your device data sheet // CONFIG2 #pragma config POSCMOD = XT // XT Oscillator mode selected #pragma config OSCIOFNC = ON // OSC2/CLKO/RC15 as port I/O (RC15) #pragma config FCKSM = CSDCMD // Clock Switching and Monitor disabled #pragma config FNOSC = PRI // Primary Oscillator (XT, HS, EC) #pragma config IESO = ON // Int Ext Switch Over Mode enabled // CONFIG1 #pragma config WDTPS = PS32768 // Watchdog Timer Postscaler (1:32,768) #pragma config FWPSA = PR128 // WDT Prescaler (1:128) #pragma config WINDIS = ON // Watchdog Timer Window Mode disabled #pragma config FWDTEN = OFF // Watchdog Timer disabled #pragma config ICS = PGx2 // Emulator/debugger uses EMUC2/EMUD2 #pragma config GWRP = OFF // Writes to program memory allowed #pragma config GCP = OFF // Code protection is disabled #pragma config JTAGEN = OFF // JTAG port is disabled #define LEDS_ON_OFF 0x55 #define LEDS_OFF_ON 0xAA #define IC_DELAY int main(void) { セクション 2.1 参照 // Port A access AD1PCFG = 0xFFFF; // set to digital I/O (not analog) TRISA = 0x0000; // set all port bits to be output while(1) { セクション 2.2 参照 LATA = LEDS_ON_OFF; // write to port latch // delay value change delay32(ic_delay); セクション 2.3 参照 // delay in instruction cycles LATA = LEDS_OFF_ON; // write to port latch delay32(ic_delay); // delay in instruction cycles } } return -1; 2017 Microchip Technology Inc. DS B_JP - p.5

6 2.1 ライブラリヘッダファイル この例では libpic30 コンパイラライブラリの delay32 関数を使います このライブラリにアクセスするには libpic30.h が含まれている必要があります 2.2 while() ループと変数値 ポート A の LED の状態 ( 点灯 / 消灯 ) を変化させるため ループの最初の部分にマクロ LEDS_ON_OFF を割り当て 後の部分にマクロ LEDS_OFF_ON を割り当てます ループは while(1) { } を使って実行します 正常動作では while ループは終了しないため main 関数が戻るという事はエラーがあった事を意味しています この場合 -1 が返ります 2.3 _delay() 関数 実行速度が速いため LED は点滅しているように見えません このため実行速度を遅くする必要があります delay32() はコンパイラが使えるライブラリ関数です 遅延関数の詳細は 16-Bit Language Tools Libraries Reference Manual (DS ) を参照してください DS B_JP - p Microchip Technology Inc.

7 3. 遅延用に割り込みを使って LED を点滅させる 以下のサンプルコードでは 最初のサンプルコードの一部を変更しています そこではループの実行を遅らせるために遅延関数を使いましたが それによってプログラムにデッドタイムが生じました これを防ぐため 以下のサンプルコードではタイマ割り込みを使います #include <xc.h> // PIC24FJ128GA010 Configuration Bit Settings // For more on Configuration Bits, consult your device data sheet // CONFIG2 #pragma config POSCMOD = XT // XT Oscillator mode selected #pragma config OSCIOFNC = ON // OSC2/CLKO/RC15 as port I/O (RC15) #pragma config FCKSM = CSDCMD // Clock Switching and Monitor disabled #pragma config FNOSC = PRI // Primary Oscillator (XT, HS, EC) #pragma config IESO = ON // Int Ext Switch Over Mode enabled // CONFIG1 #pragma config WDTPS = PS32768 // Watchdog Timer Postscaler (1:32,768) #pragma config FWPSA = PR128 // WDT Prescaler (1:128) #pragma config WINDIS = ON // Watchdog Timer Window Mode disabled #pragma config FWDTEN = OFF // Watchdog Timer disabled #pragma config ICS = PGx2 // Emulator/debugger uses EMUC2/EMUD2 #pragma config GWRP = OFF // Writes to program memory allowed #pragma config GCP = OFF // Code protection is disabled #pragma config JTAGEN = OFF // JTAG port is disabled // Interrupt function セクション 3.1 参照 void attribute ((interrupt, no_auto_psv)) _T1Interrupt(void){ // static variable for permanent storage duration static unsigned char portvalue = 0; // write to port latch LATA = portvalue++; // clear this interrupt condition _T1IF = 0; } int main(void) { // Port A access AD1PCFG = 0xFFFF; // set to digital I/O (not analog) TRISA = 0x0000; // set all port bits to be output // Timer1 setup セクション 3.2 参照 T1CON = 0x8010; // timer 1 on, prescaler 1:8, internal clock _T1IE = 1; // enable interrupts for timer 1 _T1IP = 0x001; // set interrupt priority (lowest) while(1); } return -1; 2017 Microchip Technology Inc. DS B_JP - p.7

8 3.1 割り込み関数 isr() interrupt 属性を使う事により 関数を割り込み関数として指定します PSV (Program Space Visibility) も指定する必要があります この簡単な例では PSV は使っていません PSV の詳細は MPLAB XC16 C コンパイラユーザガイド (DS ) を参照してください Timer1 専用の主割り込みベクタ _T1Interrupt を使います 各デバイスの割り込みベクタテーブルは インストールしたコンパイラの docs ディレクトリに収められています この割り込み関数では Timer1 が割り込みを生成するとカウンタ portvalue がインクリメントします 3.2 Timer1 の設定 タイマの設定とタイマ割り込みの有効化のためのコードを main ルーチンに追加する必要があります また ラッチへの代入のための変数値の変更は割り込みサービスルーチンで行います DS B_JP - p Microchip Technology Inc.

9 4. ADC を使ってポテンショメータの値を LED で表示する このサンプルコードでは 前のサンプルコードと同じデバイスとポート A LED を使います しかしこのサンプルコードでは デモボード上のポテンショメータ ( スライダ ) からの値をポート B 経由で ADC に入力し その変換結果を LED で表示します コードは手書きではなく MPLAB Code Configurator (MCC) を使って生成します MCC は MPLAB X IDE の [Available Plugins] タブ ([Tools]>[Plugins] で開く ) を使ってインストールできるプラグインです プラグインのインストール方法は MPLAB X IDE のヘルプを参照してください MCC のインストール情報と MPLAB Code Configurator ユーザガイド (DS ) は 以下のMPLAB Code Configuratorウェブページでご覧になれます このサンプルコードを生成するために使った MCC の設定を図 2 ~ 図 10 に示します 図 2: ADC プロジェクトのリソース - システムモジュール 2017 Microchip Technology Inc. DS B_JP - p.9

10 図 3: ADC プロジェクトのシステムモジュール設定 DS B_JP - p Microchip Technology Inc.

11 図 4: ADC プロジェクトのリソース - ADC モジュール 2017 Microchip Technology Inc. DS B_JP - p.11

12 図 5: ADC プロジェクトの ADC1 設定 図 6 に 選択後の RB5 から AN5 のマップ表示を示します 図 6: ADC プロジェクトの ADC1 ピンリソース DS B_JP - p Microchip Technology Inc.

13 図 7: ADC プロジェクトのリソース - ピンモジュール 図 8: ADC プロジェクトの I/O ピン設定 図 9 でピン RA0:7 が選択されている場合 これらのピンは上記ウィンドウに表示されます RB5 は図 6 で既に選択済みです RB6 と RB7 をデバッグ通信のためにあらかじめ選択しておきます ウィンドウ内にピン設定が表示されたら 各ピンに対してピン設定を表示および選択できます 2017 Microchip Technology Inc. DS B_JP - p.13

14 図 9: ADC プロジェクトの I/O ピンリソース DS B_JP - p Microchip Technology Inc.

15 図 10: ADC プロジェクトのピンパッケージ 2017 Microchip Technology Inc. DS B_JP - p.15

16 以上のようにコードを設定した後に [Project Resources] ウィンドウの [Generate] ボタンをクリックします ( 図 7) MCC はモジュール形式のコードを生成します すなわち main システム 周辺モジュールコードは全て別々のファイルです 各周辺モジュールのヘッダファイルも別々です 潜在的なエラーを捉えるためにトラップファイルが生成されます このアプリケーションでは割り込みは使いません しかし 将来用に割り込みマネージャファイルが生成されます プログラムに機能を追加する場合 必ず main.c を編集する必要があります 生成されたファイル内にある関数またはマクロはプログラムコードに必要ですので再確認してください 図 11: MCC によって生成されるコードの ADC プロジェクトツリー DS B_JP - p Microchip Technology Inc.

17 4.1 変更した main.c コード 編集後の main.c テンプレートファイルを以下に示します 一部のコメントは省略しました ( 省略箇所には < > で囲んだ注釈を記入しています ) main() に追加したコードは赤字で示しています /** Generated Main Source File <See generated main.c file for file information.> /* (c) 2016 Microchip Technology Inc. and its subsidiaries.you may use this software and any derivatives exclusively with Microchip products. <See generated main.c file for additional copyright information.> #include "mcc_generated_files/mcc.h" unsigned int value = 0; /* Main application int main(void) { // initialize the device SYSTEM_Initialize(); while (1) { // Wait for conversion セクション4.2 参照 // and then get result while(!adc1_isconversioncomplete()); value = ADC1_ConversionResultGet(); // Shift for MSb value = value >> 2; // Write to Port Latch/LEDs LATA = value; セクション 4.3 参照 } return -1; } /** End of File 2017 Microchip Technology Inc. DS B_JP - p.17

18 4.2 ADC 変換および結果 MCC で AD1CON1 ビットをセットする事で ADC の有効化 自動サンプル収集 内部カウンタによるサンプリング終了 / 変換開始が行われます 従って main() コードは変換が終了するのを待ち 結果を取得するだけで済みます adc1.c モジュールから以下の関数を使います bool ADC1_IsConversionComplete(void) uint16_t ADC1_ConversionResultGet(void) その他の ADC 機能の設定の詳細は dspic33/pic24 ファミリリファレンスマニュアル (DS61104) のセクション ビットアナログ / デジタルコンバータ (ADC) を参照してください LED は 8 個しかなく ADC 変換結果は 10 ビットであるため 変数 value 内の変換結果はシフトされ最上位ビットが表示されます 分解能の一部は失われます 4.3 ポートラッチと LED への書き込み ADC 変換結果 value は ポート A の LED に表示されます DS B_JP - p Microchip Technology Inc.

19 5. LED に EEPROM データ値を表示する このサンプルコードでは これまでとは異なる Microchip 社製デバイス (PIC24F32KA304 MCU) と Explorer 16/32 ボードを使って EEPROM データ (EEData) を読み書きします 読み取った値は 3 つのポートからアクセスされる LED に表示します コードの一部は MPLAB Code Configurator (MCC) で生成します MCC のインストールおよびユーザガイド入手方法は以下を参照してください セクション 4. ADC を使ってポテンショメータの値を LED で表示する このサンプルコードでは システム ( 例 : オシレータ速度 コンフィグレーションビット ) とポート A B C の汎用 I/O (GPIO) を設定するのに MCC GUI を使いました ( 図 12) しかし現時点では 16 ビットデバイスに利用できる EEData デバイスリソースはありません EEData モジュールを使うためのコードは デバイスのデータシートと dspic33/ PIC24 ファミリリファレンスマニュアル のセクション 5. データ EEPROM を参照してください どちらも以下のデバイスウェブページから入手できます 図 12: EEData プロジェクトのリソース - システムモジュール 2017 Microchip Technology Inc. DS B_JP - p.19

20 図 13: EEData プロジェクトのシステムモジュール設定 DS B_JP - p Microchip Technology Inc.

21 図 14: EEData プロジェクトのリソース - ピンモジュール 図 15: EEData プロジェクトの I/O ピン設定 図 16 でピン RA9:11 RB2:3 RB12 RC8:9 が選択されている場合 これらのピンは上のウィンドウに表示されます RB6 と RB7 をデバッグ通信のためにあらかじめ選択しておきます ウィンドウ内にピン設定が表示されたら 各ピンの設定を表示および選択できます 2017 Microchip Technology Inc. DS B_JP - p.21

22 図 16: EEData プロジェクトの I/O ピンリソース 図 17: EEData プロジェクトのピンパッケージ DS B_JP - p Microchip Technology Inc.

23 以上のようにコードを設定後 [Project Resources] ウィンドウの [Generate] ボタンをクリックします MCC はモジュール形式のコードを生成します すなわち main システム 周辺モジュールコードは全て別々のファイルです 各周辺モジュールのヘッダファイルも別々です 潜在的なエラーを捉えるためにトラップファイルが生成されます このアプリケーションでは割り込みは使いませんが 将来用に割り込みマネージャファイルが生成されます 図 18: MCC によって生成されるコードの EEData プロジェクトツリー 2017 Microchip Technology Inc. DS B_JP - p.23

24 GPIO の生成ファイルは既定値がアナログ入力であるため pin_manager.c ファイル ( セクション 5.1) でデジタル入力に変更する必要があります さらに LED は 1 つのポートではなく 3 つのポートに接続しているため タイプ定義とコードを追加してポートピンを適切な LED 値に割り当てる必要があります ヘッダファイル LEDs.h( セクション 5.2) と C ファイル LEDs.c ( セクション 5.3) はプロジェクトに追加済みです 前述のように 現時点では 16 ビットデバイスに使える EEData デバイスリソースは MCC にないため コードを手動で追加する必要があります ヘッダファイル eedata.h( セクション 5.4) と C ファイル eedata.c ( セクション 5.5) はプロジェクトに追加済みです 図 19 に 最終的なプロジェクトツリーを示します 図 19: EEData プロジェクトツリー - 最終 プログラムに機能を追加する場合 必ず main.c を編集する必要があります ( セクション 5.6) 生成されたファイルまたは追加ファイル内にある関数またはマクロは プログラムコードに必要ですので再確認してください DS B_JP - p Microchip Technology Inc.

25 5.1 変更した pin_manager.c コード 編集後の main.c テンプレートファイルを以下に示します 一部のコメントと生成内容は省略しました ( 省略箇所には < > で囲んだ注釈を記入しています ) 変更したコードは赤字で示しています /** System Interrupts Generated Driver File <See generated pin_manager.c for file information.> Copyright (c) released Microchip Technology Inc. All rights reserved. <See generated pin_manager.c for additional copyright information.> /** Section:Includes #include <xc.h> #include "pin_manager.h" /** void PIN_MANAGER_Initialize(void) void PIN_MANAGER_Initialize(void) { <See generated pin_manager.c for port setup information.> /******************************************************************** * Setting the Analog/Digital Configuration SFR(s) ******************************************************************* ANSA = 0x0; ANSB = 0x0; ANSC = 0x0; } 2017 Microchip Technology Inc. DS B_JP - p.25

26 5.2 LEDs.h コード 一部のコメントは省略しました ( 省略箇所には < > で囲んだ注釈を記入しています ) /* * PICF32KA304 LEDs header * * (c) Copyright Microchip Technology, All rights reserved * <See generated header files for additional copyright information.> /******************************************************************** * Union of structures to hold value for display on LEDs * LAT_LEDx - bit fields of value * w - entire value ****************************************************************** typedef union { struct { unsigned LAT_LED0:1; unsigned LAT_LED1:1; unsigned LAT_LED2:1; unsigned LAT_LED3:1; unsigned LAT_LED4:1; unsigned LAT_LED5:1; unsigned LAT_LED6:1; unsigned LAT_LED7:1; }; struct { unsigned w:16; }; } LAT_LEDSBITS; extern volatile LAT_LEDSBITS LAT_LEDSbits; /* LAT_LEDSBITS #define _LED0 LAT_LEDSbits.LAT_LED0 #define _LED1 LAT_LEDSbits.LAT_LED1 #define _LED2 LAT_LEDSbits.LAT_LED2 #define _LED3 LAT_LEDSbits.LAT_LED3 #define _LED4 LAT_LEDSbits.LAT_LED4 #define _LED5 LAT_LEDSbits.LAT_LED5 #define _LED6 LAT_LEDSbits.LAT_LED6 #define _LED7 LAT_LEDSbits.LAT_LED7 #define _LEDS LAT_LEDSbits.w /******************************************************************** * Function:DisplayValueOnLEDs * Precondition:None. * Overview:Display input value on Explorer 16 LEDs * Input:Value to display * Output:None. ****************************************************************** void DisplayValueOnLEDs(unsigned int value); /** End of File DS B_JP - p Microchip Technology Inc.

27 5.3 LEDs.c コード 一部のコメントは省略しました ( 省略箇所には < > で囲んだ注釈を記入しています ) /** Display on LEDs Source File <See LEDs.c for file description information.> /* Copyright (c) released Microchip Technology Inc. All rights reserved. <See generated header files for additional copyright information.> #include "mcc_generated_files/mcc.h" #include "LEDs.h" volatile LAT_LEDSBITS LAT_LEDSbits; /******************************************************************** * Function:DisplayValueOnLEDs * Precondition:None. * Overview:Display input value on Explorer 16 LEDs * Input:Value to display * Output:None. ****************************************************************** void DisplayValueOnLEDs(unsigned int value);void DisplayValueOnLEDs(unsigned int value) { } _LEDS = value; _LATA9 = _LED0; _LATA10 = _LED1; _LATA11 = _LED2; _LATC8 = _LED3; _LATC9 = _LED4; _LATB12 = _LED5; _LATB2 = _LED6; _LATB3 = _LED7; /** End of File 2017 Microchip Technology Inc. DS B_JP - p.27

28 5.4 eedata.h コード 一部のコメントは省略しました ( 省略箇所には < > で囲んだ注釈を記入しています ) /* * PICF32KA304 Data EEPROM header * * (c) Copyright Microchip Technology, All rights reserved * <See generated header files for additional copyright information.> /******************************************************************** * Function:EEData_WTL * Precondition:None. * Overview:Write one word of EEData * Input:Action to take:erase or Write, Data to write * Output:None. ****************************************************************** void EEData_WTL(unsigned int action, unsigned int data); /******************************************************************** * Function:EEData_Erase * Precondition:None. * Overview:Set up erase of one word of EEData * Input:None. * Output:None. ****************************************************************** void EEData_Erase(void); /******************************************************************** * Function:EEData_Write * Precondition:None. * Overview:Set up write of one word of EEData * Input:Data to write * Output:None. ****************************************************************** void EEData_Write(unsigned int data); /******************************************************************** * Function:EEData_Read * Precondition:None. * Overview:Read one word of EEData * Input:None. * Output:Value read from EEData ****************************************************************** unsigned int EEData_Read(void); /** End of File DS B_JP - p Microchip Technology Inc.

29 5.5 eedata.c コード 一部のコメントは省略しました ( 省略箇所には < > で囲んだ注釈を記入しています ) /** Data EEPROM Write and Read <See eedata.c for file description information.> /* Copyright (c) released Microchip Technology Inc. All rights reserved. <See generated header files for additional copyright information.> #include <xc.h> #include "eedata.h" #define ERASE_EEWORD 0x4058 #define WRITE_EEWORD 0x4004 int attribute ((space(eedata))) eedata = 0x0; unsigned int offset = 0x0; /******************************************************************** * Function:EEData_WTL * Precondition:None. * Overview:Write one word of EEData * Input:Action to take:erase or Write, Data to write * Output:None. ****************************************************************** void EEData_WTL(unsigned int action, unsigned int data) { // Set up NVMCON to write one word of data EEPROM NVMCON = action; // Set up a pointer to the EEPROM location to be written TBLPAG = builtin_tblpage(&eedata); offset = builtin_tbloffset(&eedata); builtin_tblwtl(offset, data); // Issue Unlock Sequence & Start Write Cycle builtin_write_nvm(); } // Wait for completion while(nvmconbits.wr); /******************************************************************** * Function:EEData_Erase * Precondition:None. * Overview:Set up erase of one word of EEData * Input:None. * Output:None. ****************************************************************** void EEData_Erase(void) { } EEData_WTL(ERASE_EEWORD, 0); 2017 Microchip Technology Inc. DS B_JP - p.29

30 /******************************************************************** * Function:EEData_Write * Precondition:None. * Overview:Set up write of one word of EEData * Input:Data to write * Output:None. ****************************************************************** void EEData_Write(unsigned int data) { } EEData_WTL(WRITE_EEWORD, data); /******************************************************************** * Function:EEData_Read * Precondition:None. * Overview:Read one word of EEData * Input:None. * Output:Value read from EEData ****************************************************************** unsigned int EEData_Read(void) { // Set up a pointer to the EEPROM location to be read TBLPAG = builtin_tblpage(&eedata); offset = builtin_tbloffset(&eedata); } // Read the EEPROM data return builtin_tblrdl(offset); /** End of File DS B_JP - p Microchip Technology Inc.

31 5.6 変更した main.c コード 編集後の main.c テンプレートファイルを以下に示します 一部のコメントは省略しました ( 省略箇所には < > で囲んだ注釈を記入しています ) 追加済みコードは赤字で示しています /** Generated Main Source File <See generated main.c for file information.> /* (c) 2016 Microchip Technology Inc. and its subsidiaries.you may use this software and any derivatives exclusively with Microchip products. <See generated main.c for additional copyright information.> #include "mcc_generated_files/mcc.h" #include "eedata.h" #include "LEDs.h" #include "libpic30.h" #define IC_DELAY unsigned int data_write = 0x0; unsigned int data_read = 0x0; /* Main application int main(void) { // initialize the device SYSTEM_Initialize(); while (1) { data_write++; // Erase one word of data EEPROM EEData_Erase(); セクション 5.7 参照 // Write one word of data EEPROM EEData_Write(data_write); // Read one word of data EEPROM data_read = EEData_Read(); // Display result on LEDs DisplayValueOnLEDs(data_read); セクション 5.8 参照 セクション 5.9 参照 // Delay change on LEDs so visible delay32(ic_delay); // delay in instruction cycles } return -1; } /** End of File 2017 Microchip Technology Inc. DS B_JP - p.31

32 5.7 EEData の消去と書き込み EEData に 1 ワードを書き込む場合 以下のシーケンスを実行する必要があります 1. データ EEPROM 内の 1 ワードを消去する 2. データワードをデータ EEPROM ラッチへ書き込む 3. データワードを EEPROM へ書き込む EEData を 1 ワード消去し 1 ワード書き込むコードは eedata.c 内にあります ( セクション 5.5) PIC24F32KA304 デバイスの場合 EEData の消去と書き込みの前に NVMCON 内の NVMKEY にキーシーケンスを書き込む必要があります コーディングを簡潔にするために以下のビルトイン関数を使います unsigned int builtin_tblpage(const void *p); unsigned int builtin_tbloffset(const void *p); void builtin_tblwtl(unsigned int offset, unsigned int data); void builtin_write_nvm(void); これらの関数の詳細は MPLAB XC16 C コンパイラユーザガイド (DS ) の補遺 G. ビルトイン関数 を参照してください 5.8 EEData からの読み出し この例では EEData を書き込んだ後 EEData のワードを読み出します EEData から 1 ワード読み出すコードは eedata.c 内にあります ( セクション 5.5) コーディングを簡潔にするために以下のようなビルトイン関数を使っています unsigned int builtin_tblpage(const void *p); unsigned int builtin_tbloffset(const void *p); unsigned int builtin_tblrdl(unsigned int offset); これらの関数の詳細は MPLAB XC16 C コンパイラユーザガイド (DS ) の補遺 G. ビルトイン関数 を参照してください 5.9 LED へのデータ表示と遅延 3 つのポートが LED に接続しているため デモボード LED へのデータ表示は本デバイスの方が複雑です そのため 表示のために適切なポートピンに各ビットを割り当てる事ができるように データ値全体を割り当てる事ができる共用体データタイプ (LAT_LEDSbits.w) と 個々のビットをアクセスして割り当てる事ができる構造体データタイプ ( 例 : LATAbits.LATA9 = LAT_LEDSbits.LAT_LED0) を使っています 共用体と構造体を生成するコードは LEDs.h 内にあります ( セクション 5.2) ポートピンに LED を割り当てるコードは LEDs.c 内にあります ( セクション 5.5) 実行速度が速いため LED は点滅しているように見えません このため セクション 2. と同様に _delay() 関数で実行速度を遅くします DS B_JP - p Microchip Technology Inc.

33 A. MPLAB X IDE でのコード実行 最初に以下の手順でプロジェクトを作成します 1. MPLAB X IDE を起動する 2. IDE から [New Project] ウィザードを起動する ([File]>[New Project]) 3. 画面の指示に従って以下の手順でプロジェクトを新規作成する a) プロジェクトの選択 : Microchip Embedded を選択し 次に Standalone Project を選択します b) デバイスの選択 : サンプルコードのデバイスを選択します c) ヘッダの選択 : 何も選択しません d) ツールの選択 : 使用中のハードウェア デバッグツールをシリアル番号 (SN) (SNxxxxxx) で選択します デバッグツール名の下に SN が表示されない場合 そのデバッグツールが正しくインストールされているか確認します 詳細は デバッグツールのマニュアルを参照してください e) プラグインボードの選択 : 何も選択しません f) コンパイラの選択 : XC16 ( 最新バージョン番号 ) を選択します ([bin location]) XC16 の下にコンパイラが表示されない場合 コンパイラが正しくインストール されているか および MPLAB X IDE が実行ファイルを検出できているかを確認 します [Tools]>[Options] を選択し [Build Tools] タブの [Embedded] ボタンを クリックして使用中のコンパイラを確認します 詳細はMPLAB XC16とMPLAB X IDE のマニュアルを参照してください g) プロジェクト名とフォルダの選択 : プロジェクト名を指定します 次に サンプルコードを書き込んだファイルを作成します (MCC を使った場合は除き ます ) 1. [Projects] ウィンドウ内でプロジェクト名を右クリックし [New]>[Empty FIle] を 選択する [New Empty File] ダイアログが開く 2. File name に名前を入力する 3. [Finish] をクリックする 4. 本書のサンプルコードを空白のエディタウィンドウにコピー / ペーストし [File]>[Save] を選択する コードのデバッグ実行を選択するとコードがビルドされ デバイスにダウンロード されて実行されます デモボード上の LED が 1 つおきに点灯します 停止アイコン をクリックすると実行は停止します 図 8: ツールバーアイコン デバッグ実行 停止 2017 Microchip Technology Inc. DS B_JP - p.33

34 B. ソフトウェアとハードウェアの入手先 本書の MPLAB XC16 プロジェクトには PIC24F PIM を挿した Explorer 16/32 ボードを使います ボードには外部電源から 9 V を供給し 標準の (ICSP ) 通信を使います 開発には MPLAB X IDE を使いました B.1 MPLAB X IDE と MPLAB XC16 C コンパイラの入手先 MPLAB X IDE (v3.45 以降 ) は以下で入手できます MPLAB XC16 C コンパイラ (v1.26 以降 ) は以下で入手できます B.2 MPLAB Code Configurator (MCC) の入手先 MCC (v3.25 以降 ) は以下で入手できます B.3 PIC MCU プラグインモジュール (PIM) の入手先 サンプルコード向けの PIC MCU PIM は以下の Microchip 社ウェブページで入手できます PIC24FJ128GA010: PIC24F32KA304: B.4 Explorer 16/32 ボードの入手先と設定方法 Explorer 16/32 開発ボード 回路図 文書は以下のウェブページで入手できます ジャンパとスイッチは下表のように設定します 表 1-1: プロジェクト向けのジャンパ / スイッチ選択 ジャンパ / スイッチ 選択 ジャンパ / スイッチ 選択 JP2 ショート J37 オープン J19 オープン J38 オープン J22 オープン J39 既定値 J23 既定値 J41 オープン J25 ショート J42 オープン J26 ショート J43 既定値 J27 オープン J44 既定値 J28 オープン J45 既定値 J29 オープン J50 ショート J33 オープン B.5 Microchip 社製デバッグツールの入手先 エミュレータとデバッガは開発ツールのウェブページで入手できます DS B_JP - p Microchip Technology Inc.

35 Microchip 社製デバイスのコード保護機能に関して以下の点にご注意ください Microchip 社製品は 該当する Microchip 社データシートに記載の仕様を満たしています Microchip 社では 通常の条件ならびに仕様に従って使用した場合 Microchip 社製品のセキュリティレベルは 現在市場に流通している同種製品の中でも最も高度であると考えています しかし コード保護機能を解除するための不正かつ違法な方法が存在する事もまた事実です 弊社の理解では こうした手法は Microchip 社データシートにある動作仕様書以外の方法で Microchip 社製品を使用する事になります このような行為は知的所有権の侵害に該当する可能性が非常に高いと言えます Microchip 社は コードの保全性に懸念を抱いているお客様と連携し 対応策に取り組んでいきます Microchip 社を含む全ての半導体メーカーで 自社のコードのセキュリティを完全に保証できる企業はありません コード保護機能とは Microchip 社が製品を 解読不能 として保証するものではありません コード保護機能は常に進歩しています Microchip 社では 常に製品のコード保護機能の改善に取り組んでいます Microchip 社のコード保護機能の侵害は デジタルミレニアム著作権法に違反します そのような行為によってソフトウェアまたはその他の著作物に不正なアクセスを受けた場合 デジタルミレニアム著作権法の定めるところにより損害賠償訴訟を起こす権利があります 本書に記載されているデバイスアプリケーション等に関する情報は ユーザの便宜のためにのみ提供されているものであり 更新によって無効とされる事があります お客様のアプリケーションが仕様を満たす事を保証する責任は お客様にあります Microchip 社は 明示的 暗黙的 書面 口頭 法定のいずれであるかを問わず 本書に記載されている情報に関して 状態 品質 性能 商品性 特定目的への適合性をはじめとする いかなる類の表明も保証も行いません Microchip 社は 本書の情報およびその使用に起因する一切の責任を否認します 生命維持装置あるいは生命安全用途に Microchip 社の製品を使用する事は全て購入者のリスクとし また購入者はこれによって発生したあらゆる損害 クレーム 訴訟 費用に関して Microchip 社は擁護され 免責され 損害を受けない事に同意するものとします 特に記載のない限り 暗黙的あるいは明示的を問わず Microchip 社が知的財産権を保有しているライセンスは一切譲渡されません Microchip 社では Chandler および Tempe ( アリゾナ州 ) Gresham ( オレゴン州 ) の本部 設計部およびウェハー製造工場そしてカリフォルニア州とインドのデザインセンターが ISO/TS-16949: 2009 認証を取得しています Microchip 社の品質システムプロセスおよび手順は PIC MCU および dspic DSC KEELOQ コードホッピングデバイス シリアル EEPROM マイクロペリフェラル 不揮発性メモリ アナログ製品に採用されています さらに 開発システムの設計と製造に関する Microchip 社の品質システムは ISO 9001:2000 認証を取得しています 商標 Microchip 社の名称とロゴ Microchip ロゴ AnyRate AVR AVR logo AVR Freaks BeaconThings BitCloud CryptoMemory CryptoRF dspic FlashFlex flexpwr Heldo JukeBlox KEELOQ KEELOQlogo Kleer LANCheck LINK MD maxstylus maxtouch MediaLB megaavr MOST MOST logo MPLAB OptoLyzer PIC picopower PICSTART PIC 32 logo Prochip Designer QTouch RightTouch SAM-BA SpyNIC SST SST Logo SuperFlash tinyavr UNI/O および XMEGA は米国およびその他の国における Microchip Technology Incorporated の登録商標です ClockWorks Embedded Control Solutions Company EtherSynch Hyper Speed Control HyperLight Load IntelliMOS mtouch Precision Edge および Quiet-Wire は米国における Microchip Technology Incorporated の登録商標です Adjacent Key Suppression AKS Analog-for-the-Digital Age Any Capacitor AnyIn AnyOut BodyCom chipkit chipkit logo CodeGuard CryptoAuthentication CryptoCompanion CryptoController dspicdem dspicdem.net Dynamic Average Matching DAM ECAN EtherGREEN In-Circuit Serial Programming ICSP Inter-Chip Connectivity JitterBlocker KleerNet KleerNet logo Mindi MiWi motorbench MPASM MPF MPLAB Certified logo MPLIB MPLINK MultiTRAK NetDetach Omniscient Code Generation PICDEM PICDEM.net PICkit PICtail PureSilicon QMatrix RightTouch logo REAL ICE Ripple Blocker SAM-ICE Serial Quad I/O SMART- I.S. SQI, SuperSwitcher SuperSwitcher II Total Endurance TSHARC USBCheck VariSense ViewSpan WiperLock Wireless DNA および ZENA は米国およびその他の Microchip Technology Incorporated の商標です SQTP は米国における Microchip Technology Incorporated のサービスマークです Silicon Storage Technology は他の国における Microchip Technology Inc. の登録商標です GestIC は Microchip Technology Inc. の子会社である Microchip Technology Germany II GmbH & Co. & KG 社の他の国における登録商標です その他本書に記載されている商標は各社に帰属します 2017, Microchip Technology Incorporated, All Rights Reserved. ISBN: Microchip Technology Inc. DS B_JP - p. 35

36 各国の営業所とサービス 北米本社 2355 West Chandler Blvd. Chandler, AZ Tel: Fax: 技術サポート : support URL: アトランタ Duluth, GA Tel: Fax: オースティン TX Tel: ボストン Westborough, MA Tel: Fax: シカゴ Itasca, IL Tel: Fax: ダラス Addison, TX Tel: Fax: デトロイト Novi, MI Tel: ヒューストン TX Tel: インディアナポリス Noblesville, IN Tel: Fax: Tel: ロサンゼルス Mission Viejo, CA Tel: Fax: Tel: ローリー NC Tel: ニューヨーク NY Tel: サンノゼ CA Tel: Tel: カナダ - トロント Tel: Fax: アジア / 太平洋アジア太平洋支社 Suites , 37th Floor Tower 6, The Gateway Harbour City, Kowloon 香港 Tel: Fax: オーストラリア - シドニー Tel: Fax: 中国 - 北京 Tel: Fax: 中国 - 成都 Tel: Fax: 中国 - 重慶 Tel: Fax: 中国 - 東莞 Tel: 中国 - 広州 Tel: 中国 - 杭州 Tel: Fax: 中国 - 香港 SAR Tel: Fax: 中国 - 南京 Tel: Fax: 中国 - 青島 Tel: Fax: 中国 - 上海 Tel: Fax: 中国 - 瀋陽 Tel: Fax: 中国 - 深圳 Tel: Fax: 中国 - 武漢 Tel: Fax: 中国 - 西安 Tel: Fax: アジア / 太平洋中国 - 厦門 Tel: Fax: 中国 - 珠海 Tel: Fax: インド - バンガロール Tel: Fax: インド - ニューデリー Tel: Fax: インド - プネ Tel: 日本 - 大阪 Tel: Fax: 日本 - 東京 Tel: Fax: 韓国 - 大邱 Tel: Fax: 韓国 - ソウル Tel: Fax: または マレーシア - クアラルンプール Tel: Fax: マレーシア - ペナン Tel: Fax: フィリピン - マニラ Tel: Fax: シンガポール Tel: Fax: 台湾 - 新竹 Tel: Fax: 台湾 - 高雄 Tel: 台湾 - 台北 Tel: Fax: タイ - バンコク Tel: Fax: ヨーロッパオーストリア - ヴェルス Tel: Fax: デンマーク - コペンハーゲン Tel: Fax: フィンランド - エスポー Tel: フランス - パリ Tel: Fax: フランス - サン=クルー Tel: ドイツ - ガルヒング Tel: ドイツ - ハーン Tel: ドイツ - ハイルブロン Tel: ドイツ - カールスルーエ Tel: ドイツ - ミュンヘン Tel: Fax: ドイツ - ローゼンハイム Tel: イスラエル - ラーナナ Tel: イタリア - ミラノ Tel: Fax: イタリア - ヴェニス Tel: オランダ - ドリューネン Tel: Fax: ノルウェー - トロンハイム Tel: ポーランド - ワルシャワ Tel: ルーマニア - ブカレスト Tel: スペイン - マドリッド Tel: Fax: スウェーデン - ヨーテボリ Tel: スウェーデン - ストックホルム Tel: イギリス - ウォーキンガム Tel: Fax: /07/16 DS B_JP - p Microchip Technology Inc.

B MPLAB XC HPA Renewal Instructions.book

B MPLAB XC HPA Renewal Instructions.book 優先アクセス (HPA) の概要 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います MPLAB XC HPA の更新方法 MPLAB XC C コンパイラ優先アクセス MPLAB XC PRO C コンパイラをご購入頂くと 1 年間の優先アクセス (HPA) サポートを無償で受けられます その後は年間契約で HPA を購入できます HPA はいつでも購入できます

More information

TB3179 メモリ保護ユニット (MPU) の設定方法 はじめに メモリ保護ユニット (MPU) は Cortex -M7 コアがメモリ保護のために備えているオプションのコンポーネントです MPU はメモリマップを分割し それぞれにアクセス権とルールを設定します 本書では Cortex-M7 ベー

TB3179 メモリ保護ユニット (MPU) の設定方法 はじめに メモリ保護ユニット (MPU) は Cortex -M7 コアがメモリ保護のために備えているオプションのコンポーネントです MPU はメモリマップを分割し それぞれにアクセス権とルールを設定します 本書では Cortex-M7 ベー メモリ保護ユニット (MPU) の設定方法 はじめに メモリ保護ユニット (MPU) は Cortex -M7 コアがメモリ保護のために備えているオプションのコンポーネントです MPU はメモリマップを分割し それぞれにアクセス権とルールを設定します 本書では Cortex-M7 ベースの Microchip 社製 MCU で MPU メモリ領域を設定する方法を説明します MPU の特長は以下の通りです

More information

MPLAB XC8 User’s Guide for Embedded Engineers

MPLAB XC8 User’s Guide for Embedded Engineers 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います 組み込み技術者のための MPLAB XC8 ユーザガイド 組み込み技術者のための MPLAB XC8 ユーザガイド はじめに 本書には 8 ビットデバイスおよび MPLAB XC8 C コンパイラ向けの 5 つのサンプル コードを掲載しています 読者にはマイクロコントローラと C 言語プログラミングに

More information

TB3172 Cortex -M7 マイクロコントローラの XDMAC を使ってオーディオアプリケーションにピンポンバッファリングを実装する方法 はじめに オーディオシステムアプリケーションでは リアルタイムシステムに関する代表的なプロデューサ / コンシューマ問題が発生する可能性があります タイミ

TB3172 Cortex -M7 マイクロコントローラの XDMAC を使ってオーディオアプリケーションにピンポンバッファリングを実装する方法 はじめに オーディオシステムアプリケーションでは リアルタイムシステムに関する代表的なプロデューサ / コンシューマ問題が発生する可能性があります タイミ Cortex -M7 マイクロコントローラの XDMAC を使ってオーディオアプリケーションにピンポンバッファリングを実装する方法 はじめに オーディオシステムアプリケーションでは リアルタイムシステムに関する代表的なプロデューサ / コンシューマ問題が発生する可能性があります タイミングの制約にはレイテンシ サンプリングレート サンプリング期間 リアルタイム応答等があります 通常 オーディオのタイミング問題に対するソリューションを実装するには

More information

Cortex -M キャッシュコントローラを使って決定論的コード性能を達成する方法 TB3186 はじめに マイクロコントローラベース (MCU) の組み込みアプリケーションでは ソフトウェアは不揮発性メモリに保存して実行します この不揮発性メモリとは 通常はフラッシュメモリです フラッシュメモリは

Cortex -M キャッシュコントローラを使って決定論的コード性能を達成する方法 TB3186 はじめに マイクロコントローラベース (MCU) の組み込みアプリケーションでは ソフトウェアは不揮発性メモリに保存して実行します この不揮発性メモリとは 通常はフラッシュメモリです フラッシュメモリは Cortex -M キャッシュコントローラを使って決定論的コード性能を達成する方法 はじめに マイクロコントローラベース (MCU) の組み込みアプリケーションでは ソフトウェアは不揮発性メモリに保存して実行します この不揮発性メモリとは 通常はフラッシュメモリです フラッシュメモリはコードを保存して実行する効率的なメディアですが フラッシュから実行する時に決定論的コード性能を制限する多数の因子があります

More information

TB3177 MPLAB Harmony Configurator (MHC) を使った Hello World アプリケーションの作成 はじめに MPLAB Harmony は互換で相互運用可能なライブラリで構成されたソフトウェアフレームワークであり 周辺モジュールドライバ ミドルウェア システム

TB3177 MPLAB Harmony Configurator (MHC) を使った Hello World アプリケーションの作成 はじめに MPLAB Harmony は互換で相互運用可能なライブラリで構成されたソフトウェアフレームワークであり 周辺モジュールドライバ ミドルウェア システム MPLAB Harmony Configurator (MHC) を使った Hello World アプリケーションの作成 はじめに MPLAB Harmony は互換で相互運用可能なライブラリで構成されたソフトウェアフレームワークであり 周辺モジュールドライバ ミドルウェア システムサービス サードパーティライブラリを含んでいます MPLAB Harmony Configurator (MHC)

More information

PIC10(L)F320/322 Product Brief

PIC10(L)F320/322 Product Brief 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います PIC10(L)F320/322 PIC10(L)F320/322 製品概要 高性能 RISC CPU: 命令は 35 しかなく習得が容易 : - 分岐命令を除き 全てシングルサイクル命令 動作速度 : - DC 16 MHz クロック入力 - DC 250 ns 命令サイクル 最大 1 K

More information

PIC24F Family Reference Manual, Section 9 Watchdog Timer (WDT)

PIC24F Family Reference Manual, Section 9 Watchdog Timer (WDT) 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います セクション 9. ウォッチドッグタイマ (WDT) ハイライト 本セクションには以下の主要項目を記載しています 9.1 はじめに... 9-2 9.2 WDT の動作... 9-3 9.3 レジスタマップ... 9-7 9.4 設計のヒント... 9-8 9.5 関連アプリケーションノート...

More information

SMSC LAN8700 Datasheet

SMSC LAN8700 Datasheet 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います Microchip 社 LAN9252 SDK と Beckhoff 社 EtherCAT SSC の統合 Author: Kansal Mariam Banu Shaick Ibrahim Microchip Technology Inc. 概要 Microchip 社の LAN9252 は

More information

MPLAB Code Configurator v3.xx User’s Guide

MPLAB Code Configurator v3.xx User’s Guide MPLAB Code Configurator v3.xx ユーザガイド 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います 2017 Microchip Technology Inc. DS40001829B_JP Microchip 社製デバイスのコード保護機能に関して以下の点にご注意ください Microchip 社製品は 該当する Microchip

More information

ヒント 2: CWG を使ったハーフブリッジまたはフルブリッジ回路の駆動 ハーフブリッジまたはフルブリッジモータ回路を駆動するために多ピンマイクロコントローラは必ずしも必要ではありません PWM モジュールと CWG モジュールを組み合わせると 少ピンデバイスでも駆動できます 図 2: CWG によ

ヒント 2: CWG を使ったハーフブリッジまたはフルブリッジ回路の駆動 ハーフブリッジまたはフルブリッジモータ回路を駆動するために多ピンマイクロコントローラは必ずしも必要ではありません PWM モジュールと CWG モジュールを組み合わせると 少ピンデバイスでも駆動できます 図 2: CWG によ 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います 新周辺モジュール活用のヒントとコツ 相補波形ジェネレータ (CWG) 構成可能なロジックセル (CLC) 数値制御オシレータ (NCO) 周辺モジュール活用のヒントとコツ はじめに マイクロチップ社は 小型化と高性能化ならびに使いやすさと信頼性の向上を目指して常に先進的な製品を提供しています

More information

AN1019 そのような配慮として 下記の基本的ガイドラインに沿って 可能な限り 環境条件または動作条件を制限する必要があります アプリケーションの温度を可能な限り下げる アプリケーションの電圧 ( または EEPROM の VCC 電圧 ) を可能な限り下げる 書き込みバイト数を可能な限り少なくす

AN1019 そのような配慮として 下記の基本的ガイドラインに沿って 可能な限り 環境条件または動作条件を制限する必要があります アプリケーションの温度を可能な限り下げる アプリケーションの電圧 ( または EEPROM の VCC 電圧 ) を可能な限り下げる 書き込みバイト数を可能な限り少なくす 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います EEPROM 書き込み耐性の基礎 AN1019 Author: 基礎 David Wilkie Microchip Technology Inc. EEPROM の 書き込み耐性 を一言で明確に定義して理解する事はできません 以下で説明するように メーカーごとに定義が異なります 全てのメーカー

More information

RI_JTAG.book

RI_JTAG.book 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います JTAG アダプタ (PIC MCU 向け ) はじめに JTAG アダプタ (AC007) は MPLAB REAL ICE インサーキットエミュレータと PIC ターゲットデバイスの間を接続するために使います このキットは JTAG アダプタボード リボンケーブル 説明書 (DS00009)

More information

PIC16F18446 Sensor Board User's Guide

PIC16F18446 Sensor Board User's Guide 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います PIC16F18446 センサボード まえがき 重要 : お客様へのご注意 : どのような文書でも内容は時間が経つにつれ古くなります 本書も例外ではありません Microchip 社の製品は お客様のニーズを満たすために常に改良を重ねており 実際のダイアログやツールが本書の内容とは異なる場合があります

More information

実習内容 PIC18C242 のポート B に接続した LED を点滅させます ( 他の実習と同じ内容です ) 8 個の LED に表示される値が +3 ずつインクリメントします MPLAB を使って MPASM アセンブラのソース ファイルをアセンブルします MPLAB とソース ファイルは配布し

実習内容 PIC18C242 のポート B に接続した LED を点滅させます ( 他の実習と同じ内容です ) 8 個の LED に表示される値が +3 ずつインクリメントします MPLAB を使って MPASM アセンブラのソース ファイルをアセンブルします MPLAB とソース ファイルは配布し エンベデッド コントロール セミナー 2000 2000 Microchip Technology Incorporated. All Rights Reserved. S9002A Embedded Control Seminar 2000 1 実習内容 PIC18C242 のポート B に接続した LED を点滅させます ( 他の実習と同じ内容です ) 8 個の LED に表示される値が +3

More information

XC8 quick start guide.fm

XC8 quick start guide.fm 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います MPLAB XC8 入門ガイド MPLAB XC8 入門ガイド 本書は MPLAB XC8 C コンパイラをこれから使い始めるプログラマー向けの入門書であり 特に組み込みプログラミングまたは Microchip 社製デバイスに慣れていない読者に配慮しています 本書の主な内容は以下の通りです (

More information

51814a0910.indd

51814a0910.indd Software Tools マイクロチップテクノロジーが提供する HI-TECH C コンパイラ www.microchip.com/hi-tech マイクロチップの OCG(Omniscient Code Generation) HI-TECH Software は組込みシステム用の開発ツールを提供する世界有数のプロバイダーです プログラム全体の最適化 コンパイリングテクノロジー OCG (Omniscient

More information

Pulse Oximeter Design Using Microchip’s Analog Devices and dsPIC Digital Signal Controllers (DSCs)

Pulse Oximeter Design Using Microchip’s Analog Devices and dsPIC Digital Signal Controllers (DSCs) Microchip 社のアナログデバイスと dspic デジタルシグナルコントローラ (DSC) を使ったパルスオキシメータの設計 著者 : Zhang Feng Microchip Technology Inc. はじめに パルスオキシメータは血中の酸素飽和度と心拍数を監視する非侵襲性の医療機器です 本書では Microchip 社のアナログデバイスと dspic デジタルシグナルコントローラ (DSC)

More information

目次 はじめに キャッシュポリシーの概要 サポートされている設定 キャッシュコヒーレンシ問題 キャッシュメンテナンス API を使ったキャッシュコヒーレンシの処理 DMA と CPU が共有するメモリ領域でのキャッシュの無効化..

目次 はじめに キャッシュポリシーの概要 サポートされている設定 キャッシュコヒーレンシ問題 キャッシュメンテナンス API を使ったキャッシュコヒーレンシの処理 DMA と CPU が共有するメモリ領域でのキャッシュの無効化.. Cortex-M7 ベースの MCU におけるキャッシュコヒーレンシの管理 はじめに 本書では各種シナリオでのキャッシュコヒーレンシ問題の概要を説明します また キャッシュコヒーレンシ問題を管理または回避する方法も提案します DS90003195A_JP - p. 1 目次 はじめに...1 1. キャッシュポリシーの概要...3 2. サポートされている設定...4 3. キャッシュコヒーレンシ問題...5

More information

a.fm

a.fm 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います TB3129 PIC マイクロコントローラの信号計測タイマ (SMT) モジュール Author: Ashutosh Tiwari Microchip Technology Inc. 要約本書には 柔軟で便利な信号計測タイマ (SMT) モジュールの技術概要を記載しています 幅広い PIC マイクロコントローラが内蔵する

More information

始める スタート > 全てのプログラム > Cypress > PSoC Creator 2.0 > PSoC Creator 2.0 をクリックします プロジェクトを作成する / 開く Start Page の "Create New Project" をクリックし 要求されたプロジェクト情報を入

始める スタート > 全てのプログラム > Cypress > PSoC Creator 2.0 > PSoC Creator 2.0 をクリックします プロジェクトを作成する / 開く Start Page の Create New Project をクリックし 要求されたプロジェクト情報を入 PSoC Creator クイックスタートガイド インストール http://www.cypress.com/go/creator から PSoC Creator をダウンロードするか キット CD からインストールします 支援が必要な場合は Cypress Support 1-800-541-4736 へ電話して 8 を選択してください 機能 システム要件およびインストールの注意事項については http://www.cypress.com/go/creatordownloads

More information

MPLAB_XC32_UG_EE.fm

MPLAB_XC32_UG_EE.fm 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います 組み込みエンジニア向け MPLAB XC32 ユーザガイド 組み込みエンジニア向け MPLAB XC32 ユーザガイド はじめに 本書には 32 ビットデバイスと MPLAB XC32 C コンパイラ向けの 7 つのサンプルコードを掲載しています 読者にはマイクロコントローラと C 言語プログラミングに関するある程度の知識が必要です

More information

Manchester Decoder Using the CLC and NCO

Manchester Decoder Using the CLC and NCO 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います AN1470 CLC と NCO を使ったマンチェスタデコーダ 著者 : 概要 PIC16F150x が内蔵する CLC( 構成可能なロジックセル ) と NCO ( 数値制御オシレータ ) を使うと マンチェスタデコーダを構築できます PIC16F150x は 低消費電力 XLP 技術に対応したエンハンストコアを実装したデバイスです

More information

SMSC LAN8700 Datasheet

SMSC LAN8700 Datasheet 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います Microchip 社 Ethernet コントローラ スイッチ EtherCAT コントローラのトランスレスアプリケーション Author: Kansal Mariam Banu Shaick Ibrahim Microchip Technology Inc. はじめに Microchip

More information

MSP430 CCSv5 を使い Flash Memory 内容と version 情報を確認する方法 ( テクニック編 ) Rev: PIC Trout 今回は 下記の2 件について説明します 1) CCSv5 を使用して MSP430 の Flash Memory 内容を

MSP430 CCSv5 を使い Flash Memory 内容と version 情報を確認する方法 ( テクニック編 ) Rev: PIC Trout 今回は 下記の2 件について説明します 1) CCSv5 を使用して MSP430 の Flash Memory 内容を MSP430 CCSv5 を使い Flash Memory 内容と version 情報を確認する方法 ( テクニック編 ) Rev:01 10.4.2013 PIC Trout 今回は 下記の2 件について説明します 1) CCSv5 を使用して MSP430 の Flash Memory 内容を確認する方法 JTAG アクセスができるデバイス ( セキュリティ Fuse 断ではできません ) に対して

More information

開発ツール WINDOWS 2000 でのインストールに関する問題 MPLAB ICD 2 USB ドライバの読み込み時に問題が発生する場合 Microsoft Windows Update サイトのパッチが役立つかもしれません このパッチは Windows 2000 SP4 に更新したユーザに適用

開発ツール WINDOWS 2000 でのインストールに関する問題 MPLAB ICD 2 USB ドライバの読み込み時に問題が発生する場合 Microsoft Windows Update サイトのパッチが役立つかもしれません このパッチは Windows 2000 SP4 に更新したユーザに適用 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います 開発ツール 不適正な USB デバイスドライバのアンインストール 推奨アンインストール方法 次に挙げるマイクロチップ社製開発ツールの使用時 不適正なデバイスドライバがインストールされていると問題が発生する可能性があります Windows OS がマイクロチップ社製開発ツールに対して既定値の USB

More information

Lab GPIO_35 GPIO

Lab GPIO_35 GPIO 6,GPIO, PSoC 3/5 GPIO HW Polling and Interrupt PSoC Experiment Lab PSoC 3/5 GPIO Experiment Course Material 6 V2.02 October 15th. 2012 GPIO_35.PPT (65 Slides) Renji Mikami Renji_Mikami@nifty.com Lab GPIO_35

More information

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+)

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+) RL78 開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行 ( 統合開発環境編 ) (High-performance Embedded Workshop CS+) 2017/4/7 R20UT2087JJ0103 ソフトウェア事業部ソフトウエア技術部ルネサスシステムデザイン株式会社 はじめに 本資料は 統合開発環境 High-performance Embedded Workshop

More information

CoIDE 用 F4D_VCP の説明 V /07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x

CoIDE 用 F4D_VCP の説明 V /07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x CoIDE 用 F4D_VCP の説明 V001 2014/07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x08000000 です デバッグが可能です 目次 1. USB の VCP( 仮想 COM ポート )

More information

AN424 Modbus/TCP クイックスタートガイド CIE-H14

AN424 Modbus/TCP クイックスタートガイド CIE-H14 Modbus/TCP クイックスタートガイド (CIE-H14) 第 1 版 2014 年 3 月 25 日 動作確認 本アプリケーションノートは 弊社取り扱いの以下の機器 ソフトウェアにて動作確認を行っています 動作確認を行った機器 ソフトウェア OS Windows7 ハードウェア CIE-H14 2 台 ソフトウェア ezmanager v3.3a 本製品の内容及び仕様は予告なしに変更されることがありますのでご了承ください

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

1. 新規プロジェクト作成の準備新規プロジェクトのためのフォルダを用意して そこにプロジェクトを作成します [ 新しいフォルダー ] をクリックして希望のフォルダに新しいフォルダを作成します この例では TrST_F401N_BlinkLD2 というフォルダを作成しました TrST_F401N_Bl

1. 新規プロジェクト作成の準備新規プロジェクトのためのフォルダを用意して そこにプロジェクトを作成します [ 新しいフォルダー ] をクリックして希望のフォルダに新しいフォルダを作成します この例では TrST_F401N_BlinkLD2 というフォルダを作成しました TrST_F401N_Bl NUCLEO-F401RE の TrueSTUDIO プロジェクト構築方法 V001 2014/09/24 Atollic TrueSTUDIO for ARM Lite を使用して NUCLEO-F401RE のプロジェクトを新規に作成する方法について説明します また ビルドとデバッグについても説明しています 目次 1. 新規プロジェクト作成の準備... 2 2. 新規プロジェクトの作成... 3

More information

MPLAB Code Configurator User’s Guide

MPLAB Code Configurator User’s Guide MPLAB Code Configurator ユーザガイド 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います 2015 Microchip Technology Inc. DS40001725B_JP Microchip 社製デバイスのコード保護機能に関して以下の点にご注意ください Microchip 社製品は 該当する Microchip

More information

1. USB の VCP( 仮想 COM ポート ) について USB の VCP( 仮想 COM ポート ) は USB を非同期シリアル通信として使用するための USB のドライバです PC には VCP ドライバをインストールする必要があります USB の VCP( 仮想 COM ポート )

1. USB の VCP( 仮想 COM ポート ) について USB の VCP( 仮想 COM ポート ) は USB を非同期シリアル通信として使用するための USB のドライバです PC には VCP ドライバをインストールする必要があります USB の VCP( 仮想 COM ポート ) TrueSTUDIO 用 F4D_VCP の説明 V001 2014/07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです 無料の試用版開発ツール Atollic TrueSTUDIO for ARM Lite で作成したプロジェクトです ビルド可能なプログラムのコードサイズが 32Kbyte 以内の制限があります プログラムの開始番地は 0x08000000

More information

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ arduino プログラミング課題集 ( Ver.5.0 2017/06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイコンから伝える 外部装置の状態をマイコンで確認する 信号の授受は 入出力ポート 経由で行う (2) 入出力ポートとは?

More information

PICF/LF1847 表 1: デバイス PICF/LF1847 ファミリの各製品 プログラムメモリフラッシュ ( ワード ) データ EEPROM ( バイト ) SRAM ( バイト ) I/O 10 ビット A/D (ch) タイマ 8/ ビット EUSART MSSP CCP/ ECCP

PICF/LF1847 表 1: デバイス PICF/LF1847 ファミリの各製品 プログラムメモリフラッシュ ( ワード ) データ EEPROM ( バイト ) SRAM ( バイト ) I/O 10 ビット A/D (ch) タイマ 8/ ビット EUSART MSSP CCP/ ECCP ご注意 : この日本語版ドキュメントは 参考資料としてご使用の上 最新情報につきましては 必ず英語版オリジナルをご参照いただきますようお願いします PICF/LF1847 18/20/28 ピン 8 ビットフラッシュマイクロコントローラ製品概要 高性能 RISC CPU: 命令数は 49 で習得が容易 動作速度 : - DC 32 MHz クロック入力 - DC 12 ns 命令サイクル 割り込み機能

More information

DALI App. Note

DALI App. Note 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います AN465 DALI (Digitally Addressable Lighting Interface) 通信 著者 : Shaima Husain Microchip Technology Inc. DALI (Digitally Addressable Lighting Interface)

More information

WAGO / / Modbus/RTU対応 バスカプラ クイックスタートガイド

WAGO / / Modbus/RTU対応 バスカプラ クイックスタートガイド クイックスタート WAGO-I/O-SYSTEM 750 750-315/300-000 750-316/300-000 通信設定手順書 Ver 1.0.0 136-0071 東京都江東区亀戸 1-5-7 ワゴジャパン株式会社オートメーション TEL: Web: 03-5627-2059 FAX:03-5627-2055 http://www.wago.co.jp/io WAGO Kontakttechnik

More information

a.fm

a.fm 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います TB3099 ゼロクロススイッチングによるリレーの長寿命化 Author: Stephen Allen Microchip Technology Inc. はじめに本書では PIC16F1708 マイクロコントローラでゼロクロス検出機能を実装する方法を紹介します 本書では この機能を使って 220

More information

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一 RX210 グループ IRQ 割り込みを使用したパルス出力 要旨 本サンプルコードでは IRQ 割り込みが発生すると 一定期間タイマでパルスを出力する 方法について説明します 対象デバイス RX210 1 / 25 内容 1. 仕様... 3 2. 動作確認条件... 3 3. ハードウェア説明... 3 3.1 使用端子一覧... 3 4. ソフトウェア説明... 4 4.1 動作概要... 4

More information

スライド 1

スライド 1 RX62N 周辺機能紹介データフラッシュ データ格納用フラッシュメモリ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ データフラッシュの概要 プログラムサンプル 消去方法 書き込み方法 読み出し方法 FCUのリセット プログラムサンプルのカスタマイズ 2 データフラッシュの概要 3 データフラッシュとは フラッシュメモリ

More information

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ 第 2 回 本日の内容割り込みとは タイマー 割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれば 次の動作に移るし そうでなければ また少し待ってから同じことを繰り返す

More information

AN2754 USB-to-I2C Bridging with USB7002, USB7050, USB7051, and USB7052 Hubs

AN2754 USB-to-I2C Bridging with USB7002, USB7050, USB7051, and USB7052 Hubs 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います Microchip 社製 USB7002/USB7050/USB7051/USB7052 ハブの USB-to-I 2 C ブリッジ機能 Author: Mick Davis Microchip Technology, Inc. はじめに USB-to-I 2 C ブリッジ機能は Microchip

More information

Eclipse&gcc install and tutorial

Eclipse&gcc install and tutorial Eclipse & Blackfin Toolchain(gcc) インストール & チュートリアル 第 1 版 ご注意 : このドキュメントは旧版となりました 下記 URL から最新版を参照ください http://kaneko-sys.co.jp/support/ 金子システム株式会社 1 ご注意 1. 本資料に記載されている内容は本資料発行時点のものであり 予告なく変更することがあります 当社製品のご購入およびご使用にあたりましては

More information

RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for

RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for ARM Lite 4.2.0 で作成した STM32F4 Discovery 基板用のプロジェクトです

More information

ご注意 : この日本語版ドキュメントは 参考資料としてご使用の上 最新情報につきましては 必ず英語版オリジナルをご参照いただきますようお願いします PIC12F1822/16F182X 8/14/20 ピン 8 ビットフラッシュマイクロコントローラ製品概要 高性能 RISC CPU: 命令数は 49

ご注意 : この日本語版ドキュメントは 参考資料としてご使用の上 最新情報につきましては 必ず英語版オリジナルをご参照いただきますようお願いします PIC12F1822/16F182X 8/14/20 ピン 8 ビットフラッシュマイクロコントローラ製品概要 高性能 RISC CPU: 命令数は 49 ご注意 : この日本語版ドキュメントは 参考資料としてご使用の上 最新情報につきましては 必ず英語版オリジナルをご参照いただきますようお願いします 8/14/20 ピン 8 ビットフラッシュマイクロコントローラ製品概要 高性能 RISC CPU: 命令数は 49 で習得が容易 動作速度 : - DC 32 MHz クロック入力 - DC 125 ns 命令サイクル 割り込み機能 自動コンテキスト保存機能付き

More information

DS70172A_JP

DS70172A_JP ご注意 : この日本語版ドキュメントは 参考資料としてご使用の上 最新情報につきましては 必ず英語版オリジナルをご参照いただきますようお願いします dspic30f から dspic33f へ dspic30f から dspic33f への移行ガイドライン 著者 : 概説 Richard L. Fischer Microchip Technology Inc. 本書は dspic30f から dspic33f

More information

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ 第 2 回 本日の内容割り込みとは タイマー 割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれば 次の動作に移るし そうでなければ また少し待ってから同じことを繰り返す

More information

Microsoft Word - CBSNet-It連携ガイドver8.2.doc

Microsoft Word - CBSNet-It連携ガイドver8.2.doc (Net-It Central 8.2) 本ガイドでは ConceptBase Search Lite.1.1 と Net-It Central 8.2 の連携手順について説明します 目次 1 はじめに...2 1.1 本書について...2 1.2 前提条件...2 1.3 システム構成...2 2 ConceptBase のインストール...3 2.1 インストールと初期設定...3 2.2 動作確認...3

More information

1. A/D 入力について分解能 12bit の A/D コンバータ入力です A/D 入力電圧とディジタル値との対応は理論上 入力電圧 0V : 0 入力電圧 +3V : 4095 です 実際はオフセットと傾きがあり ぴったりこの数値にはなりません 2. A/D 入力に使用する信号 STM32L_A

1. A/D 入力について分解能 12bit の A/D コンバータ入力です A/D 入力電圧とディジタル値との対応は理論上 入力電圧 0V : 0 入力電圧 +3V : 4095 です 実際はオフセットと傾きがあり ぴったりこの数値にはなりません 2. A/D 入力に使用する信号 STM32L_A STM32L_ADC の説明 V003 2014/03/30 STM32L-Discovery の A/D 入力を行うプログラムです A/D CH0 ~ A/D CH3 の 4 本の入力が可能です 提供する PC のアプリケーション Access_SerialPort を使用して UART( 非同期シリアル通信 ) により A/D 入力の表示を行うことができます 無料の開発ツール Atollic TrueSTUDIO

More information

PIC

PIC PIC - 1 ページ 2 週目 UBW を使用してみる 2010 年 5 月 23 日 15:28 前回の Gainer mini はあくまでも PC 側にプログラムがあり PIC は IO ボックス的な使用しかできなかった 入出力デバイスとして PIC を使う場合は 簡易で便利であるが それ以上の事 (PC なしでの動作 PC と対等の動作 ) は出来ない 今週からは 本来の PIC としての使い方を学ぶ

More information

Microchip Capacitive Proximity Design Guide

Microchip Capacitive Proximity Design Guide 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います マイクロチップ社の静電容量式近接検出設計ガイド 著者 : はじめに Xiang Gao Microchip Technology Inc. 近接検出を使うと 物理的接触なしに電子装置を操作できます この技術は製品の見た目と使いやすさを向上させ 消費電力を低減します 近接検出機能の実装には 磁気

More information

本日の内容 導入 回路の作製 回路図から配線図へ ブレッドボードを使った試作回路の作製 マイコンへのプログラム書き込み GPIOの実験

本日の内容 導入 回路の作製 回路図から配線図へ ブレッドボードを使った試作回路の作製 マイコンへのプログラム書き込み GPIOの実験 本日の内容 導入 回路の作製 回路図から配線図へ ブレッドボードを使った試作回路の作製 マイコンへのプログラム書き込み GPIOの実験 マイコン マイクロコントローラ 小型のコンピュータ Z80などは 通常のパソコンと同じように CPU メモリ パラレル シリアルIO タイ マなどが別々の部品だったが 最近主流のPIC AVR ARM の一部 等は これらをすべて一つのチップ に集積化したワンチップマイコン

More information

Notes and Points for TMPR454 Flash memory

Notes and Points for TMPR454 Flash memory 表紙 TMPR454 内蔵 Flash メモリ対応版手順書 株式会社 DTS インサイト ご注意 (1) 本書の内容の一部または 全部を無断転載することは禁止されています (2) 本書の内容については 改良のため予告なしに変更することがあります (3) 本書の内容について ご不明な点やお気付きの点がありましたら ご連絡ください (4) 本製品を運用した結果の影響については (3) 項にかかわらず責任を負いかねますのでご了承ください

More information

ETCB Manual

ETCB Manual ETCB Manual HARDWARE & PROGRAMMING MANUAL 目次 始めに ETCB 仕様 開発環境の構築 01 始めに 始めに 始めに 注意事項 免責事項 同梱品 02 始めに サポート 03 ETCB 仕様 ETCB 仕様 概要 仕様 項目サブ項目内容電源推奨入力電圧 6.6V~12V 最大入力電圧 16V 出力電圧内部 3.3V 外部 5.0V 最大出力電流値最大 2.2A

More information

S1C17 Family Application Note S1C17 シリーズ PORT 多重割り込みアプリケーションノート Rev.1.0

S1C17 Family Application Note S1C17 シリーズ PORT 多重割り込みアプリケーションノート Rev.1.0 S1C17 Family Application Note S1C17 シリーズ PORT 多重割り込みアプリケーションノート Rev.1.0 評価ボード キット 開発ツールご使用上の注意事項 1. 本評価ボード キット 開発ツールは お客様での技術的評価 動作の確認および開発のみに用いられることを想定し設計されています それらの技術評価 開発等の目的以外には使用しないで下さい 本品は 完成品に対する設計品質に適合していません

More information

Microsoft Word - DWR-S01D_Updater_取扱説明書_120514A.doc

Microsoft Word - DWR-S01D_Updater_取扱説明書_120514A.doc DWR-S01D Updater 取扱説明書 発行日 :2012/5/14 目次 概要...3 機能...3 準備するもの...3 本ソフトウェアについて...3 インストール手順...4 USBドライバーのインストール手順...8 デバイスマネージャーからのUSBドライバーのインストール手順...11 アップデート手順...16 アップデート後の確認...17 アップデートに失敗した場合...17

More information

1. 概念 STM32F4 Discovery 基板は Mini USB を接続して デバッグやプログラムの書き込みができるようになっています 通常は CPU の 0x 番地からプログラムを実行します では なぜわざわざこのプロジェクトの雛形を使用して CPU の 0x

1. 概念 STM32F4 Discovery 基板は Mini USB を接続して デバッグやプログラムの書き込みができるようになっています 通常は CPU の 0x 番地からプログラムを実行します では なぜわざわざこのプロジェクトの雛形を使用して CPU の 0x Base_STM32F4_Discovery の説明 2013/09/05 STM32F4 Discovery 基板の CPU STM32F407VG の FlashMemory 0x08010000 番地に書き込んで実行させる ユーザープログラムのためのプロジェクトの雛形です 本プロジェクトを元にユーザープログラムを作成して USB 経由で CPU に書き込みます USB 経由で CPU にプログラムを書き込むためには

More information

Visual DSP++ install and tutorial

Visual DSP++ install and tutorial Visual DSP++ インストール & チュートリアル 第 3 版 金子システム株式会社 1 ご注意 1. 本資料に記載されている内容は本資料発行時点のものであり 予告なく変更することがあります 当社製品のご購入およびご使用にあたりましては 当社ホームページを通じて公開される情報を参照ください 2. 当社から提供する情報の正確性と信頼性には万全を尽くしていますが 誤りがないことを保証するものではありません

More information

<4D F736F F D208A4A94AD8AC28BAB82CC8D5C927A8EE88F878F912E646F63>

<4D F736F F D208A4A94AD8AC28BAB82CC8D5C927A8EE88F878F912E646F63> tk-pic1827 開発環境の構築手順書 (Ver.2.00) 1 MPLAB X IDE と MPLAB XC8 のダウンロード P.1 2 開発環境のインストール P.6 3 プロジェクトの新規作成 P.6 4 ソースリストの入力 P.11 5 ビルド P.16 6 PICkit3 を使って PIC16F1827 へダウンロード P.18 7 PICkit3 を使ったデバッグ P.20 付録

More information

USB.mif

USB.mif 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います AN1142 組み込み USB ホストにおけるマスストレージクラス Author: はじめに Microchip 社の USB OTG モジュール内蔵マイクロコントローラを使うと マイクロコントローラアプリケーションで USB 組み込みホスト機能を容易にサポートできます この機能の代表的な用途の

More information

Visual DSP++ install and tutorial

Visual DSP++ install and tutorial Visual DSP++ インストール & チュートリアル 第 4 版 金子システム株式会社 1 ご注意 1. 本資料に記載されている内容は本資料発行時点のものであり 予告なく変更することがあります 当社製品のご購入およびご使用にあたりましては 当社ホームページを通じて公開される情報を参照ください 2. 当社から提供する情報の正確性と信頼性には万全を尽くしていますが 誤りがないことを保証するものではありません

More information

[DS50-N A] BIOS マニュアル BIOS セットアップユーティリティとは BIOS セットアップユーティリティとは BIOS の設定を確認 変更するためのツールです セットアップユーティリティは 本体に内蔵されているマザーボード上のフラッシュメモリーに格納されています このユ

[DS50-N A] BIOS マニュアル BIOS セットアップユーティリティとは BIOS セットアップユーティリティとは BIOS の設定を確認 変更するためのツールです セットアップユーティリティは 本体に内蔵されているマザーボード上のフラッシュメモリーに格納されています このユ BIOS マニュアル BIOS セットアップユーティリティとは BIOS セットアップユーティリティとは BIOS の設定を確認 変更するためのツールです セットアップユーティリティは 本体に内蔵されているマザーボード上のフラッシュメモリーに格納されています このユーティリティで定義される設定情報は チップセット上の CMOS RAM と呼ばれる特殊な領域に格納 されます この設定情報は マザーボードに搭載されているバックアップ電池により保存されます

More information

SLCONFIG の操作 JF1PYE Ⅰ. PC と slconfig の通信設定 Ⅱ. Slconfig の操作 Ⅲ. 端末ソフトによる Command 機能 Ⅳ. slconfig 実行形式プログラムの作成 Ⅴ. 端末ソフト Tera Term のダウンロード インストー

SLCONFIG の操作 JF1PYE Ⅰ. PC と slconfig の通信設定 Ⅱ. Slconfig の操作 Ⅲ. 端末ソフトによる Command 機能 Ⅳ. slconfig 実行形式プログラムの作成 Ⅴ. 端末ソフト Tera Term のダウンロード インストー SLCONFIG の操作 2011.03.02 JF1PYE Ⅰ. PC と slconfig の通信設定 Ⅱ. Slconfig の操作 Ⅲ. 端末ソフトによる Command 機能 Ⅳ. slconfig 実行形式プログラムの作成 Ⅴ. 端末ソフト Tera Term のダウンロード インストール 設定 Soliloc-10G Slconfig の開発 提供ならびに本書を作成するに当たり情報提供を頂いた

More information

Flash Loader

Flash Loader J MA1309-A プロジェクターファームウェア更新ガイド 本書はお読みになった後も大切に保管してください 本書の最新版は下記ウェブサイトに公開されております http://world.casio.com/manual/projector/ Microsoft Windows Windows Vistaは米国 Microsoft Corporationの米国およびその他の国における登録商標または商標です

More information

ここをクリックしてダウンロードする ダウンロードした zip ファイルを解凍する とインストーラが現れます インストーラを 起動してインストールを開始しましょう イ ンストーラの [ Next > ] ボタンを押してい けばインストールできます ライセンスの画面では I accept the ter

ここをクリックしてダウンロードする ダウンロードした zip ファイルを解凍する とインストーラが現れます インストーラを 起動してインストールを開始しましょう イ ンストーラの [ Next > ] ボタンを押してい けばインストールできます ライセンスの画面では I accept the ter mikroc PRO for PIC の使用法 入門編 この文書は PIC マイコンの C 言語開発環境である MikroElektronika 社の mikroc PRO for PIC のインストー ル方法と使い方の概要を説明したものです 評価版の mikroc は MikroElektronika 社のホームページからダウ ンロードできます この文書は 2010 年 12 月 25 日現在の情報に基づいて書かれてます

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション マイコンプログラミング演習 I 第 04-05 回 LEDを用いたI/O 制御担当 : 植村 実験の目的 本実験ではマイコンシステムを用いた信号の入出力の制御方法を理解することを目的とし, マイコンのアーキテクチャを理解 実装するとともに, アセンブラによるプログラミング技術の習得を行う. 回路の構成として,PIC16F84A を用いてスイッチを入力とする LED の点灯 / 消灯の出力操作を行う回路ならびにアセンブラプログラムを実装する.

More information

改訂履歴 改訂日付 改訂内容 2014/11/01 初版発行 2017/01/16 Studuino web サイトリニューアルに伴う改訂 2017/04/14 Studuino web サイトリニューアルに伴うアクセス方法の説明変更 2018/01/22 Mac 版インストール手順変更に伴う改訂

改訂履歴 改訂日付 改訂内容 2014/11/01 初版発行 2017/01/16 Studuino web サイトリニューアルに伴う改訂 2017/04/14 Studuino web サイトリニューアルに伴うアクセス方法の説明変更 2018/01/22 Mac 版インストール手順変更に伴う改訂 Arduino IDE 環境 設定手順書 Windows/Mac 用 2014/11/01 作成 2018/01/22 改訂 改訂履歴 改訂日付 改訂内容 2014/11/01 初版発行 2017/01/16 Studuino web サイトリニューアルに伴う改訂 2017/04/14 Studuino web サイトリニューアルに伴うアクセス方法の説明変更 2018/01/22 Mac 版インストール手順変更に伴う改訂

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

DWR-S01D Updater 取扱説明書 発行日 :2011/2/28

DWR-S01D Updater 取扱説明書 発行日 :2011/2/28 DWR-S01D Updater 取扱説明書 発行日 :2011/2/28 目次 概要概要...3 機能機能...3 準備準備するものするもの...3 本ソフトウェアソフトウェアについてについて...3 インストールインストール手順手順...4 USB ドライバーのインストールインストール手順手順...8 デバイスマネージャーデバイスマネージャーからの USB ドライバーのインストールインストール手順手順...11

More information

Nios II Flash Programmer ユーザ・ガイド

Nios II Flash Programmer ユーザ・ガイド ver. 8.0 2009 年 4 月 1. はじめに 本資料は Nios II 開発環境においてフラッシュメモリ または EPCS へのプログラミングを行う際の参考マニュアルです このマニュアルでは フラッシュメモリの書き込みの際に最低限必要となる情報を提供し さらに詳しい情報はアルテラ社資料 Nios II Flash Programmer User Guide( ファイル名 :ug_nios2_flash_programmer.pdf)

More information

VPN 接続の設定

VPN 接続の設定 VPN 接続の設定 AnyConnect 設定の概要, 1 ページ AnyConnect 接続エントリについて, 2 ページ ハイパーリンクによる接続エントリの追加, 2 ページ 手動での接続エントリの追加, 3 ページ ユーザ証明書について, 4 ページ ハイパーリンクによる証明書のインポート, 5 ページ 手動での証明書のインポート, 5 ページ セキュアゲートウェイから提供される証明書のインポート,

More information

本書は INpMac v2.20(intime 5.2 INplc 3 Windows7/8/8.1に対応 ) の内容を元に記載しています Microsoft Windows Visual Studio は 米国 Microsoft Corporation の米国及びその他の国における登録商標です

本書は INpMac v2.20(intime 5.2 INplc 3 Windows7/8/8.1に対応 ) の内容を元に記載しています Microsoft Windows Visual Studio は 米国 Microsoft Corporation の米国及びその他の国における登録商標です ACTIVE TOUCH 拡張部品取扱説明書 - 共有メモリアクセスコンポーネント - 1. はじめに 1 (1) 概要... 1 (2) INpMac のインストール... 1 2. Windows アプリケーションとの連携 2 (1) コントロール ( 部品 ) の登録... 2 (2) データの関連付け... 3 3. INtime アプリケーションとの連携 4 (1) 部品 ( コンポーネント

More information

1. プログラム実行時の動作プログラムを実行すると以下のように動作します 1) NUCLEO-F401RE 上の LED LD2( 緑 ) が 200mSec 間隔で点滅します 2. プロジェクトの構成 2.1. プロジェクト F401N_BlinkLD2 の起動画面 TrueSTUDIO で作成し

1. プログラム実行時の動作プログラムを実行すると以下のように動作します 1) NUCLEO-F401RE 上の LED LD2( 緑 ) が 200mSec 間隔で点滅します 2. プロジェクトの構成 2.1. プロジェクト F401N_BlinkLD2 の起動画面 TrueSTUDIO で作成し TrueSTUDIO 用 F401N_BlinkLD2 の説明 V003 2014/10/01 TIM11 の割り込みを使用して LED 点滅を行う NUCLEO-F401RE のプロジェクトサンプルです NUCLEO-F401RE は STMicroelectronics 社製の Cortex-M4 ARM CPU である STM32F401RET6 を搭載した基板です 試用版の開発ツール Atollic

More information

スライド 1

スライド 1 RX ファミリ用コンパイラスタートアップの紹介 ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ スタートアップの概要 スタートアッププログラム例 外部メモリを利用する場合の設定 2 スタートアップの概要 3 処理の流れとファイル構成例 パワーオン リセット Fixed_Vectors ( 固定ベクタテーブル )

More information

RF2_BIOS一覧

RF2_BIOS一覧 Main Main Menu System Time System Date hh:mm:ss( 時 :00~23/ 分 :00~59/ 秒 :00~59) www mm/dd/yyyy ( 曜日 : 自動設定 / 月 :01~12/ 日 :01~31/ 年 :1980~2099) Advanced Internal Pointing Device Enabled Enabled/Disabled

More information

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装 LIM Corp. Nios II - PIO を使用した I 2 C-Bus (2 ワイヤ ) マスタの実装 ver.1.0 2010 年 6 月 ELSEN,Inc. 目次 1. はじめに... 3 2. 適用条件... 3 3. システムの構成... 3 3-1. SOPC Builder の設定... 3 3-2. PIO の設定... 4 3-2-1. シリアル クロック ライン用 PIO

More information

HP ThinUpdateを使用したWESシンクライアントイメージリストア手順書

HP ThinUpdateを使用したWESシンクライアントイメージリストア手順書 Technical white paper HP ThinUpdate を使用した Windows Embedded シンクライアント OS のイメージリカバリ手順 2015 年 11 月 目次 HP ThinUpdate とは? 2 対応する機種と OS イメージ 2 HP ThinUpdate のインストール 3 HP ThinUpdate を使用した OS リカバリ用 USB メモリの作成 9

More information

スクールCOBOL2002

スクールCOBOL2002 (h) 登録集原文の指定方法 . 登録集原文の指定方法 複数の COBOL プログラムに共通の記述を別のソースファイルとしておき COPY 文で取り込むことができます 登録集原文の概念図を下欄に示します このようにすると コーディング量を削減でき 記述ミスもなくなるため 開発効率を高めることができます ここでは 第 章で実習した reidai.cbl というソースファイルの DATA0 と YYMMDD

More information

SDC_SDIO_STM32F4 の説明 2013/09/17 SDIO インターフェースで SD カードをアクセスするプログラムのプロジェクトサンプルです FAT でファイルアクセスするために FatFs( 汎用 FAT ファイルシステム モジュール ) を使用しています VCP(USB 仮想 C

SDC_SDIO_STM32F4 の説明 2013/09/17 SDIO インターフェースで SD カードをアクセスするプログラムのプロジェクトサンプルです FAT でファイルアクセスするために FatFs( 汎用 FAT ファイルシステム モジュール ) を使用しています VCP(USB 仮想 C SDC_SDIO_STM32F4 の説明 2013/09/17 SDIO インターフェースで SD カードをアクセスするプログラムのプロジェクトサンプルです FAT でファイルアクセスするために FatFs( 汎用 FAT ファイルシステム モジュール ) を使用しています VCP(USB 仮想 COM ポート : 非同期シリアル通信 ) を使用して SD カードのアクセスを試験することができます

More information

Notes and Points for TM4C123Gx Internal Flash memory

Notes and Points for TM4C123Gx Internal Flash memory 表紙 TI 社製 TM4C123GH6PM 内蔵 Flash メモリ対応手順書 株式会社 DTS インサイト ご注意 (1) 本書の内容の一部または 全部を無断転載することは禁止されています (2) 本書の内容については 改良のため予告なしに変更することがあります (3) 本書の内容について ご不明な点やお気付きの点がありましたら ご連絡ください (4) 本製品を運用した結果の影響については (3)

More information

WAGO PROFIBUS バスカプラ/コントローラと、QJ71PB92Dとのコンフィグレーションマニュアル

WAGO PROFIBUS バスカプラ/コントローラと、QJ71PB92Dとのコンフィグレーションマニュアル < 概要 > WAGO-I/O-SYSTEM750 シリーズ PROFIBUS バスカプラ / コントローラと 三菱電機 製 PROFIBUS ユニット QJ71PB92D とのコンフィグレーション手順を説明しております < 使用機器接続図 > 下記機器を準備し 図の通り接続しました WAGO-I/O-SYSTEM PROFIBUS バスカプラ / コントローラ 750-xxx および I/O モジュール

More information

Multi-Tool Design Advisory

Multi-Tool Design Advisory 注 意 : この 日 本 語 版 文 書 は 参 考 資 料 としてご 利 用 ください 最 新 情 報 は 必 ずオリジナルの 英 語 版 をご 参 照 願 います 開 発 ツールの 設 計 注 意 書 各 種 ツールの 設 計 注 意 書 (DS51764) この 注 意 書 は 以 下 の 開 発 ツールに 適 用 します MPLAB REAL ICE インサーキット エミュレータ MPLAB

More information

はじめにお読みください

はじめにお読みください はじめにお読みください - 重要 - 本製品の梱包箱に添付されていた ソフトウェアのご使用条件 は本製品の使用許諾についての証明になりますので 大切に保管してください 本製品の内容は 予告なく変更されることがあります 本製品に関する最新の情報は ハンディターミナルポータルサイトをご利用ください < ハンディターミナルポータルサイト > https://www.necplatforms.co.jp/ts/potdev/

More information

著作権および商標 この文書には が所有権を持つ機密事項が含まれます この資料のいかなる部分も許 可無く複製 使用 公開することを固く禁じます 本書は の従業員および許可された 取引先だけに使用が認められています 本書で提供されたデータは正確で信頼性の高いものですが このデータの使用について株式会社

著作権および商標 この文書には が所有権を持つ機密事項が含まれます この資料のいかなる部分も許 可無く複製 使用 公開することを固く禁じます 本書は の従業員および許可された 取引先だけに使用が認められています 本書で提供されたデータは正確で信頼性の高いものですが このデータの使用について株式会社 Version 1.01 著作権および商標 この文書には が所有権を持つ機密事項が含まれます この資料のいかなる部分も許 可無く複製 使用 公開することを固く禁じます 本書は の従業員および許可された 取引先だけに使用が認められています 本書で提供されたデータは正確で信頼性の高いものですが このデータの使用について株式会社 EASEL は責任を負うものではありません は いつでも無断で資料を変更する権利を

More information

Studuinoライブラリ環境設定Mac編

Studuinoライブラリ環境設定Mac編 Studuino ライブラリセット 環境設定手順書 Mac 編 本資料は Studuino ライブラリのセットアップ手順書になります 以下の作業の前に 本資料を参考に Arduino 言語開発環境を設定して下さい Arduino 言語で加速度センサーを制御する Studuino プログラミング環境で Arduino 言語に変換したソースを編集する もくじ 1. Arduino IDE のインストール...

More information

Microsoft Word - MacOSXインストールマニュアル( ).doc

Microsoft Word - MacOSXインストールマニュアル( ).doc 目次 : NTT コミュニケーションズ IC カードリーダライタ ドライバソフトインストールマニュアル 1. はじめに 2. ドライバソフトのインストール 3. IC カードリーダーの状態確認 ----------------------------------------------- 2014.12 4. ドライバソフトのアンインストール 5. ( 参考 ) ドライバソフトの手動インストール方法

More information

WES7シンクライアントIE11アップデート手順書

WES7シンクライアントIE11アップデート手順書 Technical white paper Windows Embedded Standard 7 シンクライアント IE11 アップデート手順書 Contents はじめに 2 対応する機種と OS イメージ 2 IE11 アドオンのダウンロードと展開 2 IE11 アドオンのインストール ( 手動インストール ) 5 HP Device Manager を使用した IE11 アドオンのインストール

More information

DSP5Dアップグレードガイド

DSP5Dアップグレードガイド DSP5D アップグレードガイド このガイドでは DSP5D の各種ファームウェアを最新にアップデートする手順を説明します 必ずお読みください アップデート作業は お客様ご自身の責任において行なっていただきます アップデートを実行する前に 必要なデータはバックアップしておいてください PM5D とカスケード接続している場合は DSP5D をアップデートすると PM5D のアップデートも必要になる場合があります

More information

Notes and Points for ADuCM320 Internal Flash memory

Notes and Points for ADuCM320 Internal Flash memory 表紙 ANALOG DEVICES 社製 ADuCM320 内蔵 Flash メモリ対応手順書 株式会社 DTS インサイト ご注意 (1) 本書の内容の一部または 全部を無断転載することは禁止されています (2) 本書の内容については 改良のため予告なしに変更することがあります (3) 本書の内容について ご不明な点やお気付きの点がありましたら ご連絡ください (4) 本製品を運用した結果の影響については

More information

Microsoft PowerPoint - LAB-03-SR18-ã…Łã‡¡ã‡¤ã…«ã…ªã‡¹ã…‹ã‡¢-v1

Microsoft PowerPoint - LAB-03-SR18-ã…Łã‡¡ã‡¤ã…«ã…ªã‡¹ã…‹ã‡¢-v1 自習 & ハンズオントレーニング資料 System Recovery 18 ファイルのリストア ベリタステクノロジーズ合同会社 テクノロジーセールス & サービス本部 免責事項 ベリタステクノロジーズ合同会社は この文書の著作権を留保します また 記載された内容の無謬性を保証しません VERITAS の製品は将来に渡って仕様を変更する可能性を常に含み これらは予告なく行われることもあります なお 当ドキュメントの内容は参考資料として

More information

FT-450D シリーズ MAIN ファームウェアアップデートマニュアル 本ソフトウェアは FT-450D/FT-450DM/FT-450DS の アップデートファームウェアです FT-450 シリーズのアップデートには使用できません 八重洲無線株式会社

FT-450D シリーズ MAIN ファームウェアアップデートマニュアル 本ソフトウェアは FT-450D/FT-450DM/FT-450DS の アップデートファームウェアです FT-450 シリーズのアップデートには使用できません 八重洲無線株式会社 FT-450D シリーズ MAIN ファームウェアアップデートマニュアル 本ソフトウェアは FT-450D/FT-450DM/FT-450DS の アップデートファームウェアです FT-450 シリーズのアップデートには使用できません 八重洲無線株式会社 重要 本ソフトウェアは FT-450D/FT-450DM/FT-450DS の日本国内向け仕様のアップデートファームウェアです 日本国内向け仕様の

More information

Section 15. Input Capture

Section 15. Input Capture 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います セクション 15. 入力キャプチャ ハイライト 本セクションには下記の主要項目を記載しています 15.1 はじめに... 15-2 15.2 入力キャプチャレジスタ... 15-4 15.3 タイマの選択... 15-8 15.4 入力キャプチャの有効化... 15-8 15.5 入力キャプチャイベントモード...

More information

CoIDE 用 STM32F4_UART2 の説明 V /03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000

CoIDE 用 STM32F4_UART2 の説明 V /03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000 CoIDE 用 STM32F4_UART2 の説明 V002 2014/03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000000 です デバッグが可能です 提供する PC のアプリケーションの Access_SerialPort

More information

スライド 1

スライド 1 マイコンをはじめよう 割り込みを使おう 徳島大学大学院ソシオテクノサイエンス研究部 技術専門職員辻明典 連絡先 : 770-8506 徳島市南常三島町 2-1 TEL/FAX: 088-656-7485 E-mail::a-tsuji@is.tokushima-u.ac.jp 割り込みを使おう 第 8 回 2013/9/14(Sat) 10:00 11:30 2 本日の予定 1 割り込みについて 2

More information

CrossCore Embedded Studio install and tutorial

CrossCore Embedded Studio install and tutorial CrossCore Embedded Studio インストール & チュートリアル 第 2 版 ご注意 : このドキュメントは旧版となりました 下記 URL から最新版を参照ください http://kaneko-sys.co.jp/support/ 金子システム株式会社 1 ご注意 1. 本資料に記載されている内容は本資料発行時点のものであり 予告なく変更することがあります 当社製品のご購入およびご使用にあたりましては

More information

ServerView with Data ONTAP-v インストール前にお読みください

ServerView with Data ONTAP-v インストール前にお読みください * C A 9 2 3 4 4-0 0 6 7 * CA92344-0067-01 ServerView with Data ONTAP-v TM インストール前にお読みください ServerView with Data ONTAP-v TM Software インストール前に必ずお読みください 本書は ServerView with Data ONTAP-v TM Software を使用するために必要となる

More information

Pirates Buster Series Secure Viewer セットアップマニュアル (Web インストーラ)

Pirates Buster Series Secure Viewer セットアップマニュアル (Web インストーラ) Pirates Buster Series Secure Viewer セットアップマニュアル (Web インストーラ ) Pirates Buster for Document Pirates Buster for WebDocument 本書の利用方法 目的と概要本書は Web インストーラを利用した Secure Viewer のインストールについて説明します 利用対象者本書は 暗号化されたファイルの利用者を対象としています

More information

RW-5100 導入説明書 Windows7 用 2017 年 7 月 シャープ株式会社

RW-5100 導入説明書 Windows7 用 2017 年 7 月 シャープ株式会社 RW-5100 導入説明書 Windows7 用 2017 年 7 月 シャープ株式会社 はじめに 本書は Windows 7 環境において IC カードリーダライタ RW-5100 を使用するため お使いのパソコンにデバイスドライバソフトウェア ( 以下 ドライバソフト と記載 ) をインストールする方法について記述しています 本書で説明するドライバソフトは Windows 7 SP1 で動作するものです

More information

本日の内容 導入 回路の作製 回路図から配線図へ ブレッドボードを使った試作回路の作製 マイコンへのプログラム書き込み GPIOの実験

本日の内容 導入 回路の作製 回路図から配線図へ ブレッドボードを使った試作回路の作製 マイコンへのプログラム書き込み GPIOの実験 本日の内容 導入 回路の作製 回路図から配線図へ ブレッドボードを使った試作回路の作製 マイコンへのプログラム書き込み GPIOの実験 マイコン マイクロコントローラ 小型のコンピュータ Z80などは 通常のパソコンと同じように CPU メモリ パラレル シリアルIO タイ マなどが別々の部品だったが 最近主流のPIC AVR ARM の一部 等は これらをすべて一つのチップ に集積化したワンチップマイコン

More information