MPLAB XC8 User’s Guide for Embedded Engineers

Size: px
Start display at page:

Download "MPLAB XC8 User’s Guide for Embedded Engineers"

Transcription

1 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います 組み込み技術者のための MPLAB XC8 ユーザガイド 組み込み技術者のための MPLAB XC8 ユーザガイド はじめに 本書には 8 ビットデバイスおよび MPLAB XC8 C コンパイラ向けの 5 つのサンプル コードを掲載しています 読者にはマイクロコントローラと C 言語プログラミングに 関するある程度の知識が必要です 1. LED を点灯または消灯させる 2. _delay() 関数を使って LED を点滅させる 3. 遅延用に割り込みを使って LED を点滅させる 4. ADC を使ってポテンショメータの値を LED で表示する 5. LED に EEPROM データ値を表示する A) MPLAB X IDE 内でのコード実行 B) ソフトウェアとハードウェアの入手先 Microchip Technology Inc. DS B_JP - p. 1

2 1. LED を点灯または消灯させる 以下のサンプルコードは PIC16F1719 マイクロコントローラ (MCU) を実装した Explorer 8 ボード上の LED を点灯または消灯します 詳細はセクション B. ソフトウェアとハードウェアの入手先 を参照してください #include <xc.h> セクション 1.1 参照 // PIC16F1719 Configuration Bit Settings // For more on Configuration Bits, // consult your device data sheet セクション 1.2 参照 // CONFIG1 #pragma config FOSC = ECH // External Clock, 4-20 MHz #pragma config WDTE = OFF // Watchdog Timer (WDT) disabled #pragma config PWRTE = OFF // Power-up Timer disabled #pragma config MCLRE = ON // MCLR/VPP pin function is MCLR #pragma config CP = OFF // Flash Memory Code Protection off #pragma config BOREN = ON // Brown-out Reset enabled #pragma config CLKOUTEN = OFF // Clock Out disabled. #pragma config IESO = ON // Internal/External Switchover on #pragma config FCMEN = ON // Fail-Safe Clock Monitor enabled // CONFIG2 #pragma config WRT = OFF #pragma config PPS1WAY = ON #pragma config ZCDDIS = ON #pragma config PLLEN = OFF #pragma config STVREN = ON #pragma config BORV = LO #pragma config LPBOR = OFF #pragma config LVP = OFF #define LEDS_ON_OFF 0x55 // Flash Memory Self-Write Protect off // PPS one-way control enabled // Zero-cross detect disabled // Phase Lock Loop disable // Stack Over/Underflow Reset enabled // Brown-out Reset low trip point // Low-Power Brown Out Reset disabled // Low-Voltage Programming disabled セクション 1.3 参照 void main(void) { // Port D access セクション 1.4 参照 ANSELD = 0x0; // set to digital I/O (not analog) TRISD = 0x0; // set all port bits to be output LATD = LEDS_ON_OFF; // write to port latch - RD[0:3] = LED[0:3] // Port B access ANSELB = 0x0; // set to digital I/O (not analog) TRISB = 0x0; // set all port bits to be output LATB = LEDS_ON_OFF; // write to port latch - RB[0:3] = LED[4:7] } return; 1.1 ヘッダファイル <xc.h> このヘッダファイルは ソースファイル内のコードからコンパイラ固有またはデバイス固有の機能を使えるようにします <xc.h> を含む各種ヘッダファイルは MPLAB XC8 インストールディレクトリ内の include サブディレクトリに保存されています ユーザが選択したデバイスに基づき コンパイラは xc.h が適切なデバイス固有ヘッダファイルを指定できるようにマクロを設定します デバイス固有ヘッダをユーザコード内でインクルードしない事が必要です そうするとコードの移植性が失われます DS B_JP - p Microchip Technology Inc.

3 1.2 コンフィグレーションビット Microchip 社製デバイスは 各種デバイス機能の動作を設定または有効化 / 無効化するためのビットを格納したコンフィグレーションレジスタを備えています Note: コンフィグレーションビットを正しく設定しないと デバイスは期待通りに ( あるいは全く ) 機能しません 設定が必要なコンフィグレーションビット 特に以下の設定が必要です オシレータの選択 - ハードウェアのオシレータ回路に適合する必要があります 正しく選択しないとデバイスクロックは動作しません 一般的に開発ボードは高速水晶振動子向けに構成したオシレータを使います 以下はサンプルコードからの抜粋です #pragma config FOSC = ECH ウォッチドッグタイマ - このタイマは必要になるまで無効にしておく事を推奨します これにより予期せぬリセットを防ぎます 以下はサンプルコードからの抜粋です #pragma config WDTE = OFF コード保護 - コード保護は必要になるまで無効にしておきます そうする事でデバイスメモリへのフルアクセスを確保します 以下はサンプルコードからの抜粋です #pragma config CP = OFF サンプルコードで使っている PIC16F1719 MCU とは異なる 8 ビットデバイスを使う場合 上記とは異なるコンフィグレーションビットの設定が必要になる場合があります 対応するコンフィグレーションビットの名前と機能は 各デバイスのデータシートを参照してください データシートは で製品番号を使って検索できます 各デバイスが備えるコンフィグレーションビットの詳細は MPLAB XC8 インストールディレクトリ内の以下の場所に保存されているファイルを参照してください MPLAB XC8 Installation Directory/docs/chips コンフィグレーションビットの設定方法 MPLAB X IDE では [Configuration Bits] ウィンドウを使ってコンフィグレーションビットを表示および設定できます このウィンドウは メニューを [Window]>[PIC Memory Views]>[Configuration Bits] と選択すると開きます 図 1: [Configuration Bits] ウィンドウ 必要な設定を済ませたら [Generate Source Code to Output] をクリックした後に [Output] ウィンドウ内の pragma ディレクティブをコードへコピーします 上記のサンプルコードもそのようにして作成しました Microchip Technology Inc. DS B_JP - p. 3

4 1.3 LED 値マクロの定義 次のセクションで説明するように LED に書き込む値はマクロ記述 (LEDS_ON_OFF) に割り当て済みです例 : (LED D1 D3 D5 D7 は ON LED D2 D4 D6 D8 は OFF) ボードの回路図は Explorer 8 Development Board User s Guide (DS ) のセクション B.4 Explorer 8 ボードの入手先と設定方法 を参照してください 1.4 ポートアクセス デジタル I/O デバイスピンは周辺モジュール I/O ピンと多重化されている場合があります デジタル I/O のみを使うため 多重化されている周辺モジュールは無効にします これには周辺モジュールレジスタとそれらのビットを表す定義済み C 変数を使います これらの変数は コンパイラの include ディレクトリ内にあるデバイス固有ヘッダファイルに書かれています どの周辺モジュールがどのピンを共有しているかは 各デバイスのデータシートを参照してください サンプルコードのデバイス (PIC16F1719) では ポート D ピンとポート B ピンが周辺モジュール ( 既定値では無効 ) と多重化されています 唯一の問題は それらのピンが既定値によってアナログピンとして設定されるという事です このため 明示的にデジタル I/O ピンとして設定する必要があります サンプルコードはポート D を以下のように設定します ANSELD = 0x0; // set to digital I/O (not analog) デバイスピンは デジタル I/O ポート (PORT) またはデバイス内のラッチ (LAT) レジスタのどちらかに接続します サンプルコードは LATD と LATB を使います マクロ LEDS_ON_OFF は両方のラッチに割り当てられます サンプルコードはポート D を以下のように設定します LATD = LEDS_ON_OFF; // write to port latch - RD[0:3] = LED[0:3] 加えて ピンの方向 ( 入力または出力 ) を指定するために TRIS レジスタを使います サンプルコードは TRISD と TRISB を使います あるビットを 0 にクリアすると そのビットに対応するピンは出力として設定されます 1 にセットすると入力として設定されます サンプルコードはポート D を以下のように設定します TRISD = 0x0; // set all port bits to be output DS B_JP - p Microchip Technology Inc.

5 2. _delay() 関数を使って LED を点滅させる 以下のサンプルコードでは 最初のサンプルコードの一部を変更しています このサンプルコードは LED を単純に点灯するのではなく 自動的に点滅させます #include <xc.h> // PIC16F1719 Configuration Bit Settings // For more on Configuration Bits, consult your device data sheet // CONFIG1 #pragma config FOSC = ECH // External Clock, 4-20 MHz #pragma config WDTE = OFF // Watchdog Timer (WDT) disabled #pragma config PWRTE = OFF // Power-up Timer disabled #pragma config MCLRE = ON // MCLR/VPP pin function is MCLR #pragma config CP = OFF // Flash Memory Code Protection off #pragma config BOREN = ON // Brown-out Reset enabled #pragma config CLKOUTEN = OFF // Clock Out disabled. #pragma config IESO = ON // Internal/External Switchover on #pragma config FCMEN = ON // Fail-Safe Clock Monitor enabled // CONFIG2 #pragma config WRT = OFF #pragma config PPS1WAY = ON #pragma config ZCDDIS = ON #pragma config PLLEN = OFF #pragma config STVREN = ON #pragma config BORV = LO #pragma config LPBOR = OFF #pragma config LVP = OFF // Flash Memory Self-Write Protect off // PPS one-way control enabled // Zero-cross detect disabled // Phase Lock Loop disable // Stack Over/Underflow Reset enabled // Brown-out Reset low trip point // Low-Power Brown Out Reset disabled // Low-Voltage Programming disabled #define LEDS_ON_OFF 0x05 #define LEDS_OFF_ON 0x0A #define INSTR_CYCLE_DELAY void main(void) { // Port D access ANSELD = 0x0; // set to digital I/O (not analog) TRISD = 0x0; // set all port bits to be output // Port B access ANSELB = 0x0; // set to digital I/O (not analog) TRISB = 0x0; // set all port bits to be output while(1) { セクション 2.1 参照 LATD = LEDS_ON_OFF; // RD[0:3] = LED[0:3] LATB = LEDS_ON_OFF; // RB[0:3] = LED[4:7] // delay value change セクション 2.2 参照 _delay(instr_cycle_delay); // delay in instruction cycles LATD = LEDS_OFF_ON; // RD[0:3] = LED[0:3] LATB = LEDS_OFF_ON; // RB[0:3] = LED[4:7] _delay(instr_cycle_delay); // delay in instruction cycles } } return; Microchip Technology Inc. DS B_JP - p. 5

6 2.1 while() ループと変数値 ポート D とポート B の LED の状態 ( 点灯 / 消灯 ) を変更するため ループの最初の部分にマクロ LEDS_ON_OFF を割り当て 後の部分にマクロ LEDS_OFF_ON を割り当てます ループは while(1) { } を使って実行します 2.2 _delay() 関数 実行速度が速いため LED は点滅しているように見えません このため実行速度を遅くする必要があります _delay() はコンパイラのビルトイン関数です このビルトイン関数の詳細は MPLAB XC8 C Compiler User s Guide (DS ) を参照してください DS B_JP - p Microchip Technology Inc.

7 3. 遅延用に割り込みを使って LED を点滅させる 以下のサンプルコードでは 最初のサンプルコードの一部を変更しています そこではループの実行を遅らせるために遅延ループを使いましたが それによってプログラムにデッドタイムが生じました これを防ぐため 以下のサンプルコードではタイマ割り込みを使います #include <xc.h> // PIC16F1719 Configuration Bit Settings // For more on Configuration Bits, consult your device data sheet // CONFIG1 #pragma config FOSC = ECH // External Clock, 4-20 MHz #pragma config WDTE = OFF // Watchdog Timer (WDT) disabled #pragma config PWRTE = OFF // Power-up Timer disabled #pragma config MCLRE = ON // MCLR/VPP pin function is MCLR #pragma config CP = OFF // Flash Memory Code Protection off #pragma config BOREN = ON // Brown-out Reset enabled #pragma config CLKOUTEN = OFF // Clock Out disabled. #pragma config IESO = ON // Internal/External Switchover on #pragma config FCMEN = ON // Fail-Safe Clock Monitor enabled // CONFIG2 #pragma config WRT = OFF #pragma config PPS1WAY = ON #pragma config ZCDDIS = ON #pragma config PLLEN = OFF #pragma config STVREN = ON #pragma config BORV = LO #pragma config LPBOR = OFF #pragma config LVP = OFF // Interrupt function // Flash Memory Self-Write Protect off // PPS one-way control enabled // Zero-cross detect disabled // Phase Lock Loop disable // Stack Over/Underflow Reset enabled // Brown-out Reset low trip point // Low-Power Brown Out Reset disabled // Low-Voltage Programming disabled セクション 3.1 参照 void interrupt isr(void){ // only process Timer0-triggered interrupts if(intconbits.tmr0ie && INTCONbits.TMR0IF) { // static variable for permanent storage duration static unsigned char portvalue; // write to port latches LATD = ++portvalue; // RD[0:3] = LED[0:3] LATB = (portvalue >> 4); // RB[0:3] = LED[4:7] // clear this interrupt condition INTCONbits.TMR0IF = 0; } } void main(void){ // Port D access ANSELD = 0x0; // set to digital I/O (not analog) TRISD = 0x0; // set all port bits to be output // Port B access ANSELB = 0x0; // set to digital I/O (not analog) TRISB = 0x0; // set all port bits to be output Microchip Technology Inc. DS B_JP - p. 7

8 // Timer0 setup セクション 3.2 参照 OPTION_REG = 0xD7; // timer 0 internal clock, prescaler 1:256 INTCONbits.TMR0IE = 1; // enable interrupts for timer 0 ei(); // enable all interrupts while(1); } return; 3.1 割り込み関数 isr() interrupt 指定子を使う事により 関数を割り込み関数として指定します この割り込み関数には複数の割り込み要因に対応する事が求められる可能性があるため Timer0 が割り込みを生成した場合にのみカウンタ値 (portvalue) をインクリメントさせるためのコードを追加しています 3.2 Timer0 の設定 タイマの設定とタイマ割り込みの有効化のためのコードを main ルーチンに追加する必要があります また ラッチへの代入のための変数値の変更は割り込みサービスルーチンで行います 全ての割り込みを有効にするため ei() を使います この関数は xc.h 内で定義されます DS B_JP - p Microchip Technology Inc.

9 4. ADC を使ってポテンショメータの値を LED で表示する このサンプルコードは 前のサンプルコードと同じデバイスとポート B およびポート D LED を使いますが デモボード上のポテンショメータからの値をポート A 経由で ADC に入力し その変換結果を LED で表示します コードは手書きではなく MPLAB Code Configurator (MCC) を使って生成します MCC は MPLAB X IDE の [Available Plugins] タブ ([Tools]>[Plugins] で開く ) を使ってインストールできるプラグインです プラグインのインストール方法は MPLAB X IDE のヘルプを参照してください MPLAB Code Configurator ユーザガイド (DS ) を含む MCC 関連の情報は 以下の MPLAB Code Configurator ウェブページでご覧になれます このサンプルコードを生成するために使った MCC GUI の設定を図 2 ~ 図 7 に示します 図 2: ADC プロジェクトのシステムリソース設定 Microchip Technology Inc. DS B_JP - p. 9

10 図 3: ADC プロジェクトのシステムリソース設定 図 4 に 選択後の RA0 から AN0 のマップ表示を示します DS B_JP - p Microchip Technology Inc.

11 図 4: ADC プロジェクトの ADC ピンリソース - グリッド Microchip Technology Inc. DS B_JP - p. 11

12 図 5: ADC プロジェクトのピンリソース設定 図 6 でピン RB0:3 および RD0:3 が選択されている場合 これらのピンは上記ウィンドウに表示されます RA0 は図 4 で以前に選択されています ウィンドウ内にピン設定が表示されると 各ピンに対してピン設定を選択できます DS B_JP - p Microchip Technology Inc.

13 図 6: ADC プロジェクトの GPIO ピンリソース - グリッド Microchip Technology Inc. DS B_JP - p. 13

14 図 7: ADC プロジェクトの GPIO ピンリソース - パッケージ DS B_JP - p Microchip Technology Inc.

15 以上のようにコードを設定した後に [Project Resources] ウィンドウの [Generate] ボタンをクリックします MCC はモジュール形式のコードを生成します すなわち main システム 周辺モジュールコードは全て別々のファイルです 各周辺モジュールのヘッダファイルも別々です プログラムに機能を追加する場合 必ず main.c を編集する必要があります 生成されたファイル内にある関数またはマクロはプログラムコードに必要ですので再確認してください 図 8: MCC によって生成されるコードの ADC プロジェクトツリー Microchip Technology Inc. DS B_JP - p. 15

16 4.1 変更した main.c コード 編集後の main.c テンプレートファイルを以下に示します 一部のコメントは省略しました ( 省略箇所には < > で囲んだ注釈を記入しています ) main() に追加したコードは赤字で示しています /** Generated Main Source File <See generated main.c file for file information.> */ /* (c) 2016 Microchip Technology Inc. and its subsidiaries.you may use this software and any derivatives exclusively with Microchip products. <See generated main.c file for additional copyright information.> */ #include "mcc_generated_files/mcc.h" /* Main application */ void main(void) { // initialize the device SYSTEM_Initialize(); // <No interrupts used - see generated main.c file for code.> while (1) { // Start A/D conversion セクション 4.2 参照 ADC_StartConversion(channel_AN0); // Wait for ADC to complete セクション 4.3 参照 while(!adc_isconversiondone()); // Write to Port Latches セクション 4.4 参照 } } /** End of File */ LATD = ADRESH; // RD[0:3] = LED[0:3] LATB = (ADRESH >> 4); // RB[0:3] = LED[4:7] 4.2 A/D 変換を開始する adc.c モジュールから以下の関数を使います void ADC_StartConversion(adc_channel_t channel) 変数 channel は adc.h 内で定義されている typedef adc_channel_t の値です このサンプルコードでは ポテンショメータ入力は RA0 であるため channel_an0 を選択します DS B_JP - p Microchip Technology Inc.

17 4.3 ADC が完了するまで待機する adc.c モジュールから以下の関数を使います bool ADC_IsConversionDone() この関数は ADCON0bits.GO_nDONE ビット ( デバイス固有ヘッダファイル内で定義 ) をネゲートした値を返します しかし main 内の while ループではこのビットの実際の値が必要であるため 戻り値を再度ネゲートします 4.4 ポートラッチに書き込む LED は 8 個しかないため ADRESH からの値だけを表示します 下位ビットは LATD を介して LED0 ~ LED3 で表示します 上位ビットは LATB を介して LED4 ~ LED7 で表示できるようシフトします Microchip Technology Inc. DS B_JP - p. 17

18 5. LED に EEPROM データ値を表示する このサンプルコードは これまでとは異なる Microchip 社製デバイス (PIC16F1939 MCU) を使って EEPROM データ (EEData) を読み書きします 読み値はポート D とポート B の LED で表示します コードの大部分は MPLAB Code Configurator (MCC) を使って生成します MCC のインストール方法とユーザガイドの入手方法については以下を参照してください セクション 4. ADC を使ってポテンショメータの値を LED で表示する このサンプルコードを生成するために使った MCC GUI の設定を図 9 ~ 図 13 に示します 図 9: EEData プロジェクトのシステムリソース設定 DS B_JP - p Microchip Technology Inc.

19 図 10: EEData プロジェクトのメモリリソース設定 Microchip Technology Inc. DS B_JP - p. 19

20 図 11: EEData プロジェクトのピンリソース設定 図 12 でピン RB0:3 および RD0:3 が選択されている場合 これらのピンは上記ウィンドウに表示されます ウィンドウ内にピン設定が表示されると 各ピンに対してピン設定を選択できます DS B_JP - p Microchip Technology Inc.

21 図 12: EEData プロジェクトの GPIO ピンリソース - グリッド Microchip Technology Inc. DS B_JP - p. 21

22 図 13: EEData プロジェクトの GPIO ピンリソース - パッケージ DS B_JP - p Microchip Technology Inc.

23 以上のようにコードを設定した後に [Project Resources] ウィンドウの [Generate] ボタンをクリックします MCC はモジュール形式のコードを生成します すなわち main システム 周辺モジュールコードは全て別々のファイルです 各周辺モジュールのヘッダファイルも別々です プログラムに機能を追加する場合 必ず main.c を編集する必要があります 生成されたファイル内にある関数またはマクロはプログラムコードに必要ですので再確認してください 図 14: MCC によって生成されるコードの EEData プロジェクトツリー Microchip Technology Inc. DS B_JP - p. 23

24 5.1 変更した main.c コード 編集後の main.c テンプレートファイルを以下に示します 一部のコメントは省略しました ( 省略箇所には < > で囲んだ注釈を記入しています ) 追加したコードは赤字で示しています /** Generated Main Source File <See generated main.c file for file information.> */ /* (c) 2016 Microchip Technology Inc. and its subsidiaries.you may use this software and any derivatives exclusively with Microchip products. <See generated main.c file for additional copyright information.> */ #include "mcc_generated_files/mcc.h" #define NUM_EE_VALUES 64 #define INSTR_CYCLE_DELAY /* Main application */ void main(void) { // initialize the device SYSTEM_Initialize(); // <No interrupts used - see generated main.c file for code.> // Declare RAM array, loop variable セクション 5.2 参照 volatile unsigned char RAMArray[NUM_EE_VALUES]; unsigned char i; // Write initial values to EEPROM Data PIR2bits.EEIF = 0x0; // clear write flag セクション 5.3 参照 for(i=0; i<num_ee_values; i++){ DATAEE_WriteByte(_EEADRL_EEADRL_POSN + i, i); while(!pir2bits.eeif); // check for write finished PIR2bits.EEIF = 0x0; } while(1){ // Read from EEPROM and display セクション 5.4 参照 for(i=0; i<num_ee_values; i++){ RAMArray[i] = DATAEE_ReadByte(_EEADRL_EEADRL_POSN + i); LATD = RAMArray[i]; // RD[0:3] = LED[0:3] LATB = (RAMArray[i] >> 4); // RB[0:3] = LED[4:7] _delay(instr_cycle_delay); // delay value change } DS B_JP - p Microchip Technology Inc.

25 }; } /** End of File */ // Write to EEPROM in reverse order for(i=0; i<num_ee_values; i++){ DATAEE_WriteByte(_EEADRL_EEADRL_POSN + (NUM_EE_VALUES - 1) - i, RAMArray[i]); while(!pir2bits.eeif); // check for write finished PIR2bits.EEIF = 0x0; } 5.2 EEData 関連の変数 EEData の読み書き値を保存する変数は 読み書き関数プロトタイプで指定されている型に適合する必要があります この関数プロトタイプは mcc.h から参照され memory.h 内にあります void DATAEE_WriteByte(uint8_t badd, uint8_t bdata); uint8_t DATAEE_ReadByte(uint8_t badd); uint8_t は unsigned char の事です stdint.h (mcc.h から参照されている ) 内で定義されています 5.3 EEData への書き込み このサンプルコードは EEData を 2 回書き込みます 最初の書き込みは EEData メモリ内の値を初期化し 次の書き込みは表示を変化させるためにデータを変更します EEData への書き込みには複数サイクルかかります このため書き込み完了フラグ (PIR2bits.EEIF) を使って書き込みの完了を検出します このフラグは初期クリアされ 書き込みが完了するたびにソフトウェアでクリアする必要があります 5.4 EEData からの読み出し EEData への書き込み後に メモリ値を読み出して RAM 配列に格納し ポート D およびポート B の LED で表示します 読み出し後の書き込みループ内で RAM 配列内の値を使って EEData メモリ内の値を変更します 実行速度が速いため LED は点滅しているように見えません このため 2 つ目のサンプルコードと同様に _delay() 関数を使って実行速度を遅くします Microchip Technology Inc. DS B_JP - p. 25

26 A. MPLAB X IDE 内でのコード実行 以下のようにプロジェクトを作成します 1. MPLAB X IDE を起動します 2. IDE から [New Project] ウィザードを起動します ([File]>[New Project]) 3. 画面の指示に従って以下の手順で新しいプロジェクトを作成します a) プロジェクトの選択 : Microchip Embedded を選択し 次に Standalone Project を選択します b) デバイスの選択 : サンプルコードのデバイスを選択します c) ヘッダの選択 : 何も選択しません d) ツールの選択 : 使用するハードウェアデバッグツール (SNxxxxxx) を選択します デバッグツール名の下にシリアル番号 (SN) が表示されない場合 そのデバッグツールが正しくインストールされているか確認します 詳細はデバッグツールのマニュアルを参照してください e) プラグインボードの選択 : 何も選択しません f) コンパイラの選択 :XC8 ( 最新バージョン番号 ) を選択します ([bin location]) XC8 の下にコンパイラが表示されない場合 コンパイラが正しくインストールされているか および MPLAB X IDE がコンパイラを認識しているか確認します ([Tools]>[Options] で [Build Tools] タブを開き [Embedded] ボタンをクリック ) 詳細は MPLAB XC8 と MPLAB X IDE のマニュアルを参照してください g) プロジェクト名とフォルダの選択 : プロジェクト名を指定します プロジェクトを作成した後 使用中のサンプルコードに基づいて以下のどちらかを行います 1. 例 の場合 サンプルコードを書き込んだファイルを作成します a) [Projects] ウィンドウ内でプロジェクト名を右クリックし [New]>[Empty FIle] を選択します [New Empty File] ダイアログが開きます b) File name に名前を入力します c) [Finish] をクリックします d) 本書のサンプルコードを空白のエディタウィンドウにコピー / ペーストし [File]>[Save] を選択します 2. 例 4 5 の場合 各セクションの指示に従い MCC でコードを生成し 表示されたコードを使って main.c ファイルを編集します 最後にデバッグ実行を選択するとコードがビルドされ デバイスにダウンロードされて実行されます デモボード上の LED が 1 つおきに点灯します 停止アイコンをクリックすると実行は停止します 図 5: ツールバーアイコン デバッグ実行 停止 DS B_JP - p Microchip Technology Inc.

27 B. ソフトウェアとハードウェアの入手先 本書の MPLAB XC8 プロジェクトには PIC16F1719 または PIC16F1939 MCU を実装した Explorer 8 ボードを使います ボードには外部電源から 9 V を供給し 標準の (ICSP ) 通信を使います 開発には MPLAB X IDE を使いました B.1 MPLAB X IDE と MPLAB XC8 C コンパイラの入手先 MPLAB X IDE (v3.35 以降 ) は以下で入手できます MPLAB XC8 C コンパイラ (v1.38 以降 ) は以下で入手できます B.2 MPLAB Code Configurator (MCC) の入手先 MCC (v3.15 以降 ) は以下で入手できます B.3 PIC MCU の入手先 サンプルコード向けの PIC MCU は以下で入手できます B.4 Explorer 8 ボードの入手先と設定方法 Explorer 8 開発キット (DM160228) は以下で入手できます ジャンパは下表のように設定します 表 1-1: プロジェクト向けのジャンパ選択 ジャンパ 選択 説明 J2 BRD+5V 外部電源からボードに給電します (USB 電源は使いません ) J14 +5V デバイスの電源電圧レベル J24 オープン +5 V を使用します (3.3 V ではありません ) J7 ショート ポート D <RD0:3> の LED を有効にします J21 ショート ポート B <RB0:3> の LED を有効にします J36 OSC1 to RA7 OSC1 CLKIN (8 MHz 外部オシレータ ) J37 OSC2 to RA6 OSC2 CLKOUT (8 MHz 外部オシレータ ) J51 PGD to RB7 ICSPDAT J52 PGC to RB6 ISCPCLK 表 1-2: 未使用のジャンパ選択 ジャンパ 選択 説明 JP2 閉 LCD は未使用 J22, J23, J53, J54 オープン LCD は未使用 J15, J16 オープン Digilent Pmod コネクタは未使用 J43, J44, J45, J46, J47 オープン mikrobus は未使用 J41, J42, J48, J49, J50 オープン mikrobus は未使用 J4, J31 VCAP RA5 RA4 は未使用 Microchip Technology Inc. DS B_JP - p. 27

28 B.5 Microchip 社製デバッグツールの入手先 エミュレータとデバッガは開発ツールのウェブページで入手できます DS B_JP - p Microchip Technology Inc.

29 Microchip 社製デバイスのコード保護機能に関して以下の点にご注意ください Microchip 社製品は 該当する Microchip 社データシートに記載の仕様を満たしています Microchip 社では 通常の条件ならびに仕様に従って使用した場合 Microchip 社製品のセキュリティレベルは 現在市場に流通している同種製品の中でも最も高度であると考えています しかし コード保護機能を解除するための不正かつ違法な方法が存在する事もまた事実です 弊社の理解では こうした手法は Microchip 社データシートにある動作仕様書以外の方法で Microchip 社製品を使用する事になります このような行為は知的所有権の侵害に該当する可能性が非常に高いと言えます Microchip 社は コードの保全性に懸念を抱いているお客様と連携し 対応策に取り組んでいきます Microchip 社を含む全ての半導体メーカーで 自社のコードのセキュリティを完全に保証できる企業はありません コード保護機能とは Microchip 社が製品を 解読不能 として保証するものではありません コード保護機能は常に進歩しています Microchip 社では 常に製品のコード保護機能の改善に取り組んでいます Microchip 社のコード保護機能の侵害は デジタルミレニアム著作権法に違反します そのような行為によってソフトウェアまたはその他の著作物に不正なアクセスを受けた場合 デジタルミレニアム著作権法の定めるところにより損害賠償訴訟を起こす権利があります 本書に記載されているデバイスアプリケーション等に関する情報は ユーザの便宜のためにのみ提供されているものであり 更新によって無効とされる事があります お客様のアプリケーションが仕様を満たす事を保証する責任は お客様にあります Microchip 社は 明示的 暗黙的 書面 口頭 法定のいずれであるかを問わず 本書に記載されている情報に関して 状態 品質 性能 商品性 特定目的への適合性をはじめとする いかなる類の表明も保証も行いません Microchip 社は 本書の情報およびその使用に起因する一切の責任を否認します 生命維持装置あるいは生命安全用途に Microchip 社の製品を使用する事は全て購入者のリスクとし また購入者はこれによって発生したあらゆる損害 クレーム 訴訟 費用に関して Microchip 社は擁護され 免責され 損害を受けない事に同意するものとします 特に記載のない限り 暗黙的あるいは明示的を問わず Microchip 社が知的財産権を保有しているライセンスは一切譲渡されません Microchip 社では Chandler および Tempe ( アリゾナ州 ) Gresham ( オレゴン州 ) の本部 設計部およびウェハー製造工場そしてカリフォルニア州とインドのデザインセンターが ISO/TS-16949: 2009 認証を取得しています Microchip 社の品質システムプロセスおよび手順は PIC MCU および dspic DSC KEELOQ コードホッピングデバイス シリアル EEPROM マイクロペリフェラル 不揮発性メモリ アナログ製品に採用されています さらに 開発システムの設計と製造に関する Microchip 社の品質システムは ISO 9001:2000 認証を取得しています 商標 Microchip 社の名称とロゴ Microchip ロゴ AnyRate AVR AVR logo AVR Freaks BeaconThings BitCloud CryptoMemory CryptoRF dspic FlashFlex flexpwr Heldo JukeBlox KEELOQ KEELOQlogo Kleer LANCheck LINK MD maxstylus maxtouch MediaLB megaavr MOST MOST logo MPLAB OptoLyzer PIC picopower PICSTART PIC 32 logo Prochip Designer QTouch RightTouch SAM-BA SpyNIC SST SST Logo SuperFlash tinyavr UNI/O および XMEGA は米国およびその他の国における Microchip Technology Incorporated の登録商標です ClockWorks Embedded Control Solutions Company EtherSynch Hyper Speed Control HyperLight Load IntelliMOS mtouch Precision Edge および Quiet-Wire は米国における Microchip Technology Incorporated の登録商標です Adjacent Key Suppression AKS Analog-for-the-Digital Age Any Capacitor AnyIn AnyOut BodyCom chipkit chipkit logo CodeGuard CryptoAuthentication CryptoCompanion CryptoController dspicdem dspicdem.net Dynamic Average Matching DAM ECAN EtherGREEN In-Circuit Serial Programming ICSP Inter-Chip Connectivity JitterBlocker KleerNet KleerNet logo Mindi MiWi motorbench MPASM MPF MPLAB Certified logo MPLIB MPLINK MultiTRAK NetDetach Omniscient Code Generation PICDEM PICDEM.net PICkit PICtail PureSilicon QMatrix RightTouch logo REAL ICE Ripple Blocker SAM-ICE Serial Quad I/O SMART- I.S. SQI, SuperSwitcher SuperSwitcher II Total Endurance TSHARC USBCheck VariSense ViewSpan WiperLock Wireless DNA および ZENA は米国およびその他の Microchip Technology Incorporated の商標です SQTP は米国における Microchip Technology Incorporated のサービスマークです Silicon Storage Technology は他の国における Microchip Technology Inc. の登録商標です GestIC は Microchip Technology Inc. の子会社である Microchip Technology Germany II GmbH & Co. & KG 社の他の国における登録商標です その他本書に記載されている商標は各社に帰属します 2017, Microchip Technology Incorporated, All Rights Reserved. ISBN: Microchip Technology Inc. DS B_JP - p. 29

30 各国の営業所とサービス 北米本社 2355 West Chandler Blvd. Chandler, AZ Tel: Fax: 技術サポート : support URL: アトランタ Duluth, GA Tel: Fax: オースティン TX Tel: ボストン Westborough, MA Tel: Fax: シカゴ Itasca, IL Tel: Fax: ダラス Addison, TX Tel: Fax: デトロイト Novi, MI Tel: ヒューストン TX Tel: インディアナポリス Noblesville, IN Tel: Fax: Tel: ロサンゼルス Mission Viejo, CA Tel: Fax: Tel: ローリー NC Tel: ニューヨーク NY Tel: サンノゼ CA Tel: Tel: カナダ - トロント Tel: Fax: アジア / 太平洋アジア太平洋支社 Suites , 37th Floor Tower 6, The Gateway Harbour City, Kowloon 香港 Tel: Fax: オーストラリア - シドニー Tel: Fax: 中国 - 北京 Tel: Fax: 中国 - 成都 Tel: Fax: 中国 - 重慶 Tel: Fax: 中国 - 東莞 Tel: 中国 - 広州 Tel: 中国 - 杭州 Tel: Fax: 中国 - 香港 SAR Tel: Fax: 中国 - 南京 Tel: Fax: 中国 - 青島 Tel: Fax: 中国 - 上海 Tel: Fax: 中国 - 瀋陽 Tel: Fax: 中国 - 深圳 Tel: Fax: 中国 - 武漢 Tel: Fax: 中国 - 西安 Tel: Fax: アジア / 太平洋中国 - 厦門 Tel: Fax: 中国 - 珠海 Tel: Fax: インド - バンガロール Tel: Fax: インド - ニューデリー Tel: Fax: インド - プネ Tel: 日本 - 大阪 Tel: Fax: 日本 - 東京 Tel: Fax: 韓国 - 大邱 Tel: Fax: 韓国 - ソウル Tel: Fax: または マレーシア - クアラルンプール Tel: Fax: マレーシア - ペナン Tel: Fax: フィリピン - マニラ Tel: Fax: シンガポール Tel: Fax: 台湾 - 新竹 Tel: Fax: 台湾 - 高雄 Tel: 台湾 - 台北 Tel: Fax: タイ - バンコク Tel: Fax: ヨーロッパオーストリア - ヴェルス Tel: Fax: デンマーク - コペンハーゲン Tel: Fax: フィンランド - エスポー Tel: フランス - パリ Tel: Fax: フランス - サン = クルー Tel: ドイツ - ガルヒング Tel: ドイツ - ハーン Tel: ドイツ - ハイルブロン Tel: ドイツ - カールスルーエ Tel: ドイツ - ミュンヘン Tel: Fax: ドイツ - ローゼンハイム Tel: イスラエル - ラーナナ Tel: イタリア - ミラノ Tel: Fax: イタリア - ヴェニス Tel: オランダ - ドリューネン Tel: Fax: ノルウェー - トロンハイム Tel: ポーランド - ワルシャワ Tel: ルーマニア - ブカレスト Tel: スペイン - マドリッド Tel: Fax: スウェーデン - ヨーテボリ Tel: スウェーデン - ストックホルム Tel: イギリス - ウォーキンガム Tel: Fax: /07/16 DS B_JP - p Microchip Technology Inc.

B MPLAB XC HPA Renewal Instructions.book

B MPLAB XC HPA Renewal Instructions.book 優先アクセス (HPA) の概要 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います MPLAB XC HPA の更新方法 MPLAB XC C コンパイラ優先アクセス MPLAB XC PRO C コンパイラをご購入頂くと 1 年間の優先アクセス (HPA) サポートを無償で受けられます その後は年間契約で HPA を購入できます HPA はいつでも購入できます

More information

TB3179 メモリ保護ユニット (MPU) の設定方法 はじめに メモリ保護ユニット (MPU) は Cortex -M7 コアがメモリ保護のために備えているオプションのコンポーネントです MPU はメモリマップを分割し それぞれにアクセス権とルールを設定します 本書では Cortex-M7 ベー

TB3179 メモリ保護ユニット (MPU) の設定方法 はじめに メモリ保護ユニット (MPU) は Cortex -M7 コアがメモリ保護のために備えているオプションのコンポーネントです MPU はメモリマップを分割し それぞれにアクセス権とルールを設定します 本書では Cortex-M7 ベー メモリ保護ユニット (MPU) の設定方法 はじめに メモリ保護ユニット (MPU) は Cortex -M7 コアがメモリ保護のために備えているオプションのコンポーネントです MPU はメモリマップを分割し それぞれにアクセス権とルールを設定します 本書では Cortex-M7 ベースの Microchip 社製 MCU で MPU メモリ領域を設定する方法を説明します MPU の特長は以下の通りです

More information

TB3172 Cortex -M7 マイクロコントローラの XDMAC を使ってオーディオアプリケーションにピンポンバッファリングを実装する方法 はじめに オーディオシステムアプリケーションでは リアルタイムシステムに関する代表的なプロデューサ / コンシューマ問題が発生する可能性があります タイミ

TB3172 Cortex -M7 マイクロコントローラの XDMAC を使ってオーディオアプリケーションにピンポンバッファリングを実装する方法 はじめに オーディオシステムアプリケーションでは リアルタイムシステムに関する代表的なプロデューサ / コンシューマ問題が発生する可能性があります タイミ Cortex -M7 マイクロコントローラの XDMAC を使ってオーディオアプリケーションにピンポンバッファリングを実装する方法 はじめに オーディオシステムアプリケーションでは リアルタイムシステムに関する代表的なプロデューサ / コンシューマ問題が発生する可能性があります タイミングの制約にはレイテンシ サンプリングレート サンプリング期間 リアルタイム応答等があります 通常 オーディオのタイミング問題に対するソリューションを実装するには

More information

Cortex -M キャッシュコントローラを使って決定論的コード性能を達成する方法 TB3186 はじめに マイクロコントローラベース (MCU) の組み込みアプリケーションでは ソフトウェアは不揮発性メモリに保存して実行します この不揮発性メモリとは 通常はフラッシュメモリです フラッシュメモリは

Cortex -M キャッシュコントローラを使って決定論的コード性能を達成する方法 TB3186 はじめに マイクロコントローラベース (MCU) の組み込みアプリケーションでは ソフトウェアは不揮発性メモリに保存して実行します この不揮発性メモリとは 通常はフラッシュメモリです フラッシュメモリは Cortex -M キャッシュコントローラを使って決定論的コード性能を達成する方法 はじめに マイクロコントローラベース (MCU) の組み込みアプリケーションでは ソフトウェアは不揮発性メモリに保存して実行します この不揮発性メモリとは 通常はフラッシュメモリです フラッシュメモリはコードを保存して実行する効率的なメディアですが フラッシュから実行する時に決定論的コード性能を制限する多数の因子があります

More information

TB3177 MPLAB Harmony Configurator (MHC) を使った Hello World アプリケーションの作成 はじめに MPLAB Harmony は互換で相互運用可能なライブラリで構成されたソフトウェアフレームワークであり 周辺モジュールドライバ ミドルウェア システム

TB3177 MPLAB Harmony Configurator (MHC) を使った Hello World アプリケーションの作成 はじめに MPLAB Harmony は互換で相互運用可能なライブラリで構成されたソフトウェアフレームワークであり 周辺モジュールドライバ ミドルウェア システム MPLAB Harmony Configurator (MHC) を使った Hello World アプリケーションの作成 はじめに MPLAB Harmony は互換で相互運用可能なライブラリで構成されたソフトウェアフレームワークであり 周辺モジュールドライバ ミドルウェア システムサービス サードパーティライブラリを含んでいます MPLAB Harmony Configurator (MHC)

More information

PIC10(L)F320/322 Product Brief

PIC10(L)F320/322 Product Brief 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います PIC10(L)F320/322 PIC10(L)F320/322 製品概要 高性能 RISC CPU: 命令は 35 しかなく習得が容易 : - 分岐命令を除き 全てシングルサイクル命令 動作速度 : - DC 16 MHz クロック入力 - DC 250 ns 命令サイクル 最大 1 K

More information

MPLAB XC16 User’s Guide for Embedded Engineers

MPLAB XC16 User’s Guide for Embedded Engineers 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います 組み込み技術者のための MPLAB XC16 ユーザガイド 組み込み技術者のための MPLAB XC16 ユーザガイド はじめに 本書には 16 ビットデバイスおよび MPLAB XC16 C コンパイラ向けの 5 つのサンプ ルコードを掲載しています これらを使うにはマイクロコントローラと

More information

ヒント 2: CWG を使ったハーフブリッジまたはフルブリッジ回路の駆動 ハーフブリッジまたはフルブリッジモータ回路を駆動するために多ピンマイクロコントローラは必ずしも必要ではありません PWM モジュールと CWG モジュールを組み合わせると 少ピンデバイスでも駆動できます 図 2: CWG によ

ヒント 2: CWG を使ったハーフブリッジまたはフルブリッジ回路の駆動 ハーフブリッジまたはフルブリッジモータ回路を駆動するために多ピンマイクロコントローラは必ずしも必要ではありません PWM モジュールと CWG モジュールを組み合わせると 少ピンデバイスでも駆動できます 図 2: CWG によ 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います 新周辺モジュール活用のヒントとコツ 相補波形ジェネレータ (CWG) 構成可能なロジックセル (CLC) 数値制御オシレータ (NCO) 周辺モジュール活用のヒントとコツ はじめに マイクロチップ社は 小型化と高性能化ならびに使いやすさと信頼性の向上を目指して常に先進的な製品を提供しています

More information

SMSC LAN8700 Datasheet

SMSC LAN8700 Datasheet 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います Microchip 社 LAN9252 SDK と Beckhoff 社 EtherCAT SSC の統合 Author: Kansal Mariam Banu Shaick Ibrahim Microchip Technology Inc. 概要 Microchip 社の LAN9252 は

More information

PIC24F Family Reference Manual, Section 9 Watchdog Timer (WDT)

PIC24F Family Reference Manual, Section 9 Watchdog Timer (WDT) 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います セクション 9. ウォッチドッグタイマ (WDT) ハイライト 本セクションには以下の主要項目を記載しています 9.1 はじめに... 9-2 9.2 WDT の動作... 9-3 9.3 レジスタマップ... 9-7 9.4 設計のヒント... 9-8 9.5 関連アプリケーションノート...

More information

AN1019 そのような配慮として 下記の基本的ガイドラインに沿って 可能な限り 環境条件または動作条件を制限する必要があります アプリケーションの温度を可能な限り下げる アプリケーションの電圧 ( または EEPROM の VCC 電圧 ) を可能な限り下げる 書き込みバイト数を可能な限り少なくす

AN1019 そのような配慮として 下記の基本的ガイドラインに沿って 可能な限り 環境条件または動作条件を制限する必要があります アプリケーションの温度を可能な限り下げる アプリケーションの電圧 ( または EEPROM の VCC 電圧 ) を可能な限り下げる 書き込みバイト数を可能な限り少なくす 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います EEPROM 書き込み耐性の基礎 AN1019 Author: 基礎 David Wilkie Microchip Technology Inc. EEPROM の 書き込み耐性 を一言で明確に定義して理解する事はできません 以下で説明するように メーカーごとに定義が異なります 全てのメーカー

More information

PIC16F18446 Sensor Board User's Guide

PIC16F18446 Sensor Board User's Guide 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います PIC16F18446 センサボード まえがき 重要 : お客様へのご注意 : どのような文書でも内容は時間が経つにつれ古くなります 本書も例外ではありません Microchip 社の製品は お客様のニーズを満たすために常に改良を重ねており 実際のダイアログやツールが本書の内容とは異なる場合があります

More information

RI_JTAG.book

RI_JTAG.book 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います JTAG アダプタ (PIC MCU 向け ) はじめに JTAG アダプタ (AC007) は MPLAB REAL ICE インサーキットエミュレータと PIC ターゲットデバイスの間を接続するために使います このキットは JTAG アダプタボード リボンケーブル 説明書 (DS00009)

More information

MPLAB Code Configurator v3.xx User’s Guide

MPLAB Code Configurator v3.xx User’s Guide MPLAB Code Configurator v3.xx ユーザガイド 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います 2017 Microchip Technology Inc. DS40001829B_JP Microchip 社製デバイスのコード保護機能に関して以下の点にご注意ください Microchip 社製品は 該当する Microchip

More information

51814a0910.indd

51814a0910.indd Software Tools マイクロチップテクノロジーが提供する HI-TECH C コンパイラ www.microchip.com/hi-tech マイクロチップの OCG(Omniscient Code Generation) HI-TECH Software は組込みシステム用の開発ツールを提供する世界有数のプロバイダーです プログラム全体の最適化 コンパイリングテクノロジー OCG (Omniscient

More information

XC8 quick start guide.fm

XC8 quick start guide.fm 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います MPLAB XC8 入門ガイド MPLAB XC8 入門ガイド 本書は MPLAB XC8 C コンパイラをこれから使い始めるプログラマー向けの入門書であり 特に組み込みプログラミングまたは Microchip 社製デバイスに慣れていない読者に配慮しています 本書の主な内容は以下の通りです (

More information

Pulse Oximeter Design Using Microchip’s Analog Devices and dsPIC Digital Signal Controllers (DSCs)

Pulse Oximeter Design Using Microchip’s Analog Devices and dsPIC Digital Signal Controllers (DSCs) Microchip 社のアナログデバイスと dspic デジタルシグナルコントローラ (DSC) を使ったパルスオキシメータの設計 著者 : Zhang Feng Microchip Technology Inc. はじめに パルスオキシメータは血中の酸素飽和度と心拍数を監視する非侵襲性の医療機器です 本書では Microchip 社のアナログデバイスと dspic デジタルシグナルコントローラ (DSC)

More information

目次 はじめに キャッシュポリシーの概要 サポートされている設定 キャッシュコヒーレンシ問題 キャッシュメンテナンス API を使ったキャッシュコヒーレンシの処理 DMA と CPU が共有するメモリ領域でのキャッシュの無効化..

目次 はじめに キャッシュポリシーの概要 サポートされている設定 キャッシュコヒーレンシ問題 キャッシュメンテナンス API を使ったキャッシュコヒーレンシの処理 DMA と CPU が共有するメモリ領域でのキャッシュの無効化.. Cortex-M7 ベースの MCU におけるキャッシュコヒーレンシの管理 はじめに 本書では各種シナリオでのキャッシュコヒーレンシ問題の概要を説明します また キャッシュコヒーレンシ問題を管理または回避する方法も提案します DS90003195A_JP - p. 1 目次 はじめに...1 1. キャッシュポリシーの概要...3 2. サポートされている設定...4 3. キャッシュコヒーレンシ問題...5

More information

a.fm

a.fm 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います TB3129 PIC マイクロコントローラの信号計測タイマ (SMT) モジュール Author: Ashutosh Tiwari Microchip Technology Inc. 要約本書には 柔軟で便利な信号計測タイマ (SMT) モジュールの技術概要を記載しています 幅広い PIC マイクロコントローラが内蔵する

More information

SMSC LAN8700 Datasheet

SMSC LAN8700 Datasheet 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います Microchip 社 Ethernet コントローラ スイッチ EtherCAT コントローラのトランスレスアプリケーション Author: Kansal Mariam Banu Shaick Ibrahim Microchip Technology Inc. はじめに Microchip

More information

Manchester Decoder Using the CLC and NCO

Manchester Decoder Using the CLC and NCO 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います AN1470 CLC と NCO を使ったマンチェスタデコーダ 著者 : 概要 PIC16F150x が内蔵する CLC( 構成可能なロジックセル ) と NCO ( 数値制御オシレータ ) を使うと マンチェスタデコーダを構築できます PIC16F150x は 低消費電力 XLP 技術に対応したエンハンストコアを実装したデバイスです

More information

実習内容 PIC18C242 のポート B に接続した LED を点滅させます ( 他の実習と同じ内容です ) 8 個の LED に表示される値が +3 ずつインクリメントします MPLAB を使って MPASM アセンブラのソース ファイルをアセンブルします MPLAB とソース ファイルは配布し

実習内容 PIC18C242 のポート B に接続した LED を点滅させます ( 他の実習と同じ内容です ) 8 個の LED に表示される値が +3 ずつインクリメントします MPLAB を使って MPASM アセンブラのソース ファイルをアセンブルします MPLAB とソース ファイルは配布し エンベデッド コントロール セミナー 2000 2000 Microchip Technology Incorporated. All Rights Reserved. S9002A Embedded Control Seminar 2000 1 実習内容 PIC18C242 のポート B に接続した LED を点滅させます ( 他の実習と同じ内容です ) 8 個の LED に表示される値が +3

More information

CoIDE 用 F4D_VCP の説明 V /07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x

CoIDE 用 F4D_VCP の説明 V /07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x CoIDE 用 F4D_VCP の説明 V001 2014/07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x08000000 です デバッグが可能です 目次 1. USB の VCP( 仮想 COM ポート )

More information

PICF/LF1847 表 1: デバイス PICF/LF1847 ファミリの各製品 プログラムメモリフラッシュ ( ワード ) データ EEPROM ( バイト ) SRAM ( バイト ) I/O 10 ビット A/D (ch) タイマ 8/ ビット EUSART MSSP CCP/ ECCP

PICF/LF1847 表 1: デバイス PICF/LF1847 ファミリの各製品 プログラムメモリフラッシュ ( ワード ) データ EEPROM ( バイト ) SRAM ( バイト ) I/O 10 ビット A/D (ch) タイマ 8/ ビット EUSART MSSP CCP/ ECCP ご注意 : この日本語版ドキュメントは 参考資料としてご使用の上 最新情報につきましては 必ず英語版オリジナルをご参照いただきますようお願いします PICF/LF1847 18/20/28 ピン 8 ビットフラッシュマイクロコントローラ製品概要 高性能 RISC CPU: 命令数は 49 で習得が容易 動作速度 : - DC 32 MHz クロック入力 - DC 12 ns 命令サイクル 割り込み機能

More information

始める スタート > 全てのプログラム > Cypress > PSoC Creator 2.0 > PSoC Creator 2.0 をクリックします プロジェクトを作成する / 開く Start Page の "Create New Project" をクリックし 要求されたプロジェクト情報を入

始める スタート > 全てのプログラム > Cypress > PSoC Creator 2.0 > PSoC Creator 2.0 をクリックします プロジェクトを作成する / 開く Start Page の Create New Project をクリックし 要求されたプロジェクト情報を入 PSoC Creator クイックスタートガイド インストール http://www.cypress.com/go/creator から PSoC Creator をダウンロードするか キット CD からインストールします 支援が必要な場合は Cypress Support 1-800-541-4736 へ電話して 8 を選択してください 機能 システム要件およびインストールの注意事項については http://www.cypress.com/go/creatordownloads

More information

1. USB の VCP( 仮想 COM ポート ) について USB の VCP( 仮想 COM ポート ) は USB を非同期シリアル通信として使用するための USB のドライバです PC には VCP ドライバをインストールする必要があります USB の VCP( 仮想 COM ポート )

1. USB の VCP( 仮想 COM ポート ) について USB の VCP( 仮想 COM ポート ) は USB を非同期シリアル通信として使用するための USB のドライバです PC には VCP ドライバをインストールする必要があります USB の VCP( 仮想 COM ポート ) TrueSTUDIO 用 F4D_VCP の説明 V001 2014/07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです 無料の試用版開発ツール Atollic TrueSTUDIO for ARM Lite で作成したプロジェクトです ビルド可能なプログラムのコードサイズが 32Kbyte 以内の制限があります プログラムの開始番地は 0x08000000

More information

開発ツール WINDOWS 2000 でのインストールに関する問題 MPLAB ICD 2 USB ドライバの読み込み時に問題が発生する場合 Microsoft Windows Update サイトのパッチが役立つかもしれません このパッチは Windows 2000 SP4 に更新したユーザに適用

開発ツール WINDOWS 2000 でのインストールに関する問題 MPLAB ICD 2 USB ドライバの読み込み時に問題が発生する場合 Microsoft Windows Update サイトのパッチが役立つかもしれません このパッチは Windows 2000 SP4 に更新したユーザに適用 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います 開発ツール 不適正な USB デバイスドライバのアンインストール 推奨アンインストール方法 次に挙げるマイクロチップ社製開発ツールの使用時 不適正なデバイスドライバがインストールされていると問題が発生する可能性があります Windows OS がマイクロチップ社製開発ツールに対して既定値の USB

More information

1. A/D 入力について分解能 12bit の A/D コンバータ入力です A/D 入力電圧とディジタル値との対応は理論上 入力電圧 0V : 0 入力電圧 +3V : 4095 です 実際はオフセットと傾きがあり ぴったりこの数値にはなりません 2. A/D 入力に使用する信号 STM32L_A

1. A/D 入力について分解能 12bit の A/D コンバータ入力です A/D 入力電圧とディジタル値との対応は理論上 入力電圧 0V : 0 入力電圧 +3V : 4095 です 実際はオフセットと傾きがあり ぴったりこの数値にはなりません 2. A/D 入力に使用する信号 STM32L_A STM32L_ADC の説明 V003 2014/03/30 STM32L-Discovery の A/D 入力を行うプログラムです A/D CH0 ~ A/D CH3 の 4 本の入力が可能です 提供する PC のアプリケーション Access_SerialPort を使用して UART( 非同期シリアル通信 ) により A/D 入力の表示を行うことができます 無料の開発ツール Atollic TrueSTUDIO

More information

ご注意 : この日本語版ドキュメントは 参考資料としてご使用の上 最新情報につきましては 必ず英語版オリジナルをご参照いただきますようお願いします PIC12F1822/16F182X 8/14/20 ピン 8 ビットフラッシュマイクロコントローラ製品概要 高性能 RISC CPU: 命令数は 49

ご注意 : この日本語版ドキュメントは 参考資料としてご使用の上 最新情報につきましては 必ず英語版オリジナルをご参照いただきますようお願いします PIC12F1822/16F182X 8/14/20 ピン 8 ビットフラッシュマイクロコントローラ製品概要 高性能 RISC CPU: 命令数は 49 ご注意 : この日本語版ドキュメントは 参考資料としてご使用の上 最新情報につきましては 必ず英語版オリジナルをご参照いただきますようお願いします 8/14/20 ピン 8 ビットフラッシュマイクロコントローラ製品概要 高性能 RISC CPU: 命令数は 49 で習得が容易 動作速度 : - DC 32 MHz クロック入力 - DC 125 ns 命令サイクル 割り込み機能 自動コンテキスト保存機能付き

More information

ETCB Manual

ETCB Manual ETCB Manual HARDWARE & PROGRAMMING MANUAL 目次 始めに ETCB 仕様 開発環境の構築 01 始めに 始めに 始めに 注意事項 免責事項 同梱品 02 始めに サポート 03 ETCB 仕様 ETCB 仕様 概要 仕様 項目サブ項目内容電源推奨入力電圧 6.6V~12V 最大入力電圧 16V 出力電圧内部 3.3V 外部 5.0V 最大出力電流値最大 2.2A

More information

S1C17 Family Application Note S1C17 シリーズ PORT 多重割り込みアプリケーションノート Rev.1.0

S1C17 Family Application Note S1C17 シリーズ PORT 多重割り込みアプリケーションノート Rev.1.0 S1C17 Family Application Note S1C17 シリーズ PORT 多重割り込みアプリケーションノート Rev.1.0 評価ボード キット 開発ツールご使用上の注意事項 1. 本評価ボード キット 開発ツールは お客様での技術的評価 動作の確認および開発のみに用いられることを想定し設計されています それらの技術評価 開発等の目的以外には使用しないで下さい 本品は 完成品に対する設計品質に適合していません

More information

1. 新規プロジェクト作成の準備新規プロジェクトのためのフォルダを用意して そこにプロジェクトを作成します [ 新しいフォルダー ] をクリックして希望のフォルダに新しいフォルダを作成します この例では TrST_F401N_BlinkLD2 というフォルダを作成しました TrST_F401N_Bl

1. 新規プロジェクト作成の準備新規プロジェクトのためのフォルダを用意して そこにプロジェクトを作成します [ 新しいフォルダー ] をクリックして希望のフォルダに新しいフォルダを作成します この例では TrST_F401N_BlinkLD2 というフォルダを作成しました TrST_F401N_Bl NUCLEO-F401RE の TrueSTUDIO プロジェクト構築方法 V001 2014/09/24 Atollic TrueSTUDIO for ARM Lite を使用して NUCLEO-F401RE のプロジェクトを新規に作成する方法について説明します また ビルドとデバッグについても説明しています 目次 1. 新規プロジェクト作成の準備... 2 2. 新規プロジェクトの作成... 3

More information

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ arduino プログラミング課題集 ( Ver.5.0 2017/06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイコンから伝える 外部装置の状態をマイコンで確認する 信号の授受は 入出力ポート 経由で行う (2) 入出力ポートとは?

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

DALI App. Note

DALI App. Note 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います AN465 DALI (Digitally Addressable Lighting Interface) 通信 著者 : Shaima Husain Microchip Technology Inc. DALI (Digitally Addressable Lighting Interface)

More information

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+)

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+) RL78 開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行 ( 統合開発環境編 ) (High-performance Embedded Workshop CS+) 2017/4/7 R20UT2087JJ0103 ソフトウェア事業部ソフトウエア技術部ルネサスシステムデザイン株式会社 はじめに 本資料は 統合開発環境 High-performance Embedded Workshop

More information

MPLAB Code Configurator User’s Guide

MPLAB Code Configurator User’s Guide MPLAB Code Configurator ユーザガイド 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います 2015 Microchip Technology Inc. DS40001725B_JP Microchip 社製デバイスのコード保護機能に関して以下の点にご注意ください Microchip 社製品は 該当する Microchip

More information

AN424 Modbus/TCP クイックスタートガイド CIE-H14

AN424 Modbus/TCP クイックスタートガイド CIE-H14 Modbus/TCP クイックスタートガイド (CIE-H14) 第 1 版 2014 年 3 月 25 日 動作確認 本アプリケーションノートは 弊社取り扱いの以下の機器 ソフトウェアにて動作確認を行っています 動作確認を行った機器 ソフトウェア OS Windows7 ハードウェア CIE-H14 2 台 ソフトウェア ezmanager v3.3a 本製品の内容及び仕様は予告なしに変更されることがありますのでご了承ください

More information

1. 概念 STM32F4 Discovery 基板は Mini USB を接続して デバッグやプログラムの書き込みができるようになっています 通常は CPU の 0x 番地からプログラムを実行します では なぜわざわざこのプロジェクトの雛形を使用して CPU の 0x

1. 概念 STM32F4 Discovery 基板は Mini USB を接続して デバッグやプログラムの書き込みができるようになっています 通常は CPU の 0x 番地からプログラムを実行します では なぜわざわざこのプロジェクトの雛形を使用して CPU の 0x Base_STM32F4_Discovery の説明 2013/09/05 STM32F4 Discovery 基板の CPU STM32F407VG の FlashMemory 0x08010000 番地に書き込んで実行させる ユーザープログラムのためのプロジェクトの雛形です 本プロジェクトを元にユーザープログラムを作成して USB 経由で CPU に書き込みます USB 経由で CPU にプログラムを書き込むためには

More information

a.fm

a.fm 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います TB3099 ゼロクロススイッチングによるリレーの長寿命化 Author: Stephen Allen Microchip Technology Inc. はじめに本書では PIC16F1708 マイクロコントローラでゼロクロス検出機能を実装する方法を紹介します 本書では この機能を使って 220

More information

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一 RX210 グループ IRQ 割り込みを使用したパルス出力 要旨 本サンプルコードでは IRQ 割り込みが発生すると 一定期間タイマでパルスを出力する 方法について説明します 対象デバイス RX210 1 / 25 内容 1. 仕様... 3 2. 動作確認条件... 3 3. ハードウェア説明... 3 3.1 使用端子一覧... 3 4. ソフトウェア説明... 4 4.1 動作概要... 4

More information

Flash Loader

Flash Loader J MA1309-A プロジェクターファームウェア更新ガイド 本書はお読みになった後も大切に保管してください 本書の最新版は下記ウェブサイトに公開されております http://world.casio.com/manual/projector/ Microsoft Windows Windows Vistaは米国 Microsoft Corporationの米国およびその他の国における登録商標または商標です

More information

AN2754 USB-to-I2C Bridging with USB7002, USB7050, USB7051, and USB7052 Hubs

AN2754 USB-to-I2C Bridging with USB7002, USB7050, USB7051, and USB7052 Hubs 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います Microchip 社製 USB7002/USB7050/USB7051/USB7052 ハブの USB-to-I 2 C ブリッジ機能 Author: Mick Davis Microchip Technology, Inc. はじめに USB-to-I 2 C ブリッジ機能は Microchip

More information

<4D F736F F D208A4A94AD8AC28BAB82CC8D5C927A8EE88F878F912E646F63>

<4D F736F F D208A4A94AD8AC28BAB82CC8D5C927A8EE88F878F912E646F63> tk-pic1827 開発環境の構築手順書 (Ver.2.00) 1 MPLAB X IDE と MPLAB XC8 のダウンロード P.1 2 開発環境のインストール P.6 3 プロジェクトの新規作成 P.6 4 ソースリストの入力 P.11 5 ビルド P.16 6 PICkit3 を使って PIC16F1827 へダウンロード P.18 7 PICkit3 を使ったデバッグ P.20 付録

More information

Notes and Points for TMPR454 Flash memory

Notes and Points for TMPR454 Flash memory 表紙 TMPR454 内蔵 Flash メモリ対応版手順書 株式会社 DTS インサイト ご注意 (1) 本書の内容の一部または 全部を無断転載することは禁止されています (2) 本書の内容については 改良のため予告なしに変更することがあります (3) 本書の内容について ご不明な点やお気付きの点がありましたら ご連絡ください (4) 本製品を運用した結果の影響については (3) 項にかかわらず責任を負いかねますのでご了承ください

More information

CoIDE 用 STM32F4_UART2 の説明 V /03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000

CoIDE 用 STM32F4_UART2 の説明 V /03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000 CoIDE 用 STM32F4_UART2 の説明 V002 2014/03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000000 です デバッグが可能です 提供する PC のアプリケーションの Access_SerialPort

More information

Lab GPIO_35 GPIO

Lab GPIO_35 GPIO 6,GPIO, PSoC 3/5 GPIO HW Polling and Interrupt PSoC Experiment Lab PSoC 3/5 GPIO Experiment Course Material 6 V2.02 October 15th. 2012 GPIO_35.PPT (65 Slides) Renji Mikami Renji_Mikami@nifty.com Lab GPIO_35

More information

MSP430 CCSv5 を使い Flash Memory 内容と version 情報を確認する方法 ( テクニック編 ) Rev: PIC Trout 今回は 下記の2 件について説明します 1) CCSv5 を使用して MSP430 の Flash Memory 内容を

MSP430 CCSv5 を使い Flash Memory 内容と version 情報を確認する方法 ( テクニック編 ) Rev: PIC Trout 今回は 下記の2 件について説明します 1) CCSv5 を使用して MSP430 の Flash Memory 内容を MSP430 CCSv5 を使い Flash Memory 内容と version 情報を確認する方法 ( テクニック編 ) Rev:01 10.4.2013 PIC Trout 今回は 下記の2 件について説明します 1) CCSv5 を使用して MSP430 の Flash Memory 内容を確認する方法 JTAG アクセスができるデバイス ( セキュリティ Fuse 断ではできません ) に対して

More information

Microchip Capacitive Proximity Design Guide

Microchip Capacitive Proximity Design Guide 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います マイクロチップ社の静電容量式近接検出設計ガイド 著者 : はじめに Xiang Gao Microchip Technology Inc. 近接検出を使うと 物理的接触なしに電子装置を操作できます この技術は製品の見た目と使いやすさを向上させ 消費電力を低減します 近接検出機能の実装には 磁気

More information

Multi-Tool Design Advisory

Multi-Tool Design Advisory 注 意 : この 日 本 語 版 文 書 は 参 考 資 料 としてご 利 用 ください 最 新 情 報 は 必 ずオリジナルの 英 語 版 をご 参 照 願 います 開 発 ツールの 設 計 注 意 書 各 種 ツールの 設 計 注 意 書 (DS51764) この 注 意 書 は 以 下 の 開 発 ツールに 適 用 します MPLAB REAL ICE インサーキット エミュレータ MPLAB

More information

改訂履歴 改訂日付 改訂内容 2014/11/01 初版発行 2017/01/16 Studuino web サイトリニューアルに伴う改訂 2017/04/14 Studuino web サイトリニューアルに伴うアクセス方法の説明変更 2018/01/22 Mac 版インストール手順変更に伴う改訂

改訂履歴 改訂日付 改訂内容 2014/11/01 初版発行 2017/01/16 Studuino web サイトリニューアルに伴う改訂 2017/04/14 Studuino web サイトリニューアルに伴うアクセス方法の説明変更 2018/01/22 Mac 版インストール手順変更に伴う改訂 Arduino IDE 環境 設定手順書 Windows/Mac 用 2014/11/01 作成 2018/01/22 改訂 改訂履歴 改訂日付 改訂内容 2014/11/01 初版発行 2017/01/16 Studuino web サイトリニューアルに伴う改訂 2017/04/14 Studuino web サイトリニューアルに伴うアクセス方法の説明変更 2018/01/22 Mac 版インストール手順変更に伴う改訂

More information

HP ThinUpdateを使用したWESシンクライアントイメージリストア手順書

HP ThinUpdateを使用したWESシンクライアントイメージリストア手順書 Technical white paper HP ThinUpdate を使用した Windows Embedded シンクライアント OS のイメージリカバリ手順 2015 年 11 月 目次 HP ThinUpdate とは? 2 対応する機種と OS イメージ 2 HP ThinUpdate のインストール 3 HP ThinUpdate を使用した OS リカバリ用 USB メモリの作成 9

More information

RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for

RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for ARM Lite 4.2.0 で作成した STM32F4 Discovery 基板用のプロジェクトです

More information

Nios II Flash Programmer ユーザ・ガイド

Nios II Flash Programmer ユーザ・ガイド ver. 8.0 2009 年 4 月 1. はじめに 本資料は Nios II 開発環境においてフラッシュメモリ または EPCS へのプログラミングを行う際の参考マニュアルです このマニュアルでは フラッシュメモリの書き込みの際に最低限必要となる情報を提供し さらに詳しい情報はアルテラ社資料 Nios II Flash Programmer User Guide( ファイル名 :ug_nios2_flash_programmer.pdf)

More information

本書は INpMac v2.20(intime 5.2 INplc 3 Windows7/8/8.1に対応 ) の内容を元に記載しています Microsoft Windows Visual Studio は 米国 Microsoft Corporation の米国及びその他の国における登録商標です

本書は INpMac v2.20(intime 5.2 INplc 3 Windows7/8/8.1に対応 ) の内容を元に記載しています Microsoft Windows Visual Studio は 米国 Microsoft Corporation の米国及びその他の国における登録商標です ACTIVE TOUCH 拡張部品取扱説明書 - 共有メモリアクセスコンポーネント - 1. はじめに 1 (1) 概要... 1 (2) INpMac のインストール... 1 2. Windows アプリケーションとの連携 2 (1) コントロール ( 部品 ) の登録... 2 (2) データの関連付け... 3 3. INtime アプリケーションとの連携 4 (1) 部品 ( コンポーネント

More information

USB.mif

USB.mif 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います AN1142 組み込み USB ホストにおけるマスストレージクラス Author: はじめに Microchip 社の USB OTG モジュール内蔵マイクロコントローラを使うと マイクロコントローラアプリケーションで USB 組み込みホスト機能を容易にサポートできます この機能の代表的な用途の

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

スライド 1

スライド 1 RX62N 周辺機能紹介データフラッシュ データ格納用フラッシュメモリ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ データフラッシュの概要 プログラムサンプル 消去方法 書き込み方法 読み出し方法 FCUのリセット プログラムサンプルのカスタマイズ 2 データフラッシュの概要 3 データフラッシュとは フラッシュメモリ

More information

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ 第 2 回 本日の内容割り込みとは タイマー 割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれば 次の動作に移るし そうでなければ また少し待ってから同じことを繰り返す

More information

Studuinoライブラリ環境設定Mac編

Studuinoライブラリ環境設定Mac編 Studuino ライブラリセット 環境設定手順書 Mac 編 本資料は Studuino ライブラリのセットアップ手順書になります 以下の作業の前に 本資料を参考に Arduino 言語開発環境を設定して下さい Arduino 言語で加速度センサーを制御する Studuino プログラミング環境で Arduino 言語に変換したソースを編集する もくじ 1. Arduino IDE のインストール...

More information

Welcome-Kit ~STM32L4-Nucleo~

Welcome-Kit ~STM32L4-Nucleo~ STM32CubeMX の使い方 0 STM32CubeMX ダウンロード 1 1 ST マイクロ社 HP より STM32CubeMX インストーラーをダウンロードし インストーラーの表示に沿ってインストールします URL : http://www.st.com/content/st_com/ja/products/development-tools/software-development-tools/stm32-

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション マイコンプログラミング演習 I 第 04-05 回 LEDを用いたI/O 制御担当 : 植村 実験の目的 本実験ではマイコンシステムを用いた信号の入出力の制御方法を理解することを目的とし, マイコンのアーキテクチャを理解 実装するとともに, アセンブラによるプログラミング技術の習得を行う. 回路の構成として,PIC16F84A を用いてスイッチを入力とする LED の点灯 / 消灯の出力操作を行う回路ならびにアセンブラプログラムを実装する.

More information

著作権および商標 この文書には が所有権を持つ機密事項が含まれます この資料のいかなる部分も許 可無く複製 使用 公開することを固く禁じます 本書は の従業員および許可された 取引先だけに使用が認められています 本書で提供されたデータは正確で信頼性の高いものですが このデータの使用について株式会社

著作権および商標 この文書には が所有権を持つ機密事項が含まれます この資料のいかなる部分も許 可無く複製 使用 公開することを固く禁じます 本書は の従業員および許可された 取引先だけに使用が認められています 本書で提供されたデータは正確で信頼性の高いものですが このデータの使用について株式会社 Version 1.01 著作権および商標 この文書には が所有権を持つ機密事項が含まれます この資料のいかなる部分も許 可無く複製 使用 公開することを固く禁じます 本書は の従業員および許可された 取引先だけに使用が認められています 本書で提供されたデータは正確で信頼性の高いものですが このデータの使用について株式会社 EASEL は責任を負うものではありません は いつでも無断で資料を変更する権利を

More information

Microsoft Word - CBSNet-It連携ガイドver8.2.doc

Microsoft Word - CBSNet-It連携ガイドver8.2.doc (Net-It Central 8.2) 本ガイドでは ConceptBase Search Lite.1.1 と Net-It Central 8.2 の連携手順について説明します 目次 1 はじめに...2 1.1 本書について...2 1.2 前提条件...2 1.3 システム構成...2 2 ConceptBase のインストール...3 2.1 インストールと初期設定...3 2.2 動作確認...3

More information

WES7シンクライアントIE11アップデート手順書

WES7シンクライアントIE11アップデート手順書 Technical white paper Windows Embedded Standard 7 シンクライアント IE11 アップデート手順書 Contents はじめに 2 対応する機種と OS イメージ 2 IE11 アドオンのダウンロードと展開 2 IE11 アドオンのインストール ( 手動インストール ) 5 HP Device Manager を使用した IE11 アドオンのインストール

More information

PIC

PIC PIC - 1 ページ 2 週目 UBW を使用してみる 2010 年 5 月 23 日 15:28 前回の Gainer mini はあくまでも PC 側にプログラムがあり PIC は IO ボックス的な使用しかできなかった 入出力デバイスとして PIC を使う場合は 簡易で便利であるが それ以上の事 (PC なしでの動作 PC と対等の動作 ) は出来ない 今週からは 本来の PIC としての使い方を学ぶ

More information

8051 개발보드 메뉴얼

8051 개발보드 메뉴얼 ㄴㄴㄴ標準 U-STYLE ボード (Model:DM-USTYLE V1.0 ) マニュアル 改訂日 : 2015 年 11 月 24 日 1. Arduino At Heartプロトタイプ標準 U STYLEボード (DM-USTYLE V1.0) のご紹介 アドゥイノウノブートローダが書き込んだATMEGA328P-PUを使用 Arduino At Heart( ) プロトタイプのボードの互換コネクタと

More information

RF2_BIOS一覧

RF2_BIOS一覧 Main Main Menu System Time System Date hh:mm:ss( 時 :00~23/ 分 :00~59/ 秒 :00~59) www mm/dd/yyyy ( 曜日 : 自動設定 / 月 :01~12/ 日 :01~31/ 年 :1980~2099) Advanced Internal Pointing Device Enabled Enabled/Disabled

More information

Notes and Points for TM4C123Gx Internal Flash memory

Notes and Points for TM4C123Gx Internal Flash memory 表紙 TI 社製 TM4C123GH6PM 内蔵 Flash メモリ対応手順書 株式会社 DTS インサイト ご注意 (1) 本書の内容の一部または 全部を無断転載することは禁止されています (2) 本書の内容については 改良のため予告なしに変更することがあります (3) 本書の内容について ご不明な点やお気付きの点がありましたら ご連絡ください (4) 本製品を運用した結果の影響については (3)

More information

AN1526 RX開発環境の使用方法(CS+、Renesas Flash Programmer)

AN1526 RX開発環境の使用方法(CS+、Renesas Flash Programmer) RX 開発環境の使用方法 (CS+ Renesas Flash Programmer) 第 2 版 2018 年 03 月 13 日 1. 概要 1.1 概要 本アプリケーションノートでは RX シリーズで使用する開発環境についての解説を行います 解説を行う開発環境は以下の 3 つです 1.RX ファミリ用 C/C++ コンパイラパッケージ 2.Renesas Flash Programmer(RFP)

More information

Microsoft Word - DWR-S01D_Updater_取扱説明書_120514A.doc

Microsoft Word - DWR-S01D_Updater_取扱説明書_120514A.doc DWR-S01D Updater 取扱説明書 発行日 :2012/5/14 目次 概要...3 機能...3 準備するもの...3 本ソフトウェアについて...3 インストール手順...4 USBドライバーのインストール手順...8 デバイスマネージャーからのUSBドライバーのインストール手順...11 アップデート手順...16 アップデート後の確認...17 アップデートに失敗した場合...17

More information

Notes and Points for ADuCM320 Internal Flash memory

Notes and Points for ADuCM320 Internal Flash memory 表紙 ANALOG DEVICES 社製 ADuCM320 内蔵 Flash メモリ対応手順書 株式会社 DTS インサイト ご注意 (1) 本書の内容の一部または 全部を無断転載することは禁止されています (2) 本書の内容については 改良のため予告なしに変更することがあります (3) 本書の内容について ご不明な点やお気付きの点がありましたら ご連絡ください (4) 本製品を運用した結果の影響については

More information

1. プログラム実行時の動作プログラムを実行すると以下のように動作します 1) NUCLEO-F401RE 上の LED LD2( 緑 ) が 200mSec 間隔で点滅します 2. プロジェクトの構成 2.1. プロジェクト F401N_BlinkLD2 の起動画面 TrueSTUDIO で作成し

1. プログラム実行時の動作プログラムを実行すると以下のように動作します 1) NUCLEO-F401RE 上の LED LD2( 緑 ) が 200mSec 間隔で点滅します 2. プロジェクトの構成 2.1. プロジェクト F401N_BlinkLD2 の起動画面 TrueSTUDIO で作成し TrueSTUDIO 用 F401N_BlinkLD2 の説明 V003 2014/10/01 TIM11 の割り込みを使用して LED 点滅を行う NUCLEO-F401RE のプロジェクトサンプルです NUCLEO-F401RE は STMicroelectronics 社製の Cortex-M4 ARM CPU である STM32F401RET6 を搭載した基板です 試用版の開発ツール Atollic

More information

WAGO / / Modbus/RTU対応 バスカプラ クイックスタートガイド

WAGO / / Modbus/RTU対応 バスカプラ クイックスタートガイド クイックスタート WAGO-I/O-SYSTEM 750 750-315/300-000 750-316/300-000 通信設定手順書 Ver 1.0.0 136-0071 東京都江東区亀戸 1-5-7 ワゴジャパン株式会社オートメーション TEL: Web: 03-5627-2059 FAX:03-5627-2055 http://www.wago.co.jp/io WAGO Kontakttechnik

More information

SDC_SDIO_STM32F4 の説明 2013/09/17 SDIO インターフェースで SD カードをアクセスするプログラムのプロジェクトサンプルです FAT でファイルアクセスするために FatFs( 汎用 FAT ファイルシステム モジュール ) を使用しています VCP(USB 仮想 C

SDC_SDIO_STM32F4 の説明 2013/09/17 SDIO インターフェースで SD カードをアクセスするプログラムのプロジェクトサンプルです FAT でファイルアクセスするために FatFs( 汎用 FAT ファイルシステム モジュール ) を使用しています VCP(USB 仮想 C SDC_SDIO_STM32F4 の説明 2013/09/17 SDIO インターフェースで SD カードをアクセスするプログラムのプロジェクトサンプルです FAT でファイルアクセスするために FatFs( 汎用 FAT ファイルシステム モジュール ) を使用しています VCP(USB 仮想 COM ポート : 非同期シリアル通信 ) を使用して SD カードのアクセスを試験することができます

More information

SLCONFIG の操作 JF1PYE Ⅰ. PC と slconfig の通信設定 Ⅱ. Slconfig の操作 Ⅲ. 端末ソフトによる Command 機能 Ⅳ. slconfig 実行形式プログラムの作成 Ⅴ. 端末ソフト Tera Term のダウンロード インストー

SLCONFIG の操作 JF1PYE Ⅰ. PC と slconfig の通信設定 Ⅱ. Slconfig の操作 Ⅲ. 端末ソフトによる Command 機能 Ⅳ. slconfig 実行形式プログラムの作成 Ⅴ. 端末ソフト Tera Term のダウンロード インストー SLCONFIG の操作 2011.03.02 JF1PYE Ⅰ. PC と slconfig の通信設定 Ⅱ. Slconfig の操作 Ⅲ. 端末ソフトによる Command 機能 Ⅳ. slconfig 実行形式プログラムの作成 Ⅴ. 端末ソフト Tera Term のダウンロード インストール 設定 Soliloc-10G Slconfig の開発 提供ならびに本書を作成するに当たり情報提供を頂いた

More information

DWR-S01D Updater 取扱説明書 発行日 :2011/2/28

DWR-S01D Updater 取扱説明書 発行日 :2011/2/28 DWR-S01D Updater 取扱説明書 発行日 :2011/2/28 目次 概要概要...3 機能機能...3 準備準備するものするもの...3 本ソフトウェアソフトウェアについてについて...3 インストールインストール手順手順...4 USB ドライバーのインストールインストール手順手順...8 デバイスマネージャーデバイスマネージャーからの USB ドライバーのインストールインストール手順手順...11

More information

MINI2440マニュアル

MINI2440マニュアル Cortex-M3/LPC1343 USB マイコンボード マニュアル 株式会社日新テクニカ http://www.nissin-tech.com info@nissin-tech.com 2010/2/1 copyright@2010 新バージョン 1 第一章 Cortex-M3/LPC1343 USBマイコンボードの概要...3 第二章 USBブートローダー...4 第三章 RS232 で書き込み...7

More information

1. 使用する信号 1.1. UART 信号 UART 通信に使用する信号と接続相手との接続は以下の通りです UART 信号表 番号 CPU 機能名 CPU 信号名 基板コネクタピン番号 方向 接続相手の信号名 1 USART1_TX PA9 CN > RxD 2 USART1_R

1. 使用する信号 1.1. UART 信号 UART 通信に使用する信号と接続相手との接続は以下の通りです UART 信号表 番号 CPU 機能名 CPU 信号名 基板コネクタピン番号 方向 接続相手の信号名 1 USART1_TX PA9 CN > RxD 2 USART1_R TrueSTUDIO 用 L152CD_UART1 の説明 V001 2014/10/22 UART( 非同期シリアル通信 ) で送受信を行う STM32L152C-DISCO のプロジェクトサンプルです STM32L152C-DISCO は STMicroelectronics 社製の Cortex-M3 ARM CPU である STM32L152RCT6 を搭載した基板です 試用版の開発ツール

More information

RW-5100 導入説明書 Windows7 用 2017 年 7 月 シャープ株式会社

RW-5100 導入説明書 Windows7 用 2017 年 7 月 シャープ株式会社 RW-5100 導入説明書 Windows7 用 2017 年 7 月 シャープ株式会社 はじめに 本書は Windows 7 環境において IC カードリーダライタ RW-5100 を使用するため お使いのパソコンにデバイスドライバソフトウェア ( 以下 ドライバソフト と記載 ) をインストールする方法について記述しています 本書で説明するドライバソフトは Windows 7 SP1 で動作するものです

More information

スライド 1

スライド 1 マイコンをはじめよう 割り込みを使おう 徳島大学大学院ソシオテクノサイエンス研究部 技術専門職員辻明典 連絡先 : 770-8506 徳島市南常三島町 2-1 TEL/FAX: 088-656-7485 E-mail::a-tsuji@is.tokushima-u.ac.jp 割り込みを使おう 第 8 回 2013/9/14(Sat) 10:00 11:30 2 本日の予定 1 割り込みについて 2

More information

WES7/WE8SシンクライアントVMwareHorizonClientアップデート手順書

WES7/WE8SシンクライアントVMwareHorizonClientアップデート手順書 Technical white paper Windows Embedded Standard シンクライアント VMware Horizon Client アップデート手順 目次 はじめに 2 対応する機種と OS イメージ 2 VMware Horizon Client アドオンのダウンロードと展開 3 VMware Horizon Client アドオンのインストール ( 手動インストール )

More information

簡単設定でスマホをブロック!仮想化時代の情報漏えい対策に最適なツール

簡単設定でスマホをブロック!仮想化時代の情報漏えい対策に最適なツール 使える シンクライアント の選び方 (13) 簡単設定でスマホをブロック! 仮想化時代の情報漏えい対策に最適なツール Ver.1.0 Copyright 2015 Hewlett-Packard Development Company, L.P. Page 0 目次 本書の取り扱いについて... 2 0. ユースケースとベネフィット... 3 1. はじめに... 4 2. システム要件... 4

More information

RW-4040 導入説明書 Windows 7 用 2017 年 7 月 シャープ株式会社

RW-4040 導入説明書 Windows 7 用 2017 年 7 月 シャープ株式会社 Windows 7 用 2017 年 7 月 シャープ株式会社 はじめに 本書は IC カードリーダライタ RW-4040 を使用するため お使いのパソコンにデバイスドライバソフトウェア ( 以下 ドライバソフト と記載 ) をインストールする方法について記述しています このドライバソフトは Windows 7 SP1 で動作します 本書では ドライバソフトバージョン 2.27 のインストールについて説明します

More information

スライド 1

スライド 1 RX ファミリ用コンパイラスタートアップの紹介 ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ スタートアップの概要 スタートアッププログラム例 外部メモリを利用する場合の設定 2 スタートアップの概要 3 処理の流れとファイル構成例 パワーオン リセット Fixed_Vectors ( 固定ベクタテーブル )

More information

Studuino ライブラリ環境設定Windows編

Studuino ライブラリ環境設定Windows編 Studuino ライブラリセット 環境設定手順書 Windows 編 本資料は Studuino ライブラリのセットアップ手順書になります 以下の作業の前に 本資料を参考に Arduino 言語開発環境を設定して下さい Arduino 言語で加速度センサーを制御する Studuino プログラミング環境で Arduino 言語に変換したソースを編集する もくじ 1. Arduino IDE のインストール...

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 RTC リアルタイムクロック ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ RTC の概要 プログラムサンプル プログラムサンプルのカスタマイズ 2 RTC の概要 3 RTC の仕様 32.768KHz メイン発振 サブ発振 CPG RTC システムクロック (ICLK) 周辺モジュールクロック

More information

[DS50-N A] BIOS マニュアル BIOS セットアップユーティリティとは BIOS セットアップユーティリティとは BIOS の設定を確認 変更するためのツールです セットアップユーティリティは 本体に内蔵されているマザーボード上のフラッシュメモリーに格納されています このユ

[DS50-N A] BIOS マニュアル BIOS セットアップユーティリティとは BIOS セットアップユーティリティとは BIOS の設定を確認 変更するためのツールです セットアップユーティリティは 本体に内蔵されているマザーボード上のフラッシュメモリーに格納されています このユ BIOS マニュアル BIOS セットアップユーティリティとは BIOS セットアップユーティリティとは BIOS の設定を確認 変更するためのツールです セットアップユーティリティは 本体に内蔵されているマザーボード上のフラッシュメモリーに格納されています このユーティリティで定義される設定情報は チップセット上の CMOS RAM と呼ばれる特殊な領域に格納 されます この設定情報は マザーボードに搭載されているバックアップ電池により保存されます

More information

1. ST-LINK Utility のダウンロード Windows7 PC にインストールする場合について説明します 1.1. STMicroelectronics のサイト STMicroelectronics のサイトを開きます ここに ST-LINK と入力して検索します ( 右側の虫眼鏡を

1. ST-LINK Utility のダウンロード Windows7 PC にインストールする場合について説明します 1.1. STMicroelectronics のサイト STMicroelectronics のサイトを開きます ここに ST-LINK と入力して検索します ( 右側の虫眼鏡を STM32 ST-LINK Utility のインストールと使用方法 V002 2014/04/03 STMicroelectronics 社の CPU STM32 シリーズにプログラムを書き込むために ST-LINK Utility を使用します 書き込むファイルの種類はおもにバイナリファイル (*.bin) またはヘキサファイル (*.hex) です ST-LINK Utility のインストールとプログラムの書き込み方法について説明します

More information

FT-450D シリーズ MAIN ファームウェアアップデートマニュアル 本ソフトウェアは FT-450D/FT-450DM/FT-450DS の アップデートファームウェアです FT-450 シリーズのアップデートには使用できません 八重洲無線株式会社

FT-450D シリーズ MAIN ファームウェアアップデートマニュアル 本ソフトウェアは FT-450D/FT-450DM/FT-450DS の アップデートファームウェアです FT-450 シリーズのアップデートには使用できません 八重洲無線株式会社 FT-450D シリーズ MAIN ファームウェアアップデートマニュアル 本ソフトウェアは FT-450D/FT-450DM/FT-450DS の アップデートファームウェアです FT-450 シリーズのアップデートには使用できません 八重洲無線株式会社 重要 本ソフトウェアは FT-450D/FT-450DM/FT-450DS の日本国内向け仕様のアップデートファームウェアです 日本国内向け仕様の

More information

1. UART について UART は Universal Asynchronous Receiver Transmitter の頭文字をとったもので 非同期シリアル通信と呼ばれます シリアル通信とは 一本の信号線でデータをやりとりするために 1bit ずつデータを送出することをいいます データを受

1. UART について UART は Universal Asynchronous Receiver Transmitter の頭文字をとったもので 非同期シリアル通信と呼ばれます シリアル通信とは 一本の信号線でデータをやりとりするために 1bit ずつデータを送出することをいいます データを受 STM32L_UART1 の説明 V004 2014/03/30 STM32L-Discovery の UART 1 の送受信を行うプログラムです 無料の開発ツール Atollic TrueSTUDIO for ARM Lite( 試用版 ) で作成したプロジェクトです プログラムの開始番地は 0x08000000 です デバッグが可能です PC アプリケーションの Access_SerialPort

More information

表 1: ファミリの各製品 デバイス (1) プログラムメモリ バイトワード SRAM ( バイト ) データメモリ データ EEPROM ( バイト ) ピン数 I/O 10 ビット A/D チャンネル コンパレータ CCP/ ECCP BOR/LVD CTMU MSSP EUSART タイマ 8

表 1: ファミリの各製品 デバイス (1) プログラムメモリ バイトワード SRAM ( バイト ) データメモリ データ EEPROM ( バイト ) ピン数 I/O 10 ビット A/D チャンネル コンパレータ CCP/ ECCP BOR/LVD CTMU MSSP EUSART タイマ 8 ご注意 : この日本語版ドキュメントは 参考資料としてご使用の上 最新情報につきましては 必ず英語版オリジナルをご参照いただきますようお願いします PIC18F/LF2XK22/4XK22 nanowatt XLP テクノロジ採用 28/40/44 ピン高性能マイクロコントローラ 高性能 RISC CPU: C コンパイラ向けに最適化されたアーキテクチャ / 命令セット 1024 バイトのデータ EEPROM

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 ADC A/D コンバータ ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ ADC の概要 ソフトウエア トリガ セレクト モード 連続変換モードのプログラム サンプル紹介 2 ADC の概要 3 ADC のブロック図 パワー オフが可能 入力 選択 記憶 比較 基準電圧 変換結果

More information

Visual DSP++ install and tutorial

Visual DSP++ install and tutorial Visual DSP++ インストール & チュートリアル 第 3 版 金子システム株式会社 1 ご注意 1. 本資料に記載されている内容は本資料発行時点のものであり 予告なく変更することがあります 当社製品のご購入およびご使用にあたりましては 当社ホームページを通じて公開される情報を参照ください 2. 当社から提供する情報の正確性と信頼性には万全を尽くしていますが 誤りがないことを保証するものではありません

More information

Microsoft Word - MacOSXインストールマニュアル( ).doc

Microsoft Word - MacOSXインストールマニュアル( ).doc 目次 : NTT コミュニケーションズ IC カードリーダライタ ドライバソフトインストールマニュアル 1. はじめに 2. ドライバソフトのインストール 3. IC カードリーダーの状態確認 ----------------------------------------------- 2014.12 4. ドライバソフトのアンインストール 5. ( 参考 ) ドライバソフトの手動インストール方法

More information

ST-LINK/V2-1 への Upgrade V /10/07 ST-LINK/V2-1 USB driver のインストールおよび ST-LINK/V2-1 の Upgrade について説明します ST-LINK/V2-1 USB driver をインストールしてから ST-LIN

ST-LINK/V2-1 への Upgrade V /10/07 ST-LINK/V2-1 USB driver のインストールおよび ST-LINK/V2-1 の Upgrade について説明します ST-LINK/V2-1 USB driver をインストールしてから ST-LIN ST-LINK/V2-1 への Upgrade V003 2014/10/07 ST-LINK/V2-1 USB driver のインストールおよび ST-LINK/V2-1 の Upgrade について説明します ST-LINK/V2-1 USB driver をインストールしてから ST-LINK/V2-1 の Upgrade を行う必要があります STMicroelectronics 社の NUCLEO

More information

Visual DSP++ install and tutorial

Visual DSP++ install and tutorial Visual DSP++ インストール & チュートリアル 第 4 版 金子システム株式会社 1 ご注意 1. 本資料に記載されている内容は本資料発行時点のものであり 予告なく変更することがあります 当社製品のご購入およびご使用にあたりましては 当社ホームページを通じて公開される情報を参照ください 2. 当社から提供する情報の正確性と信頼性には万全を尽くしていますが 誤りがないことを保証するものではありません

More information

Microsoft Word - PIC-USBマイコンボード_v1-02@WEB公開用資料.docx

Microsoft Word - PIC-USBマイコンボード_v1-02@WEB公開用資料.docx PIC-USB マイコンボード C 言語による PIC プログラミング入門 ( 浅川毅著 ) にて使用しているマイコンボードです プログラム ファームウェア 基板単体 パーツ類 URL1 より全てダウンロード可能 URL2 より購入可能 URL2 または電子パーツ店より購入可能 URL1 ソースファイルほか http://www.tdupress.jp/download/robot-mpu/isbn978-4-501-55350-0-2.html

More information

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装 LIM Corp. Nios II - PIO を使用した I 2 C-Bus (2 ワイヤ ) マスタの実装 ver.1.0 2010 年 6 月 ELSEN,Inc. 目次 1. はじめに... 3 2. 適用条件... 3 3. システムの構成... 3 3-1. SOPC Builder の設定... 3 3-2. PIO の設定... 4 3-2-1. シリアル クロック ライン用 PIO

More information

はじめにお読みください

はじめにお読みください はじめにお読みください - 重要 - 本製品の梱包箱に添付されていた ソフトウェアのご使用条件 は本製品の使用許諾についての証明になりますので 大切に保管してください 本製品の内容は 予告なく変更されることがあります 本製品に関する最新の情報は ハンディターミナルポータルサイトをご利用ください < ハンディターミナルポータルサイト > https://www.necplatforms.co.jp/ts/potdev/

More information