CANコントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM)

Size: px
Start display at page:

Download "CANコントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM)"

Transcription

1 November 2012 cv_ cv_ ハードウェア プロセッサ システム (HPS) は Cortex -A9 マイクロプロセッサ ユニット (MPU) サブシステム ホスト プロセッサ および CAN プロトコルで使用するダイレクト メモリ アクセス (DMA) コントローラでのシリアル通信用に 2 つのコントローラ エリア ネットワーク (CAN) コントローラを提供しています CAN コントローラは Bosch D_CAN コントローラのインスタンスで ISO に対応しています CAN コントローラは 以下の機能を提供します Bosch のウェブサイト ( で閲覧可能な CAN Protocol Specification 2.0 パート A およびパート B に対応 最大 1Mbps までのプログラム可能な通信レート 最大 128 通のメッセージまで保持 誤り訂正コード (ECC) 11 ビットの標準識別子および 29 ビットの拡張識別子 プログラム可能なループバック モード 大規模データ転送用の外部ダイレクト メモリ アクセス (DMA) コントローラ 自動再送信 2012 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos are trademarks of Altera Corporation and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as trademarks or service marks are the property of their respective holders as described at Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. ISO 9001:2008 Registered Cyclone V Subscribe

2 図 25 1 に CAN コントローラのブロック図を示します CAN Bus Interface CAN_TXD CAN_RXD CAN Controller CAN Core System Manager ECC Control Signals Message RAM Message RAM Interface Message Handler Register Block Interrupt Request DMA Peripheral Request Interface Host Processor (MPU Subsystem) DMA Controller Slave Interface L4 Peripheral Bus CAN コントローラは 以下のモジュールとインタフェースから構成されています CAN コア CAN バス インタフェースに接続します ISO プロトコルの全ファンクションを処理します メッセージ ハンドラ メッセージ RAM および CAN コアの間でのデータ転送を制御するステート マシーンです 受け入れフィルタリングおよび割り込み生成を処理します メッセージ RAM 最大 128 のメッセージ オブジェクトの保存できます シングル ビット エラー訂正とダブル ビット エラー検出が可能です メッセージ RAM インタフェース IF1 および IF2 の 2 つの独立したインタフェースがあります Cyclone V

3 レジスタ ブロック モジュール セットアップ用と間接メッセージ オブジェクト アクセス用のコントロールおよびステータス レジスタ (CSR) があります メッセージ RAM へのホスト プロセッサ アクセスはすべて メッセージ RAM インタフェースを中継します CSR アクセス用の Level 4(L4) スレーブ インタフェース CAN コントローラは CAN プロトコルのバージョン 2.0 パート A およびパート B に応じて通信を実行します CAN バスでのすべての通信はメッセージ オブジェクトを経由します CAN コントローラは メッセージ オブジェクトをその内部メッセージ RAM に格納します ホスト プロセッサは 直接メッセージ RAM にアクセスできません そのかわり IF1 および IF2 メッセージ インタフェース レジスタのセットは ホスト プロセッサがメッセージにアクセスできるようにします メッセージは メッセージ ハンドラによってメッセージ RAN および CAN コアの間を通過します メッセージ ハンドラには 受け入れフィルタリング 割り込み生成 および送信リクエスト生成などのメッセージ レベルの役割もあります メッセージ RAM は 最大 128 のメッセージ オブジェクトを格納できます ホスト プロセッサのメッセージ RAM へのアクセスと CAN メッセージ送受信の間に起きうる衝突を回避するには ホスト プロセッサを直接メッセージ オブジェクトにアクセスさせないことです アクセスは IF1 および IF2 のメッセージ インタフェース レジスタを通して処理されます 表 25 1 に メッセージ オブジェクトの構造を示します 最初のロウにはメッセージ オブジェクトのコントロール フラグが含まれており 2 番目のロウにはメッセージ オブジェクトのマスクが 3 番目のロウには CAN メッセージがそれぞれ含まれています MsgVal NewDat MsgLst IntPnd TxIE RxIE RmtEn TxRqst EoB UMask Msk [28:0] ID [28:0] MXtd Xtd MDir Dir DLC [3:0] Data 0 [7:0] Data 1 [7:0] Data 2 [7:0] Data 3 [7:0] Data 4 [7:0] Data 5 [7:0] Data 6 [7:0] この項では メッセージ オブジェクトのコントロール フラグについて説明します MsgVal 0= メッセージ オブジェクトはメッセージ ハンドラに無視されます Data 7 [7:0] 1= メッセージ オブジェクトはコンフィギュレーションされて メッセージ ハンドラで認識される必要があります Cyclone V

4 ホスト プロセッサは CAN コントローラを初期化するために CAN コントロール レジスタ (CCTRL) の初期化ビット (Init) をリセットする前に未使用のメッセージ オブジェクトすべての MsgVal ビットを 0 に設定する必要があります メッセージ オブジェクトをそれ以上使用しない場合 MsgVal もゼロに設定する必要があります MsgVal フィールドは メッセージ バリッド レジスタ (MOVALA MOVALB MOVALC MOVALD および MOVALX) から直接読み出し可能です しかし 特別なメッセージ オブジェクト用として MsgVal フィールドに書き込む場合 ホスト プロセッサはメッセージ インタフェース レジスタに書き込む必要があります NewDat 0= どの新規データも ホスト プロセッサによってこのフラグがクリアされて以来 メッセージ ハンドラによってこのメッセージ オブジェクトのデータ部分に書き込まれていません 1= メッセージ ハンドラまたはホスト プロセッサは 新規データをこのメッセージ オブジェクトのデータ部分に書き込みました NewDat フィールドは 新しいデータ レジスタ (MONDA MONDB MONDC MONDD および MONDX) から直接読み出し可能です しかし 特別なメッセージ オブジェクト用として NewDat フィールドに書き込む場合 ホスト プロセッサはメッセージ インタフェース レジスタに書き込む必要があります MsgLst 0= ホスト プロセッサにこのビットがリセットされて以来メッセージ消失はありません 1= NewDat ビットがまだ設定されているときに ホスト プロセッサがメッセージを消失してしまったことを示すために メッセージ ハンドラは新規メッセージをこのオブジェクトに格納します MsgLst は メッセージ方向ビット (Dir) が受信になっているメッセージ オブジェクト中でのみ有効です IntPnd 0= このメッセージ オブジェクトは割り込みのソースではありません 1= このメッセージ オブジェクトは割り込みのソースです より高い優先度の割り込みソースが他にない場合 CAN 割り込みレジスタ (CIR) の割り込み識別子フィールドはこのメッセージ オブジェクトをポイントします IntPnd フィールドは 割り込み待機レジスタ (MOIPA MOIPB MOIPC MOIPD および MOIPX) から直接読み出し可能です しかし 特別なメッセージ オブジェクト用として IntPnd フィールドに書き込む場合 ホスト プロセッサはメッセージ インタフェース レジスタに書き込む必要があります TxIE 0= TxIE はディセーブルされます フレームの送信後 IntPnd は変更されずにそのままです 1= TxIE はイネーブルされます フレームの送信後 IntPnd が設定されます Cyclone V

5 RxIE 0= RxIE はディセーブルされます フレームの受信後 IntPnd は変更されずにそのままです 1= RxIE はイネーブルされます フレームの受信後 IntPnd が設定されます RmtEn 0= RmtEn はディセーブルされます リモート フレームの受信時 TxRqst は変更されずにそのままです 1= RmtEn はイネーブルされます リモート フレームの受信時 TxRqst が設定されます TxRqst 0= このメッセージ オブジェクトは 送信を待機しません 1= このメッセージ オブジェクトの送信が要求されて 完了していません TxRqst フィールドは 送信リクエスト レジスタ (MOTRA MOTRB MOTRC MOTRD および MOTRX) から直接読み出し可能です しかし 特別なメッセージ オブジェクト用として TxRqst フィールドに書き込む場合 ホスト プロセッサはメッセージ インタフェース レジスタに書き込む必要があります EoB 0= メッセージ オブジェクトは FIFO バッファ ブロックに属しており FIFO バッファ ブロックの最後のメッセージ オブジェクトではありません 1= FIFO バッファ ブロックのシングル メッセージ オブジェクトまたは最後のメッセージ オブジェクトです このビットは FIFO バッファを構成する 2 つ以上のメッセージ オブジェクト ( 最大 128) を連結するために使用されます (FIFO バッファに属していない ) シングル メッセージ オブジェクトでは このビットは常に 1 に設定されている必要があります メッセージ オブジェクトのマスク ビットは アービトレーション ビットと共に受信メッセージの受け入れフィルタリングに使用されます UMask 0= マスクを無視します Msk[28:0] MXtd および Mdir は受け入れフィルタリングに影響しません 受け入れられる受信メッセージでは 以下の条件すべてを満たしている必要があります 受信メッセージが メッセージ方向が 0( 受信 ) に設定されたデータ フレームである またはメッセージ方向が 1( 送信 ) に設定されたリモート フレームであること 受信メッセージ識別子が メッセージ オブジェクトのメッセージ識別子 (ID[28:0]) に一致していること 受信識別子の拡張ビットが メッセージ オブジェクトの識別子の拡張ビット (Xtd) と一致していること Cyclone V

6 1= それぞれのマスク ビットが受け入れフィルタリング用にセットアップされている場合 受け入れフィルタリング用のマスク (Msk[28:0] MXtd および MDir) を使用します 受け入れられる受信メッセージは 以下の条件をすべて満たしている必要があります 受信メッセージが メッセージ方向が 0( 受信 ) に設定されたデータ フレームである または MDir マスク ビットがイネーブルされている状態でメッセージ方向が 1( 送信 ) に設定されたリモート フレームであること 受信メッセージ識別子が Msk[28:0] マスク ビットがイネーブルされているメッセージ オブジェクトのメッセージ識別子 (ID[28:0]) に一致していること 受信識別子の拡張ビットが MXtd マスク ビットがイネーブルされているメッセージ オブジェクトの識別子の拡張ビット (Xtd) と一致していること 1 UMask ビットが 1 に設定されている場合 メッセージ オブジェクトのマスク ビットは MsgVal が 1 に設定される前に メッセージ オブジェクトの初期化中にプログラムされる必要があります Msk[28:0] 識別子マスクは ID[28:0] の関連ビットをフィルタします 0= 関連する識別子ビットは 受け入れフィルタに影響しません 1= 関連する識別子ビットは 受け入れフィルタで使用されます MXtd 0= 拡張フレーム識別子ビット (Xtd) は 受け入れフィルタに影響しません 1= 拡張フレーム識別子ビット (Xtd) は 受け入れフィルタで使用されます 11 ビット ( 標準 ) 識別子がメッセージ オブジェクトで使用される場合 受信データ フレームの識別子は ビット ID28 ~ ビット ID18 に書き込まれます 受け入れフィルタでは Msk28 ~ Msk18 のマスク ビットと共にこれらのビットのみが使用されます MDir 0= メッセージ方向ビット (Dir) は受け入れフィルタリングに影響しません 1= メッセージ方向ビット (Dir) は受け入れフィルタリングで使用されます (1) アルテラは MDir を常に 1 に設定しておくことを推奨しています メッセージ方向ビットを無視するには非常に注意深く処理することが必要で 高度な技術を要します アービトレーション フィールドの ID28-0 Xtd および Dir は 識別子および送信メッセージのタイプを定義するために使用され ( マスク フィールドの Msk28-0 MXtd および MDir と共に ) 受信メッセージの受け入れフィルタリングで使用されます データ フレームを受信するように あるいはリモート フレームを送信するように方向が設定されている場合 受信メッセージは 一致する識別子のバリッド Cyclone V

7 メッセージ オブジェクトに格納されます 拡張フレームは Xtd が 1 に設定されているメッセージ オブジェクトにのみ格納できて 標準フレームは Xtd が 0 に設定されているメッセージ オブジェクトに格納できます 受信メッセージ ( データ フレームまたはリモート フレーム ) が 1 つ以上のバリッド メッセージ オブジェクトに一致している場合 最小のメッセージ数でオブジェクトに格納されます ID[28:0] ID28-ID0:29 ビット識別子 ( 拡張フレーム ) ID28-ID18:11 ビット識別子 ( 標準フレーム ) Xtd 0= 11 ビット ( 標準 ) 識別子は このメッセージ オブジェクトで使用されます 1= 29 ビット ( 拡張 ) 識別子は このメッセージ オブジェクトで使用されます Dir 0= 受信方向です TxRqst が 1 に設定されている場合 このメッセージ オブジェクトの識別子のリモート フレームが送信されます 一致した識別子のデータ フレームの受信時に そのメッセージはこのメッセージ オブジェクトに格納されます 1= 送信方向です TxRqst が 1 に設定されている場合 それぞれのメッセージ オブジェクトはデータ フレームとして送信されます 一致した識別子のリモート フレームの受信時に このメッセージ オブジェクトの TxRqst ビットは 1 に設定されます (RmtEn = 1 の場合 ) DLC[3:0] DLC は データ フレームのデータ バイト数を指定します 最大バイト数は 8 です メッセージ オブジェクトのデータ長コード (DLC) は すべての CAN デバイスの同一識別子のすべての関連オブジェクトの中と同じように定義されます メッセージ ハンドラがデータ フレームを格納する場合 DLC フィールドを受信メッセージで与えられる値に設定します Data 0[7:0] Data 7[7:0] CAN データ フレームのデータ バイトです メッセージ インタフェース レジスタには IF1 および IF2 の 2 つがあり ホスト プロセッサまたは DMA コントローラに対して 間接的に任意のメッセージ オブジェクトにアクセスするための手段を提供します メッセージ オブジェクトは メッセージ RAM およびメッセージ バッファ レジスタ間で メッセージ間のデータ一貫性を維持しながらシングルのアトミック動作として転送されます Cyclone V

8 表 25 2 に 各メッセージ インタフェース レジスタ セットの構造を示します ここで x は 1 または 2 のどちらか一方に設定することを示しています コマンド メッセージ バッファ IFxCMR IFxMSK IFxARB IFxMCTR IFxDA IFxDB IFx コマンド レジスタ IFx マスク レジスタ IFx アービトレーション レジスタ IFx メッセージ コントロール レジスタ IFx データ A レジスタ IFx データ B レジスタ 転送方向を指定して メッセージ オブジェクトの転送する部分を選択します メッセージ オブジェクトの Msk MDir および MXtd マスク フィールドへのアクセスを提供します メッセージ オブジェクトの ID Dir Xtd および MsgVal アービトレーション フィールドへのアクセスを提供します メッセージ オブジェクトの DLC EoB TxRqst RmtEn RxIE TxIE UMask IntPnd MsgLst および NewDat フィールドへのアクセスを提供します メッセージ オブジェクトのデータ バイト 0 ~ 3 へのアクセスを提供します メッセージ オブジェクトのデータ バイト 4 ~ 7 へのアクセスを提供します 図 25 1 に示すように CAN コントローラは DMA コントローラのリクエストを発行して メッセージ インタフェース レジスタ間またはそれらとシステム メモリとの間でデータを転送できます CAN コントローラには can_if1dma および can_if2dma と呼ばれる 2 つの DMA リクエスト インタフェースがあります CAN ペリフェラル リクエスト インタフェースは FPGA の DMA ペリフェラル リクエスト インタフェースと共有されます DMA ペリフェラル リクエスト インタフェースを使用するには ホスト プロセッサがプロトコル グループ (protogrp) の CAN コントロール レジスタ (CCTRL) にアクセスする必要があります ペリフェラル リクエスト インタフェースは システム マネージャを通して選択されます f CAN DMA ペリフェラル リクエスト インタフェースの選択について詳しくは Cyclone V デバイス ハンドブック Volume 3 の System Manager の章を参照してください DMA サポート機能をアクティベートして転送を初期化するには メッセージ インタフェース グループ (msgifgrp) の適切な IF コマンド レジスタ (IFxCMR) の DMAactive ビットに 1 を書き込みます メッセージ オブジェクト転送の完了後 CAN コントローラは DMA ペリフェラル リクエストを発行して次のメッセージ オブジェクト転送を実行します リクエストは メッセージ インタフェース レジスタに対する最初の読み出しまたは書き込みまでアクティブの状態が維持されます f 詳しくは Cyclone V デバイス ハンドブック Volume 3 の DMA Controller の章を参照してください Cyclone V

9 CAN コントローラは アービトレーションを失ったフレームまたは送信中にエラーが発生したフレームを自動再送信する手段を提供します 再送信は ユーザーが介入や通知をしなくても自動的に発生します 送信がうまくいった場合には通常の確認がなされます テスト モードをイネーブルするには CCTRL レジスタのテスト モード イネーブル ビット (Test) を 1 に設定します この動作によって CAN テスト レジスタ (CTR) への書き込みアクセスがアクティベートされます 以下の項では 使用可能なテスト モードを説明します テスト レジスタ (CTR) のサイレント モード (Silent) ビットを 1 にプログラミングすることで CAN コントローラがサイレント モードに設定されます サイレント モードでは CAN コントローラはバリッド データ フレームおよびバリッド リモート フレームを受信することができますが CAN_TXD ピンを High の状態に保持して CAN バスにデータを送信しません サイレント モードは 主要なビットの送信による CAN バスへの影響なしでそのトラフィックを解析するために使用できます ISO では サイレント モードはバス モニタリング モードと呼ばれます 図 25 2 に サイレント モードでの CAN コアを示します CAN Controller CAN_RXD CAN_TXD Vcc RX TX CAN Core テスト レジスタ (CTR) のループバック モード (LBack) ビットを 1 にプログラミングすることで CAN コントローラがループバック モードに設定されます ループバック モードでは CAN コントローラは 自身の送信メッセージを受信メッセージとして扱って それらを受信バッファに格納します ( 受け入れフィルタリングを通過する場合 ) 外部シミュレーションと独立させるために CAN コントローラは ループバック モードでは確認エラーを無視します このモードでは CAN コントローラは 送信 (TX) 出力から受信 (RX) 入力に対して内部フィードバックを提供します 入力ピンの実際の値は CAN コントローラに無視されます Cyclone V

10 図 25 3 に ループバック モードでの CAN コアを示します CAN Controller CAN_RXD CAN_TXD RX TX CAN Core テスト レジスタ (CTR) のサイレント モード (Silent) ビットおよびループバック モード (LBack) ビットを 1 にプログラミングすることで CAN コントローラはループバックとサイレントの組み合わせモードに設定されます 組み合わせモードは CAN バスに接続されているほかのデバイスに影響することなく CAN ハードウェアをテストするために使用できます このモードでは CAN_RXD ピンは CAN コアから接続されておらず CAN_TXD ピンは High に維持されます 図 25 4 に 組み合わせモードでの CAN コアを示します CAN Controller CAN_RXD CAN_TXD Vcc RX TX CAN Core ホスト プロセッサは L4 スレーブ インタフェースを通して CAN コントローラのデータ コントロール およびステータスの情報にアクセスします スレーブ インタフェースは 32 ビット アクセスのみサポートしています 1 このインタフェースは エラー応答をサポートしていません CAN コントローラは l4_sp_clk クロック入力および can_clk クロック入力で動作します l4_sp_clk クロックは L4 スレーブ インタフェースによって使用されて can_clk は CAN コアを動作するために使用されます can_clk クロックは 少なくとも CAN バス インタフェースの 8 倍の速度にプログラムされている必要があります 例えば 1Mbps のボーレートで動作する CAN バス インタフェースでは can_clk クロックは少なくとも 8MHz に設定されている必要があります l4_sp_clk クロックは can_clk 周波数以上のクロック周波数で動作できます Cyclone V

11 f l4_sp_clk クロックおよび can_clk クロックについて詳しくは Cyclone V デバイス ハンドブック Volume 3 の Clock Manager の章を参照してください 各 CAN コントローラは ソフトウェアまたはハードウェアによってリセットできます ソフトウェアは CAN コントローラ レジスタ マップのプロトコル グループ (protogrp) の CAN コントロール レジスタ (CCTRL) の Init ビットを設定することで初期化されます バスが CAN リンクでオフ状態である場合 このビットは CAN プロトコルを通して設定されます また このビットは ハードウェアのリセット で説明しているハードウェア リセット入力を通しても設定されます 1 2 つのクロック ドメイン間の同期メカニズムにより Init ビットに書き込まれる値が読み返せるようになるまでに遅延が生じることがあります 前回書き込まれた値が受け取られたことを確認するには Init ビットを新規の値に設定する前にそれを読み出します 1 バス オフ リカバリ シーケンスは Init ビットの設定またはリセットによる短縮ができません バス オフについて詳しくは Bosch のウェブサイト ( で閲覧可能な CAN Protocol Specification 2.0 パート A およびパート B を参照してください それぞれの CAN コントローラには 個別のリセット信号があります リセット マネージャは コールド リセットまたはウォーム リセット時にそれらの信号を駆動します リセット信号は 両方のクロック ドメインに同期して CAN コントローラ内の適切なロジックに適用されます f 詳しくは Cyclone V デバイス ハンドブック Volume 3 の Reset Manager の章を参照してください 各 CAN コントローラは 2 つの割り込み信号を生成します 1 つはエラーおよびステータス割り込みを示すもので もう 1 つはメッセージ オブジェクト割り込みを示すものです どちらの割り込み信号もグローバル割り込みコントローラ (GIC) に接続されます 割り込みは プロトコル グループ (protogrp) の CAN コントロール レジスタ (CCTRL) でイネーブルされます プロトコル グループ (protogrp) の CAN 割り込みレジスタ (CIR) は 待機中の最高優先度の割り込みを示します 以下のエラー条件において 割り込みが生成されます バス オフ 送信エラー カウントが 256 以上の場合 プロトコル グループ (protogrp) の CAN ステータス レジスタ (CSTS) のバス オフ (BOff) ビットは 1 に設定されます Cyclone V

12 エラー警告 送信エラー カウンタまたは受信エラー カウンタのどちらか一方が 96 に達している場合 プロトコル グループ (protogrp) の CAN ステータス レジスタ (CSTS) のエラー警告ステータス (EWarn) ビットが 1 に設定されます 以下のステータス条件において 割り込みが生成されます 受信 OK CAN コントローラがメッセージの受信に成功すると プロトコル グループ (protogrp) の CAN ステータス レジスタ (CSTS) の RxOK ビットが 1 に設定されます 送信 OK CAN コントローラがメッセージの送信に成功すると プロトコル グループ (protogrp) の CAN ステータス レジスタ (CSTS) の TxOK ビットが 1 に設定されます ラスト エラー コード メッセージがエラーと共に送受信される場合 エラー タイプに応じてプロトコル グループ (protogrp) の CAN ステータス レジスタ (CSTS) の LEC ビットが設定されます 関連メッセージ オブジェクトの TxIE ビットまたは RxIE ビットが 1 に設定されている場合 メッセージ オブジェクトからの IntPnd ビットは割り込みを生成できます 表 25 3 に 割り込み待機中レジスタのメッセージ オブジェクトの割り込み情報の場所を示します 割り込み待機中レジスタは メッセージ ハンドラ グループ (msghandgrp) にあります MOIPA 割り込み待機中 A レジスタ 1 ~ 32 MOIPB 割り込み待機中 B レジスタ 33 ~ 64 MOIPC 割り込み待機中 C レジスタ 65 ~ 96 MOIPD 割り込み待機中 D レジスタ 97 ~ 128 MOIPX レジスタによって どのメッセージ オブジェクト グループに待機中割り込みがあるかソフトウェアが素早く検出できるようになります この項では CAN コントローラの動作方法について説明します CAN コントロール レジスタ (CCTRL) の Init ビットを 1 に設定することで ソフトウェアの初期化が開始されます Init ビットが 1 のとき CAN バスとの間でメッセージは転送されず CAN_TXD の CAN バス出力が High ステートに維持されます Init ビットを設定しても コンフィギュレーション レジスタは何も変わりません Cyclone V

13 CAN コントローラを初期化するには ホスト プロセッサは CAN 通信で使用される CAN ビット タイミング (CBT) レジスタおよびメッセージ オブジェクトをプログラムする必要があります メッセージ オブジェクトが必要ない場合 RAM 初期化後のデフォルトのように メッセージ オブジェクトの MsgVal ビットを非バリッド (0) に設定すれば十分です MsgVal ビットをバリッド (1) に設定する前に メッセージ オブジェクト全体を設定する必要があります メッセージ オブジェクトは メッセージ インタフェース レジスタ セットのどちらかを通して設定されます CAN コントロール レジスタ (CCTRL) のコンフィギュレーション変更イネーブル (CCE) ビットおよび Init ビットがどちらも 1 に設定されている場合 CAN ビット タイミング (CBT) レジスタへのアクセスがイネーブルされます Init ビットを 0 に設定することで ソフトウェア初期化を終了します CAN コアは バスの動作およびメッセージ転送に関われるようになる前にバスがアイドル状態になるまで待機することで CAN バスのデータ転送に同期します メッセージ オブジェクトの初期化は CAN コントロール初期化から独立しておりいつでも実行可能ですが メッセージ オブジェクトはすべて メッセージ転送が開始する前に特定の識別子にコンフィギュレーションされているか非バリッドに設定されている必要があります 起動時 メッセージ RAM は初期化される必要があります RAM を初期化するには Init ビットを 1 に設定して プロトコル グループ (protogrp) の CAN ファンクション レジスタ (CFR) の RAMInit ビットを 1 に設定します RAM 初期化が完了すると RAMInit ビットは 0 に戻ります RAM 初期化中は すべてのメッセージ オブジェクトがゼロにクリアされていて RAM ECC ビットが初期化されます RAM へのアクセスは RAM 初期化前または初期化中には許可されません CAN コントローラが初期化されると CAN コントローラは CAN バスに同期してメッセージの転送を開始します メッセージがメッセージ ハンドラの受け入れフィルタリングを通過してきた場合 受信されるメッセージは適切なメッセージ オブジェクトに格納されます すべてのアービトレーション ビット Xtd Dir DLC 8 データ バイト マスク ビットおよびコントロール ビットの UMask MXtd MDir EoB MsgLst RxIE TxIE および RmtEn を含むメッセージ全体は メッセージ オブジェクトに格納されます 受信メッセージが格納されている場合 マスクされたアービトレーション ビットは メッセージ オブジェクト内で変更される可能性があります ホスト プロセッサは メッセージ インタフェース レジスタを使用するときはいつでも各メッセージを読み出しまたは更新することがあります メッセージが RAM との間で転送されると同時にホスト プロセッサがメモリ オブジェクトにアクセスする場合 メッセージ ハンドラはデータの一貫性を保証します 転送されるメッセージは ホスト プロセッサによって更新されます パーマネントなメッセージ オブジェクト ( コンフィギュレーション中に設定されるアービトレーション ビットおよびコントロール ビットが複数の CAN 転送用に変更されないメッセージ オブジェクト ) がメッセージ用に存在する場合 データ バイトのみ更新される必要があります それぞれの送信メッセージが同じメッセージ オブジェクトに割り当てられている場合 ( メッセージ オブジェクト数が十分ではない場合 ) このメッセージの送信が要求される前に全メッセージ オブジェクトをコンフィギュレーションする必要があります Cyclone V

14 内部での優先度に応じて メッセージ オブジェクトが送信されると同時に 任意の数のメッセージ オブジェクトの送信が要求される可能性があります メッセージ オブジェクト数は 1 ~ 128 で 内部において 1 が最下位 128 が最上位の優先度です 要求された送信が待機中であっても メッセージが更新されるか あるいは非バリッド (MsgVal=0) として設定される可能性があります 待機中の送信が開始する前にメッセージが更新されると 古いデータは無視されます メッセージ オブジェクトのコンフィギュレーションに応じて マッチング識別子を持っているリモート フレームの受信によって メッセージの送信が自動的に要求されることがあります リモート フレームは CAN ネットワークで特別なメッセージを要求するために使用されるフレームです 1 プログラミングを容易にするために アルテラは 受信方向と送信方向の動作でそれぞれ異なる IF メッセージ インタフェースを使用することを推奨しています データ フレームを受信するようにメッセージ オブジェクトをコンフィギュレーションするには Dir フィールドを 0 に設定します リモート フレームを受信するようにメッセージ オブジェクトをコンフィギュレーションするには Dir フィールドを 1 に UMask を 1 に RmtEn を 0 にそれぞれ設定します オブジェクトが送信されている間にそれが変更されないようにするには 以下のコンフィギュレーション ビットおよびコントロール ビットを変更する前に MsgVal を 0 に設定する必要があります ID[28:0] Xtd DLC[3:0] RxIE TxIE RmtEn EoB UMask Msk[28:0] MXtd MDir メッセージ オブジェクトの以下のフィールドは MsgVal クリアせずに変更できます Data0[7:0] to Data7[7:0] TxRqst NewDat MsgLst Cyclone V

15 IntPnd データ フレームを送信するようにメッセージ オブジェクトをコンフィギュレーションするには Dir フィールドを 1 に設定して UMask を 0 に設定するか RmtEn を 1 に設定します 以下のコンフィギュレーション ビットおよびコントロール ビットを変更する前に MsgVal を 0 に設定する必要があります Dir RxIE TxIE RmtEn EoB UMask Msk[28:0] MXtd MDir メッセージ オブジェクトの以下のフィールドは MsgVal をクリアせずに変更できます ID[28:0] Xtd DLC[3:0] Data0[7:0] to Data7[7:0] TxRqst NewDat MsgLst IntPnd f アドレス マップおよびレジスタの定義は このハンドブックの volume に付属の hps.html ファイルにあります ファイルを開くにはリンクをクリックします モジュールの説明およびベース アドレスを見るには スクロールして以下のモジュール インスタンスのリンクをクリックします can0 can1 Cyclone V

16 そしてレジスタおよびフィールドの説明を見るには スクロールしてレジスタ名をクリックします レジスタのアドレスは 各モジュール インスタンスのベース アドレスに相対的なオフセットです f すべてのモジュールのベース アドレスは Cyclone V デバイス ハンドブック Volume 3 の Introduction to the Hard Processor System の章にも示されています 表 25 4 に 本資料の改訂履歴を示します 2012 年 11 月 1.2 マイナーな更新 リセットの項の拡張 割り込みの項の拡張 2012 年 5 月 1.1 ブロック図およびシステム統合 機能の説明 プログラミング モデル アドレス マップとレジスタの定義の各項の追加 2012 年 1 月 1.0 初版 Cyclone V

ウォッチドッグ・タイマ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM)

ウォッチドッグ・タイマ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM) 11? 2012? cv_54024-1.2 cv_54024-1.2 ウォッチドッグ タイマの主な機能は 無応答ステートから回復するシステムの方法を提供することです ハード プロセッサ システム (HPS) は レベル 4(L4) のペリフェラル バスに接続された 2 つのプログラム可能なウォッチドッグ タイマを提供しています ウォッチドッグ タイマは Synopsys DesignWare APB

More information

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用 WP-01034-1.0/JP DLL (PVT compensation) 90 PLL PVT compensated FPGA fabric 90 Stratix III I/O block Read Dynamic OC T FPGA Write Memory Run Time Configurable Run Time Configurable Set at Compile dq0 dq1

More information

Microsoft Word - quick_start_guide_16 1_ja.docx

Microsoft Word - quick_start_guide_16 1_ja.docx Quartus Prime ソフトウェア ダウンロードおよびインストール クイック スタート ガイド 2016 Intel Corporation. All rights reserved. Intel, the Intel logo, Intel FPGA, Arria, Cyclone, Enpirion, MAX, Megacore, NIOS, Quartus and Stratix words

More information

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ CPLD ISP ISP この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください AN-630-1.0 アプリケーション ノート このアプリケーションノートでは MAX II および MAX V デバイスにおけるリアルタイム ISP(In-System Programmability)

More information

Cyclone V デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール、Cyclone Vデバイス・ハンドブック、Volume 1、第1章

Cyclone V デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール、Cyclone Vデバイス・ハンドブック、Volume 1、第1章 June 2012 CV-52001-2.0 CV-52001-2.0 この章では Cyclone V コア ファブリック内のロジック アレイ ブロック (LAB) の機能を説明します LAB は ロジック ファンクション 演算ファンクション およびレジスタ ファンクションを実装するためにコンフィギュレーションできるアダプティブ ロジック モジュール () として知られる基本的なビルディング ブロックで構成されています

More information

1-2 MLAB 図 1-1: Arria 10 デバイスにおける LAB 構造およびインタコネクトの概要 この図は LAB インタコネクトを有する Arria 10 の LAB および MLAB 構造の概要を表しています C4 C27 異なる速度と長さのロウ インタコネクト R32 R3/R6 s

1-2 MLAB 図 1-1: Arria 10 デバイスにおける LAB 構造およびインタコネクトの概要 この図は LAB インタコネクトを有する Arria 10 の LAB および MLAB 構造の概要を表しています C4 C27 異なる速度と長さのロウ インタコネクト R32 R3/R6 s 1 署名 ロジック アレイ ブロック (LAB) は アダプティブ ロジック モジュール () として知られる基本のビルディング ブロックで構成されています ロジック ファンクション 演算ファンクション およびレジスタ ファンクションを実装するために LAB をコンフィギュレーションすることができます また Arria 10 デバイスで使用可能な LAB の 4 分の 1 をメモリ LAB(MLAB)

More information

I2Cコントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM)

I2Cコントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM) 11? 2012? cv_54020-1.2 cv_54020-1.2 I 2 C コントローラは ボード上の集積回路との間の通信リンクを提供しています 温度センサ および電圧レベル変換などのようなアプリケーションから EEPROM A/D と D/A コンバータ コーデック および多くのマイクロプロセッサの種類に使用され シリアル データ ライン (SDA) とシリアル クロック (SCL) のシンプルな

More information

A-AN pdf

A-AN pdf JQFP BGA 1999 1 ver. 4 Application Note 71 J QFPFineLine BGA TM BGA JQFPBGA JQFP QFPBGA JQFP BGA JQFP BGA J QFP J QFP QFP QFP 125 QFP QFP QFPQFP Carrier & Development Socket Altera Corporation Page 1 A-AN-071-04/J

More information

NANDフラッシュ・コントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM)

NANDフラッシュ・コントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM) November 2012 cv_54010-1.2 cv_54010-1.2 ハード プロセッサ システム (HPS) は Altera system-on-a-chip(soc)fpga システムで外部 NAND フラッシュ メモリと接続する NAND フラッシュ コントローラを提供しています 大容量のアプリケーション用またはユーザー データ用の外部ストレージ容量として ソフトウェアのプロセッサ

More information

USB 2.0 OTGコントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM)

USB 2.0 OTGコントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM) 18. 11? 2012? av_54018-1.2 av_54018-1.2 ハード プロセッサ システム (HPS) は デバイスとホストの両方の機能をサポートする USB On-The-Go (OTG) コントローラの 2 つのインスタンスを提供しています コントローラは デバイスとホストの両方のモードですべての高速 全速および低速の転送をサポートしています コントローラは On-The-Go

More information

AN 611:3G-SDI レベルB とデュアル・リンクHD-SDI(SMPTE372)リファレンス・デザインのマッピング

AN 611:3G-SDI レベルB とデュアル・リンクHD-SDI(SMPTE372)リファレンス・デザインのマッピング AN-611-1.0 この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください このリファレンス デザインは Altera SDI MegaCore ファンクションおよびオーディオ ビデオ開発キット Stratix IV GX エディションを使用して 3 ギガビット / 秒のシリアル

More information

6

6 Stellaris LM3S9B96 Microcontroller 18 章 コントローラ エリア ネットワーク(CAN) モジュール JAJU153 SPMS182D 翻 訳 版 (18 章 ) 最 新 の 英 語 版 : http://www.ti.com/lit/gpn/lm3s9b96 この 資 料 は Texas Instruments Incorporated(TI)が 英 文 で 記

More information

ロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール

ロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール 1 AV-52001 署名 この章では ArriaV コア ファブリックのロジック アレイ ブロック (LAB) の機能について説明します LAB は ロジック ファンクション 演算ファンクション およびレジスタ ファンクションを実装するようにコンフィギュレーションできるアダプティブ ロジック モジュール () として知られる基本ビルディング ブロックで構成されています ArriaV デバイス内で使用可能な

More information

AN 357: Error Detection & Recovery Using CRC in Altera FPGA Devices

AN 357: Error  Detection & Recovery Using CRC in Altera FPGA Devices 2008 年 7 月 ver. 1.4 アルテラ FPGA デバイスの CRC によるエラー検出およびリカバリ Application Note 357 概要 航空電子 テレコム システム コントロール および軍事用アプリケーションの分野で使用されるクリティカルな用途では 以下ができることが重要です FPGA デバイスに格納されたコンフィギュレーション データが正確であるかを確認する システムにコンフィギュレーション

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 SAU シリアル アレイ ユニット ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ SAU の概要 UART 通信機能のプログラム サンプル紹介 2 SAU の概要 3 SAU の機能 クロック同期式調歩同期式マスタ動作のみ チャネル 0: 送信チャネル 1: 受信 4 UART

More information

Stratix IVデバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール, Stratix IV Device Handbook, Volume 1, Ch 2

Stratix IVデバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール, Stratix IV Device Handbook, Volume 1, Ch 2 2010?9? 2010 SIV51002-3.1 SIV51002-3.1 この章では Stratix IV コア ファブリックのロジック アレイ ブロック (LAB) の機能について説明します LAB は ロジック ファンクション 演算ファンクション およびレジスタ ファンクションのコンフィギュレーションに使用可能な から構成されます ロジック アレイ ブロック (LAB) およびアダプティブ

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

ハード・プロセッサ・システム (HPS)のテクニカル・リファランス・マニュアル (TRM) 、第12章:クワッドSPIフラッシュ・コントローラ

ハード・プロセッサ・システム (HPS)のテクニカル・リファランス・マニュアル (TRM) 、第12章:クワッドSPIフラッシュ・コントローラ November 2012 cv_54012-1.2 cv_54012-1.2 ハード プロセッサ システム (HPS) は シリアル NOR フラッシュデ バイスにアクセスするためにクワッドのシリアル ペリフェラル インタフェース (SPI) フラッシュ メモリ コントローラを提供しています クワッド SPI フラッシュ コントローラが同様に高性能デュアルおよびクワッド SPI フラッシュ デバイスの標準的な

More information

デュアルDIMM DDR2およびDDR3 SDRAMのボード・デザイン・ガイドライン、外部メモリ・インタフェース・ハンドブック、Volume 2、第5章

デュアルDIMM DDR2およびDDR3 SDRAMのボード・デザイン・ガイドライン、外部メモリ・インタフェース・ハンドブック、Volume 2、第5章 5? 2012? EMI_DG_005-2.0 EMI_DG_005-2.0 この章では デュアル バッファなし DIMM (UDIMM) DDR2 および DDR3 SDRAM インタフェースの実装のガイドラインについて説明します この章では デュアル DIMM 構成を次の条件で使用して データ信号のシグナル インテグリティに対する影響を説明します 1 スロット実装対 2 スロット実装 DIMM

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介安全機能 ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ 安全機能の概要 フラッシュ メモリ CRC 演算機能 RAM パリティ エラー検出機能 データの保護機能 RAM ガード機能 SFR ガード機能 不正メモリ アクセス機能 周辺機能を使用した安全機能 周波数検出機能 A/D

More information

Microsoft Word - HowToSetupVault_mod.doc

Microsoft Word - HowToSetupVault_mod.doc Autodesk Vault 環境設定ガイド Autodesk Vault をインストール後 必要最小限の環境設定方法を説明します ここで 紹介しているのは一般的な環境での設定です すべての環境に当てはまるものではありません 1 条件 Autodesk Data Management Server がインストール済み Autodesk Vault Explorer がクライアント PC にインストール済み

More information

複数の Nios II を構成する際の注意事項

複数の Nios II を構成する際の注意事項 ver. 1.0 2009 年 4 月 1. はじめに Nios II IDE で ソフトウェアをビルドすると SOPC Builder の GUI 上で Nios II と接続されているペリフェラル用の初期化コードを自動で生成します この各ペリフェラルに対応した初期化コードで ペリフェラルを制御するためにアルテラ社から提供された HAL を利用するための準備や 各ペリフェラルの一般的な理想と考えられる初期状態のレジスタ設定等を行います

More information

Notes and Points for TMPR454 Flash memory

Notes and Points for TMPR454 Flash memory 表紙 TMPR454 内蔵 Flash メモリ対応版手順書 株式会社 DTS インサイト ご注意 (1) 本書の内容の一部または 全部を無断転載することは禁止されています (2) 本書の内容については 改良のため予告なしに変更することがあります (3) 本書の内容について ご不明な点やお気付きの点がありましたら ご連絡ください (4) 本製品を運用した結果の影響については (3) 項にかかわらず責任を負いかねますのでご了承ください

More information

2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk

2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk 2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk Autodesk Vault 2014 新機能 操作性向上 Inventor ファイルを Vault にチェックインすることなくステータス変更を実行できるようになりました 履歴テーブルの版管理を柔軟に設定できるようになりました

More information

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装 LIM Corp. Nios II - PIO を使用した I 2 C-Bus (2 ワイヤ ) マスタの実装 ver.1.0 2010 年 6 月 ELSEN,Inc. 目次 1. はじめに... 3 2. 適用条件... 3 3. システムの構成... 3 3-1. SOPC Builder の設定... 3 3-2. PIO の設定... 4 3-2-1. シリアル クロック ライン用 PIO

More information

ヤマハDante機器と他社AES67機器の接続ガイド

ヤマハDante機器と他社AES67機器の接続ガイド はじめに AES67 は 高性能なデジタル IP ネットワークの相互接続を実現するための標準規格です AES67 は や Ravenna Q-LAN Livewire WheatNet などの異なるネットワーク規格で構築されたシステム間で オーディオ信号を送受信する手段を提供します ヤマハも 機器のアップデートにより順次 AES67 への対応を開始し 第一弾としてデジタルミキシングコンソール CL/QL

More information

81 /******************************************************************************/ 82 /* スレーブアドレスの設定 */ 83 /*****************************************

81 /******************************************************************************/ 82 /* スレーブアドレスの設定 */ 83 /***************************************** 1 /******************************************************************************/ 2 /* IIC(Inter IC Bus) の制御 */ 3 /******************************************************************************/ 4 /*

More information

Arria 10におけるプロトコル経由のCvP初期化およびパーシャル・リコンフィギュレーション ユーザーガイド

Arria 10におけるプロトコル経由のCvP初期化およびパーシャル・リコンフィギュレーション ユーザーガイド Arria 10 におけるプロトコル経由の CvP 初期化およびパーシャル リコンフィギュレーションユーザーガイド 更新情報 UG-20010 101 Innovation Drive San Jose, CA 95134 www.altera.com 目次 -2 目次 Arria 10 における CvP の初期化...1-1 CvP システム... 1-1 CvP を使用するメリット... 1-2

More information

CoIDE 用 STM32F4_UART2 の説明 V /03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000

CoIDE 用 STM32F4_UART2 の説明 V /03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000 CoIDE 用 STM32F4_UART2 の説明 V002 2014/03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000000 です デバッグが可能です 提供する PC のアプリケーションの Access_SerialPort

More information

Microsoft Word - dg_sataahciip_refdesign_jp.doc

Microsoft Word - dg_sataahciip_refdesign_jp.doc SATA AHCI-IP コア リファレンス デザイン説明書 Rev1.3J 2017/03/22 本ドキュメントは AHCI-IP コア実機デモ システムのリファレンス デザインを説明したものです SATA-IP コアの上位に AHCI-IP コアを実装することで アプリケーション レイヤのドライバを介して Linux 等の OS から接続 SATA デバイスを直接ドライブとして認識でき ファイル

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 ADC A/D コンバータ ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ ADC の概要 ソフトウエア トリガ セレクト モード 連続変換モードのプログラム サンプル紹介 2 ADC の概要 3 ADC のブロック図 パワー オフが可能 入力 選択 記憶 比較 基準電圧 変換結果

More information

アプリケーション インスペクションの特別なアクション(インスペクション ポリシー マップ)

アプリケーション インスペクションの特別なアクション(インスペクション ポリシー マップ) CHAPTER 2 アプリケーションインスペクションの特別なアクション ( インスペクションポリシーマップ ) モジュラポリシーフレームワークでは 多くのアプリケーションインスペクションで実行される特別なアクションを設定できます サービスポリシーでインスペクションエンジンをイネーブルにする場合は インスペクションポリシーマップで定義されるアクションを必要に応じてイネーブルにすることもできます インスペクションポリシーマップが

More information

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法 ver. 8.1 2009 年 3 月 1. はじめに Nios II 開発ボードに実装されているメモリ用のコンポーネントは SOPC Builder の中にあらかじめ用意されています しかし 実際に基板を作成した場合には Nios II 開発ボードに実装されているメモリと同じ仕様の製品でない限り SOPC Builder であらかじめ用意されたメモリ用のコンポーネントを使用することはできません この場合

More information

Veritas System Recovery 18 System Recovery Disk

Veritas System Recovery 18 System Recovery Disk Veritas System Recovery 18 System Recovery Disk 免責事項 ベリタステクノロジーズ合同会社は この 書の著作権を留保します また 記載された内容の無謬性を保証しません VERITAS の製品は将来に渡って仕様を変更する可能性を常に含み これらは予告なく われることもあります なお 当ドキュメントの内容は参考資料として 読者の責任において管理 / 配布されるようお願いいたします

More information

PowerPoint Presentation

PowerPoint Presentation Up & Ready シリーズ August 2016 シングルユーザーサブスクリプションガイドサブスクリプション注文後 ~ソフトウェア起動までの流れ Shihori Sakurai Customer Service & Support シングルユーザーサブスクリプションガイドコンテンツ P.3-P.6 P.7-P.14 P.15-P.24 P.25-P.34 シングルユーザーサブスクリプション基本情報

More information

Oracle DatabaseとIPv6 Statement of Direction

Oracle DatabaseとIPv6 Statement of Direction Oracle ホワイト ペーパー 2017 年 10 月 Oracle Database と IPv6 Statement of Direction 免責事項 下記事項は 弊社の一般的な製品の方向性に関する概要を説明するものです また 情報提供を唯一の目的とするものであり いかなる契約にも組み込むことはできません マテリアルやコード 機能の提供をコミットメント ( 確約 ) するものではなく 購買を決定する際の判断材料になさらないで下さい

More information

McAfee SaaS Protection 統合ガイド Microsoft Office 365 と Exchange Online の保護

McAfee SaaS  Protection 統合ガイド Microsoft Office 365 と Exchange Online の保護 統合ガイド改訂 G McAfee SaaS Email Protection Microsoft Office 365 と Exchange Online の保護 Microsoft Office 365 の設定 このガイドの説明に従って McAfee SaaS Email Protection を使用するように Microsoft Office 365 と Microsoft Exchange Online

More information

MIB サポートの設定

MIB サポートの設定 CHAPTER 2 この章では Cisco 10000 シリーズに SNMP および MIB のサポートを設定する手順について説明します 具体的な内容は次のとおりです Cisco IOS リリースに対応する MIB サポートの判別 (p.2-1) MIB のダウンロードおよびコンパイル (p.2-2) シスコの SNMP サポート (p.2-4) Cisco IOS リリースに対応する MIB サポートの判別

More information

1. A/D 入力について分解能 12bit の A/D コンバータ入力です A/D 入力電圧とディジタル値との対応は理論上 入力電圧 0V : 0 入力電圧 +3V : 4095 です 実際はオフセットと傾きがあり ぴったりこの数値にはなりません 2. A/D 入力に使用する信号 STM32L_A

1. A/D 入力について分解能 12bit の A/D コンバータ入力です A/D 入力電圧とディジタル値との対応は理論上 入力電圧 0V : 0 入力電圧 +3V : 4095 です 実際はオフセットと傾きがあり ぴったりこの数値にはなりません 2. A/D 入力に使用する信号 STM32L_A STM32L_ADC の説明 V003 2014/03/30 STM32L-Discovery の A/D 入力を行うプログラムです A/D CH0 ~ A/D CH3 の 4 本の入力が可能です 提供する PC のアプリケーション Access_SerialPort を使用して UART( 非同期シリアル通信 ) により A/D 入力の表示を行うことができます 無料の開発ツール Atollic TrueSTUDIO

More information

2-2 デザイン ガイドライン 表 2-1: 容量と分配 A10-MEMORY タイプ Arria 10 GX Arria 10 GT Arria 10 SX 製品ライン ブロック数 M20K RAM ビット数 (Kb) ブロック数 MLAB RAM ビット数 (Kb) トータル RAM ビット数

2-2 デザイン ガイドライン 表 2-1: 容量と分配 A10-MEMORY タイプ Arria 10 GX Arria 10 GT Arria 10 SX 製品ライン ブロック数 M20K RAM ビット数 (Kb) ブロック数 MLAB RAM ビット数 (Kb) トータル RAM ビット数 2 A10-MEMORY 署名 デバイス内のエンベデッド メモリ ブロックには柔軟性があり デザイン要件に合った最適な小規模メモリ アレイおよび大規模メモリ アレイを提供できるようデザインされています 関連情報 Arria 10 Device Handbook: Known Issues >Arria 10 Device Handbook の章にて予定される更新をリストします エンベデッド メモリのタイプ

More information

内容 1. APX-3302 の特長 APX-3312 から APX-3302 へ変更するためには 差分詳細 ハードウェア ハードウェア性能および仕様 ソフトウェア仕様および制限 Ini ファイルの設

内容 1. APX-3302 の特長 APX-3312 から APX-3302 へ変更するためには 差分詳細 ハードウェア ハードウェア性能および仕様 ソフトウェア仕様および制限 Ini ファイルの設 APX-3312 と APX-3302 の差分一覧 No. OM12021D APX-3312 と APX-3302 は どちらも同じ CameraLink 規格 Base Configuration カメラ 2ch 入力可能なボードになります 本書では APX-3312 をご利用になられているお客様が APX-3302 をご利用になられる場合の資料として 両ボードについての差異 を記述しております

More information

障害およびログの表示

障害およびログの表示 この章の内容は 次のとおりです 障害サマリー, 1 ページ 障害履歴, 4 ページ Cisco IMC ログ, 7 ページ システム イベント ログ, 9 ページ ロギング制御, 12 ページ 障害サマリー 障害サマリーの表示 手順 ステップ 1 [ナビゲーション Navigation ] ペインの [シャーシ Chassis ] メニューをクリックします ステップ 2 [シャーシ Chassis

More information

Microsoft Word - PCI-X_PCIeバスのデータ転送-ver1.0.docx

Microsoft Word - PCI-X_PCIeバスのデータ転送-ver1.0.docx データ転送時におけるエラー / ボード認識不具合に関する資料 2012/06/20 目次 画像データ転送時に発生する問題 ( 過去の事例 )... 3 不具合の発生したチップセットの例... 7 Intel 社製チップセット... 8 テレダインダルサが推奨するチップセットの例... 9 トランザクション層の機能... 11 PCI Express のレーン順序と差動信号の特性... 12 レーン0とレーン1で送信側と受信側で速度差を吸収する機能...

More information

Oracle Enterprise Managerシステム監視プラグイン・インストレーション・ガイドfor Juniper Networks NetScreen Firewall, 10gリリース2(10.2)

Oracle Enterprise Managerシステム監視プラグイン・インストレーション・ガイドfor Juniper Networks NetScreen Firewall, 10gリリース2(10.2) Oracle Enterprise Manager システム監視プラグイン インストレーション ガイド for Juniper Networks NetScreen Firewall 10g リリース 2(10.2) 部品番号 : B28468-01 原典情報 : B28041-01 Oracle Enterprise Manager System Monitoring Plug-in Installation

More information

データ収集用 NIM/CAMAC モジュールマニュアル 2006/5/23 目次 クレート コントローラ CC/ NIM ADC 1821 (Seiko EG&G)...3 ADC インターフェイス U デッドタイム

データ収集用 NIM/CAMAC モジュールマニュアル 2006/5/23 目次 クレート コントローラ CC/ NIM ADC 1821 (Seiko EG&G)...3 ADC インターフェイス U デッドタイム データ収集用 NIM/CAMAC モジュールマニュアル 2006/5/23 hiromi@tac.tsukuba.ac.jp 目次 クレート コントローラ CC/7700...2 NIM ADC 1821 (Seiko EG&G)...3 ADC インターフェイス U9201...4 デッドタイム カウンター NK-1000...5 AD811 8ch ADC (Ortec)...6 C011 4ch

More information

1. 新規プロジェクト作成の準備新規プロジェクトのためのフォルダを用意して そこにプロジェクトを作成します [ 新しいフォルダー ] をクリックして希望のフォルダに新しいフォルダを作成します この例では TrST_F401N_BlinkLD2 というフォルダを作成しました TrST_F401N_Bl

1. 新規プロジェクト作成の準備新規プロジェクトのためのフォルダを用意して そこにプロジェクトを作成します [ 新しいフォルダー ] をクリックして希望のフォルダに新しいフォルダを作成します この例では TrST_F401N_BlinkLD2 というフォルダを作成しました TrST_F401N_Bl NUCLEO-F401RE の TrueSTUDIO プロジェクト構築方法 V001 2014/09/24 Atollic TrueSTUDIO for ARM Lite を使用して NUCLEO-F401RE のプロジェクトを新規に作成する方法について説明します また ビルドとデバッグについても説明しています 目次 1. 新規プロジェクト作成の準備... 2 2. 新規プロジェクトの作成... 3

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

SoC はじめてガイド - HPS-FPGA 間のアクセス方法(Arria® V SoC / Cyclone® V SoC 編)

SoC はじめてガイド - HPS-FPGA 間のアクセス方法(Arria® V SoC / Cyclone® V SoC 編) ALTIMA Company, MACNICA, Inc. SoC はじめてガイド HPS-FPGA 間のアクセス方法 (Arria V SoC / Cyclone V SoC 編 ) Ver.17 2017 年 7 月 Rev.1 ELSENA,Inc. SoC はじめてガイド HPS-FPGA 間のアクセス方法 (Arria V SoC / Cyclone V SoC 編 ) 目次 はじめに...3

More information

SAC (Jap).indd

SAC (Jap).indd 取扱説明書 機器を使用する前に本マニュアルを十分にお読みください また 以後も参照できるよう保管してください バージョン 1.7 目次 目次 について...3 ご使用になる前に...3 インストール手順...4 ログイン...6 マネージメントソフトウェアプログラムの初期画面... 7 プロジェクタの検索...9 グループの設定... 11 グループ情報画面... 12 グループの削除... 13

More information

CSS のスパニングツリー ブリッジの設定

CSS のスパニングツリー  ブリッジの設定 CHAPTER 2 CSS では Spanning Tree Protocol(STP; スパニングツリープロトコル ) ブリッジの設定をサポートしています スパニングツリーブリッジは ネットワークのループを検出して防止します ブリッジ経過時間 転送遅延時間 ハロータイム間隔 最大経過時間など CSS のグローバルスパニングツリーブリッジオプションを設定するには bridge コマンドを使用します

More information

PLCシリアル通信 MODBUS通信 データ送信/受信プログラム例

PLCシリアル通信 MODBUS通信 データ送信/受信プログラム例 MODBUS RTU 通信時の配線例 ( 例 )FPΣ と弊社製温調器 KT シリーズ通信します マスタとして使用する FPΣ の MODBUS マスタ機能を使用し スレーブの KT シリーズのデータを読み出し 書き込みを行います マスタ データ書き込み スレーブ データ読み出し RS485 FPΣ の通信カセットは COM3 カセット (FPG-COM3) もしくは COM4 カセット (FPG-COM4)

More information

AutoCAD道場-なぜ「レイアウト」がいいのか?

AutoCAD道場-なぜ「レイアウト」がいいのか? AutoCAD 道場 : AutoCAD 習得のための傾向と対策セッション 3 なぜ レイアウト がいいのか? オートデスクコンサルタント井上竜夫 20110802 Ver. 1.0 レイアウトの基本 モデル空間 実際に作図作業を行う空間 作図は原寸 1:1 で行うのが原則 レイアウト空間 図面レイアウトの作成を行う空間 レイアウトの使用 ビューポートを配置して 図面レイアウトを作成 印刷 ビューポートはモデル空間の要素をレイアウトに表示するための窓

More information

NOTICE The information contained in this document is believed to be accurate in all respects but is not warranted by Mitel Networks Corporation (MITEL

NOTICE The information contained in this document is believed to be accurate in all respects but is not warranted by Mitel Networks Corporation (MITEL MiVoice 6725ip Microsoft Lync Phone 41-001367-06 REV02 クイックスタートガイド NOTICE The information contained in this document is believed to be accurate in all respects but is not warranted by Mitel Networks Corporation

More information

アナログ・接点変換器

アナログ・接点変換器 LoRa/ 通信変換器 HLR-RS485 通信仕様書 (Modbus) インターフェース 2019 年 02 月 19 日 改訂履歴 日付改訂者改訂内容 2018/09/14 野村初版 2019/02/19 山下 改訂 1 P12 説明文修正 レジスタ割付修正 P13 キャリアセンス異常エラー追加 承認確認作成 ( 3 ) 目次 1 概要... 4 2 基本仕様... 4 3 通信モードについて...

More information

ターゲット項目の設定について

ターゲット項目の設定について Code Debugger CodeStage マニュアル別冊 ターゲット 項目の設定について Rev. 2.8 2018 年 4 月 13 日 BITRAN CORPORATION ご注意 1 本書及びプログラムの内容の一部または 全部を無断で転載することは プログラムのバックアップの場合を除き 禁止されています 2 本書及びプログラムの内容に関しては 将来予告なしに変更することがあります 3 当社の許可なく複製

More information

MODBUS ユーザーズマニュアル 페이지 1 / 23

MODBUS ユーザーズマニュアル 페이지 1 / 23 MODBUS ユーザーズマニュアル 페이지 1 / 23 目次 1. 概要... 1 2. GX7 サポートファンクションコード... 1 3. GX7 サポートリファレンス... 1 4. GX7 サポートリファレンスとファンクションコードの関係... 2 5. XD+ 内プロジェクト設定でオプション別の機能... 4 6. トラブルシューティング... 9 A. APPENDIX... 12 1.

More information

ICS_Japan アプリケーションノート ISO メッセージ送受信基礎編 Rev File Name: アプリケーションノート _ISO15765_2_ メッセージ送受信 _ 基礎編 _A00.docx Intrepid Control Systems, Inc. アプリ

ICS_Japan アプリケーションノート ISO メッセージ送受信基礎編 Rev File Name: アプリケーションノート _ISO15765_2_ メッセージ送受信 _ 基礎編 _A00.docx Intrepid Control Systems, Inc. アプリ Intrepid Control Systems, Inc. アプリケーションノート ISO157652 メッセージ送受信基礎編 ( 株 ) 日本イントリピッド コントロール システムズ 1/15 目次 1. 概要 3 2. ISO157652 プロトコル 3 2.1. Multiple frame transmission 3 2.2. Addressing Format 4 2.2.1. Normal

More information

オンチップ・メモリ クイック・ガイド for Cyclone III

オンチップ・メモリ クイック・ガイド for Cyclone III ver.9.1 2010 年 1 月 1. はじめに アルテラ社製 FPGA デバイスにおいてオンチップ メモリ (FPGA 内部で RAM や ROM などを構成 ) を実現するには Memory Compiler メガファンクションを使用します Memory Compiler メガファンクションは Cyclone シリーズ, Arria シリーズ, Stratix シリーズ, HardCopy

More information

8B10Bエンコーダ/デコーダMegaCoreファンクション・ユーザガイド

8B10Bエンコーダ/デコーダMegaCoreファンクション・ユーザガイド 8B10B / MegaCore 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com MegaCore : 7.1 : 2007 5 Copyright 2007 Altera Corporation. All rights reserved. Altera, The Programmable Solutions

More information

Quartus Prime - プログラミング・ファイルの生成や変換(Convert Programming Files)

Quartus Prime - プログラミング・ファイルの生成や変換(Convert Programming Files) ALTIMA Corp. Quartus Prime プログラミング ファイルの生成や変換 (Convert Programming Files) ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. Quartus Prime プログラミング ファイルの生成や変換 (Convert Programming Files) 目次 1. 2. はじめに...3 操作方法...4 2-1.

More information

VERITAS Backup Exec for Windows Servers Management Pack for Microsoft Operations Manager ガイド

VERITAS Backup Exec for Windows Servers Management Pack for Microsoft Operations Manager ガイド WHITE PAPER VERITAS TM Servers Microsoft Operations Manager 管理パックガイド VERITAS Servers Microsoft Operations Manager 管理パックガイド 目次 はじめに...3 Windows Servers - MOM 管理パックの展開...4 セットアップと環境構成...4 テクニカルリファレンス...4

More information

ログインおよび設定

ログインおよび設定 この章は 次の項で構成されています の概要, 1 ページ admin パスワードのリセット, 3 ページ パスワードと共有秘密のガイドライン, 3 ページ 共有秘密のリセット, 4 ページ の概要 Cisco UCS Central GUI および Cisco UCS Central CLI の両方を使用して Cisco UCS Central にログ インできます 両方のインターフェイスを使用すると

More information

テクニカルホワイトペーパー HP Sure Run HP PC のハードウェア強制されたアプリケーション永続性 HP Sure Run は ハードウェア強制アプリケーション永続化ソリューションで OS の実行中にポリシー適用ハードウェアとの通信を維持する機能を備えています OS 内の HP Sure

テクニカルホワイトペーパー HP Sure Run HP PC のハードウェア強制されたアプリケーション永続性 HP Sure Run は ハードウェア強制アプリケーション永続化ソリューションで OS の実行中にポリシー適用ハードウェアとの通信を維持する機能を備えています OS 内の HP Sure テクニカルホワイトペーパー HP PC のハードウェア強制されたアプリケーション永続性 は ハードウェア強制アプリケーション永続化ソリューションで OS の実行中にポリシー適用ハードウェアとの通信を維持する機能を備えています OS 内の エージェントが攻撃または削除された場合でも 重要なサービスおよびアプリケーションの存在を継続的に監視します はハードウェアレベル (OS より下 ) で HP エンドポイントセキュリティコントローラーと相互作用し

More information

Quartus II はじめてガイド - Convert Programming File の使い方

Quartus II はじめてガイド - Convert Programming File の使い方 ALTIMA Corp. Quartus II はじめてガイド Convert Programming File の使い方 ver.14 2015 年 1 月 Rev.1 ELSENA,Inc. Quartus II はじめてガイド Convert Programming File の使い方 目次 1. 2. はじめに...3 操作方法...3 2-1. 2-2. 2-3. Convert Programming

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? レジスタ アクセスの拡張機能 1. レジスタ アクセスの概要 Smart-USB Plus 製品で利用できるレジスタ アクセスとは FPGA 内にハードウエア レジスタを実装し ホスト PC の制御ソフトウエアから USB 経由でそれらのレジスタに値を設定したり レジスタの設定値を読み出すことができる機能です このレジスタ アクセス制御には USB バス仕様に基づく コントロール転送 を利用しています

More information

USB-Blasterダウンロード・ケーブル・ユーザガイド

USB-Blasterダウンロード・ケーブル・ユーザガイド USB-Blaster 101 Innovation Drive San Jose, CA 95134 www.altera.com 2.3 2007 5 UG-USB81204-2.3 P25-10325-03 Copyright 2007 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company,

More information

ソフトウェアの説明

ソフトウェアの説明 CHAPTER 2 この章では Cisco Edge Craft とその機能の概要について説明します 2.1 概要 Cisco Edge Craft は ネットワーク要素を 1 つずつ運用状態にする場合に使用します Cisco Edge Craft でできるのは ネットワーク要素に保存されている情報の表示と その情報に関する操作だけです Cisco Edge Craft のグラフィカルユーザインターフェイス

More information

Xpand! Plug-In Guide

Xpand! Plug-In Guide Xpand! Version 1.0 Copyright 2006 Digidesign, a division of Avid Technology, Inc. All rights reserved. This guide may not be duplicated in whole or in part without the express written consent of Digidesign.

More information

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ arduino プログラミング課題集 ( Ver.5.0 2017/06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイコンから伝える 外部装置の状態をマイコンで確認する 信号の授受は 入出力ポート 経由で行う (2) 入出力ポートとは?

More information

Oracle DatabaseとIPv6 Statement of Direction

Oracle DatabaseとIPv6 Statement of Direction Oracle ホワイト ペーパー 2011 年 2 月 Oracle Database と IPv6 Statement of Direction 免責事項 以下の事項は 弊社の一般的な製品の方向性に関する概要を説明するものです また 情報提供を唯一の目的とするものであり いかなる契約にも組み込むことはできません 以下の事項は マテリアルやコード 機能の提供をコミットメント ( 確約 ) するものではなく

More information

PowerPoint Presentation

PowerPoint Presentation 第 18 回 Autodesk Moldflow ライブヘルプ What s New Autodesk Moldflow 2018 オートデスク株式会社 プロダクトサポート Autodesk Moldflow ライブヘルプ Web 会議システムによる 気軽に参加いただく 1 時間のサポートセッション 目的 多くのユーザ様を直接ヘルプできる 直接フィードバックを頂くことができる (Q&A セッション

More information

Cyclone III デバイス・ファミリの メモリ・ブロック

Cyclone III デバイス・ファミリの メモリ・ブロック この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください Cyclone III デバイス ファミリ (Cyclone III および Cyclone III LS デバイス ) は アルテラの Cyclone III デバイス ファミリのデザインのオンチップ メモリの要件に対応するエンベデッド

More information

CommCheckerManual_Ver.1.0_.doc

CommCheckerManual_Ver.1.0_.doc 通信チェックツール (CommChecker) 取扱説明書 (Ver.1.0) 2009 ESPEC Corp. 目次 1. 使用条件 4 2. ダウンロード & インストール 5 3. 環境設定 6 3-1.RS-485 通信 6 3-2.RS-232C 通信 7 3-3.GPIB 通信 8 4. ソフトウェアの使用方法 9 4-1. 起動 9 4-2. 通信設定 10 (1)RS485 通信 10

More information

改訂履歴 版番号改訂日改訂者改訂内容 年 2 月 12 日ネットワールド 新規 I

改訂履歴 版番号改訂日改訂者改訂内容 年 2 月 12 日ネットワールド 新規  I 2016 年 2 月 12 日 第 1.0 版 株式会社ネットワールド 改訂履歴 版番号改訂日改訂者改訂内容 1.0 2016 年 2 月 12 日ネットワールド 新規 www.networld.co.jp/product/cisco/ I 免責事項 本書のご利用は お客様ご自身の責任において行われるものとします 本書に記載する情報については 株式会社ネットワールド ( 以下弊社 ) が慎重に作成および管理いたしますが

More information

ESOTERIC ASIO USB DRIVER インストールマニュアル Windows 用 システム推奨条件 2 インストールで使用する言語を選択して 次へ ボタンをクリックする Intel Core 2 Duo 以上のプロセッサー搭載コンピュータ 搭載メモリ 1GB 以上 対応 OS Windo

ESOTERIC ASIO USB DRIVER インストールマニュアル Windows 用 システム推奨条件 2 インストールで使用する言語を選択して 次へ ボタンをクリックする Intel Core 2 Duo 以上のプロセッサー搭載コンピュータ 搭載メモリ 1GB 以上 対応 OS Windo ESOTERIC ASIO USB DRIVER インストールマニュアル Windows 用 システム推奨条件 2 インストールで使用する言語を選択して 次へ ボタンをクリックする Intel Core 2 Duo 以上のプロセッサー搭載コンピュータ 搭載メモリ 1GB 以上 対応 OS Windows 7 (32bit 版 64bit 版 ) Windows 8 (32bit 版 64bit 版

More information

Imation Encryption Manager Plus Imation Encryption Manager Plus ソフトウェアにより 対応 USB フラッシュドライブにパスワード保護に対応した総合セキュリティーを設定することができます Imation Encryption Manage

Imation Encryption Manager Plus Imation Encryption Manager Plus ソフトウェアにより 対応 USB フラッシュドライブにパスワード保護に対応した総合セキュリティーを設定することができます Imation Encryption Manage IMATION ENCRYPTION MANAGER PLUS ユーザーマニュアル Imation Encryption Manager Plus Imation Encryption Manager Plus ソフトウェアにより 対応 USB フラッシュドライブにパスワード保護に対応した総合セキュリティーを設定することができます Imation Encryption Manager Plus には

More information

<4D F736F F D A B D815B83675F49534F F325F A815B EF3904D5F8AEE916295D25F E646F6378>

<4D F736F F D A B D815B83675F49534F F325F A815B EF3904D5F8AEE916295D25F E646F6378> Intrepid Control Systems, Inc. アプリケーションノート ISO15765-2 メッセージ送受信基礎編 1/17 目次 1. 概要... 3 2. ISO15765-2 プロトコル... 3 2.1. Multiple frame transmission... 3 2.2. Addressing Format... 4 2.2.1. Normal addressing...

More information

Introducing_SPIStorm-JP

Introducing_SPIStorm-JP SPI Storm の紹介 USB での SPI Storm は Byte Paradigm の USB Serial Protocol host adapter です SPI Storm は マスターとして SPI (Serial Peripheral Interface) 3-wires SPI dual-spi quad-spi プロトコルをサポートします それは PC から最大 100MHz

More information

インテル® Stratix®10 デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール・ユーザーガイド

インテル®  Stratix®10 デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール・ユーザーガイド 更新情報 フィードバック 最新版をウェブからダウンロード : PDF HTML 目次 目次 1 インテル Stratix デバイスの LAB および の概要... 3 2 HyperFlex レジスター... 4...5 3.1 LAB... 5 3.1.1 MLAB... 6 3.1.2 ローカル インターコネクトおよびダイレクトリンク インターコネクト...6 3.1.3 キャリーチェーンのインターコネクト...

More information

1. UART について UART は Universal Asynchronous Receiver Transmitter の頭文字をとったもので 非同期シリアル通信と呼ばれます シリアル通信とは 一本の信号線でデータをやりとりするために 1bit ずつデータを送出することをいいます データを受

1. UART について UART は Universal Asynchronous Receiver Transmitter の頭文字をとったもので 非同期シリアル通信と呼ばれます シリアル通信とは 一本の信号線でデータをやりとりするために 1bit ずつデータを送出することをいいます データを受 STM32L_UART1 の説明 V004 2014/03/30 STM32L-Discovery の UART 1 の送受信を行うプログラムです 無料の開発ツール Atollic TrueSTUDIO for ARM Lite( 試用版 ) で作成したプロジェクトです プログラムの開始番地は 0x08000000 です デバッグが可能です PC アプリケーションの Access_SerialPort

More information

1. 使用する信号 1.1. UART 信号 UART 通信に使用する信号と接続相手との接続は以下の通りです UART 信号表 番号 CPU 機能名 CPU 信号名 基板コネクタピン番号 方向 接続相手の信号名 1 USART1_TX PA9 CN > RxD 2 USART1_R

1. 使用する信号 1.1. UART 信号 UART 通信に使用する信号と接続相手との接続は以下の通りです UART 信号表 番号 CPU 機能名 CPU 信号名 基板コネクタピン番号 方向 接続相手の信号名 1 USART1_TX PA9 CN > RxD 2 USART1_R TrueSTUDIO 用 L152CD_UART1 の説明 V001 2014/10/22 UART( 非同期シリアル通信 ) で送受信を行う STM32L152C-DISCO のプロジェクトサンプルです STM32L152C-DISCO は STMicroelectronics 社製の Cortex-M3 ARM CPU である STM32L152RCT6 を搭載した基板です 試用版の開発ツール

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

Autodesk Fusion 360 Autodesk Fusion 360 Honda 3D Fusion 360 CAD Honda EV Autodesk Fusion 360 Honda D 3D Web Rinkak 3D 2016 Honda 3D CEATEC JAPAN

Autodesk Fusion 360 Autodesk Fusion 360 Honda 3D Fusion 360 CAD Honda EV Autodesk Fusion 360 Honda D 3D Web Rinkak 3D 2016 Honda 3D CEATEC JAPAN Xenoma GENKEI Autodesk Fusion 360 Autodesk Fusion 360 Honda 3D Fusion 360 CAD Honda EV Autodesk Fusion 360 Honda 2013 3D 3D Web Rinkak 3D 2016 Honda 3D CEATEC JAPAN 2016 Honda EV 2 Autodesk Fusion 360

More information

1. USB の VCP( 仮想 COM ポート ) について USB の VCP( 仮想 COM ポート ) は USB を非同期シリアル通信として使用するための USB のドライバです PC には VCP ドライバをインストールする必要があります USB の VCP( 仮想 COM ポート )

1. USB の VCP( 仮想 COM ポート ) について USB の VCP( 仮想 COM ポート ) は USB を非同期シリアル通信として使用するための USB のドライバです PC には VCP ドライバをインストールする必要があります USB の VCP( 仮想 COM ポート ) TrueSTUDIO 用 F4D_VCP の説明 V001 2014/07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです 無料の試用版開発ツール Atollic TrueSTUDIO for ARM Lite で作成したプロジェクトです ビルド可能なプログラムのコードサイズが 32Kbyte 以内の制限があります プログラムの開始番地は 0x08000000

More information

SonicWALL SSL-VPN 4000 導入ガイド

SonicWALL SSL-VPN 4000 導入ガイド COMPREHENSIVE INTERNET SECURITY SonicWALL セキュリティ装置 SonicWALL SSL-VPN 4000 導入ガイド 1 2 3 4 5 6 7 8 9-1 2 - 3 1 4 - 5 2 1. 2. 3 6 3 1. 2. 3. 4. 5. - 7 4 4 8 1. 2. 3. 4. 1. 2. 3. 4. 5. - 9 6. 7. 1. 2. 3. 1.

More information

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+)

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+) RL78 開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行 ( 統合開発環境編 ) (High-performance Embedded Workshop CS+) 2017/4/7 R20UT2087JJ0103 ソフトウェア事業部ソフトウエア技術部ルネサスシステムデザイン株式会社 はじめに 本資料は 統合開発環境 High-performance Embedded Workshop

More information

<4D F736F F D E096BE8E9197BF5F984193AE F B40945C432E646F63>

<4D F736F F D E096BE8E9197BF5F984193AE F B40945C432E646F63> ~ 連動シャットダウン機能 ~ 図番 TT-4685-001 C 目次 1. 機能概要... 3 2. 構成... 3 2-1. マスターとスレーブ構成... 3 2-2. システム図... 4 2-3. 停電時の動作例... 4 3. セットアップ... 5 3-1. Windows 版のセットアップ... 5 (1) マスター側の設定... 5 (2) スレーブ側の設定... 6 (3) セットアップの確認...

More information

CoIDE 用 F4D_VCP の説明 V /07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x

CoIDE 用 F4D_VCP の説明 V /07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x CoIDE 用 F4D_VCP の説明 V001 2014/07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x08000000 です デバッグが可能です 目次 1. USB の VCP( 仮想 COM ポート )

More information

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一 RX210 グループ IRQ 割り込みを使用したパルス出力 要旨 本サンプルコードでは IRQ 割り込みが発生すると 一定期間タイマでパルスを出力する 方法について説明します 対象デバイス RX210 1 / 25 内容 1. 仕様... 3 2. 動作確認条件... 3 3. ハードウェア説明... 3 3.1 使用端子一覧... 3 4. ソフトウェア説明... 4 4.1 動作概要... 4

More information

実習 :VLSM を使用した IPv4 アドレスの設計と実装 トポロジ 学習目標 パート 1: ネットワーク要件の確認 パート 2:VLSM アドレス方式の設計 パート 3:IPv4 ネットワークのケーブル配線と設定 背景 / シナリオ 可変長サブネットマスク (VLSM) は IP アドレスの節約

実習 :VLSM を使用した IPv4 アドレスの設計と実装 トポロジ 学習目標 パート 1: ネットワーク要件の確認 パート 2:VLSM アドレス方式の設計 パート 3:IPv4 ネットワークのケーブル配線と設定 背景 / シナリオ 可変長サブネットマスク (VLSM) は IP アドレスの節約 トポロジ 学習目標 パート 1: ネットワーク要件の確認 パート 2:VLSM アドレス方式の設計 パート 3:IPv4 ネットワークのケーブル配線と設定 背景 / シナリオ 可変長サブネットマスク (VLSM) は IP アドレスの節約に役立つように設計されています VLSM を使用すると ネットワークはサブネット化され その後 再度サブネット化されます このプロセスを複数回繰り返し 各サブネットに必要なホストの数に基づいてさまざまなサイズのサブネットを作成できます

More information

Microsoft Word - SUA007

Microsoft Word - SUA007 アルテラ社ツール Qsys を利用した Smart-USB Plus 製品用リファレンス回路 SRAM-FIFO モジュール 1. SRAM-FIFO モジュールとは? Smart-USB Plus 製品に搭載する高速同期 SRAM を FIFO 化するモジュールです アルテラ社 AVALON バス仕様に準拠しています 既に提供している GPIF-AVALON ブリッジ (SUA006 アプリケーションノート参照

More information

Microsoft Word - CAN Monitor の使い方.doc

Microsoft Word - CAN Monitor の使い方.doc CAN Monitor の使い方 1. 仕様項目内容ポート数 1ch 対応速度 125kbps,250kbps,500kbps,1Mbps ログ記録 CSV フォーマットで時系列に記録時間は msec 単位 H/W mailbox 受信 :16ch 送信 :8ch 受信フィルタチャネル毎にデータ ID および MASK 機能設定可能 Bit Timing 調整可能 サンプリングポイントを約 30%~

More information

形B5Z 画像型人感センサ(HVC-F) コマンド仕様書

形B5Z 画像型人感センサ(HVC-F) コマンド仕様書 目次 1 はじめに... 2 1-1 B5Z-001001 とは...2 2 基本フロー... 3 2-1 通信フロー...3 2-2 ホスト装置サンプル処理フロー...4 2-3 TCP/IP コマンドと Modbus/TCP コマンド...6 3 コマンド仕様... 7 3-1 コマンドフォーマット...7 3-1-1 TCP/IP コマンド / レスポンスフォーマット... 7 3-1-2 Modbus/TCP

More information

ルート プロセッサ

ルート プロセッサ CHAPTER 6 この章では Route Processor(RP; ) カードについて説明します 内容は次のとおりです RP の概要 プライマリおよびスタンバイの調停 RP カード To Fabric モジュールキューイング RP の概要 RP は Cisco CRS-1 4 スロットラインカードシャーシのシステムコントローラです ルート処理を実行し MSC( モジュラサービスカード ) にフォワーディングテーブルを配信します

More information

X-Form Plug-in Guide

X-Form Plug-in Guide X-Form Plug-in Version 7.2 Copyright 2006 Digidesign, a division of Avid Technology, Inc. All rights reserved. This guide may not be duplicated in whole or in part without the express written consent of

More information

索引

索引 INDEX Numerics 302 変換エラー 2-36 304 ヘッダーマージエラー 2-30 A ACE サブシステムレベル 1-3 重大度 1-3 初期化の失敗 2-51 ネットワークプロセッサエラー 2-58 ロードバランシング用の物理メモリ 2-57 ロギング イネーブル 1-21 ロギング 新規接続の拒否 1-21 ロギングレベル 1-3 ロギングの概要 1-2 ログメッセージのフォーマット

More information