ハード・プロセッサ・システム (HPS)のテクニカル・リファランス・マニュアル (TRM) 、第12章:クワッドSPIフラッシュ・コントローラ

Size: px
Start display at page:

Download "ハード・プロセッサ・システム (HPS)のテクニカル・リファランス・マニュアル (TRM) 、第12章:クワッドSPIフラッシュ・コントローラ"

Transcription

1 November 2012 cv_ cv_ ハード プロセッサ システム (HPS) は シリアル NOR フラッシュデ バイスにアクセスするためにクワッドのシリアル ペリフェラル インタフェース (SPI) フラッシュ メモリ コントローラを提供しています クワッド SPI フラッシュ コントローラが同様に高性能デュアルおよびクワッド SPI フラッシュ デバイスの標準的な SPI フラッシュ デバイスをサポートしています クワッド SPI フラッシュ コントローラは Cadence Quad SPI Flash Controller(QSPI_FLASH_CTRL) に基づいています クワッド SPI フラッシュ コントローラは 次の機能をサポートします シングル デュアル およびクワッドの I/O コマンド 最大 108 MHz までのデバイスの周波数 ダイレクト アクセスと間接アクセス モード インダイレクトの転送のための外部ダイレクト メモリ アクセス (DMA) コントローラのサポート 設定可能な極性 位相 および遅延 プログラマブル書き込み保護された地域 インダイレクトの転送のためのエラー訂正コード (ECC) のロジックを持つローカル バッファリング 最大 4 つのデバイス execute-in-place (XIP) フラッシュ デバイス 2012 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos are trademarks of Altera Corporation and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as trademarks or service marks are the property of their respective holders as described at Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. Portions 2011 Cadence Design Systems, Inc. Used with permission. All rights reserved worldwide. Cadence and the Cadence logo are registered trademarks of Cadence Design Systems, Inc. All others are the property of their respective holders. ISO 9001:2008 Registered Subscribe

2 12 2 図 12 1 には クワッド SPI フラッシュ コントローラのブロック図を示します DMA Controller System Manager Quad SPI Flash Controller DMA Peripheral Request Interface ECC Signals SRAM DMA Peripheral Request Controller Indirect Access Controller SPI PHY TX FIFO Data Slave Interface Data Slave Controller Direct Access Controller Flash Command Generator RX FIFO SPI Flash Device Interface L3 Interconnect STIG CSRs SPI Control Logic Register Slave Interface L4 Peripheral Bus クワッド SPI コントローラには 以下のブロックおよびインタフェースで構成されています レジスタ スレーブ インタフェース コントロール レジスタとステータス レジスタ (CSR) にアクセスを提供するスレーブ インタフェース データ スレーブ コントローラ 次の機能を提供するスレーブ インタフェースおよびコントローラ レベル 3(L3) インタコネクトへ ( またはレベル 3(L3) インタコネクトから ) データ転送を実行する機能 着信アクセスを検証する機能 バイトまたはハーフ ワードのリオーダリングを実行する機能 書込み保護を実行する機能 直接と間接コントローラに転送要求を転送する機能

3 12 3 ダイレクト アクセス コントローラ フラッシュ メモリへのメモリ マップされたスレーブのダイレクト アクセスを提供する 間接アクセス コントローラ ローカル バッファリングおよびソフトウェア転送要求を介して フラッシュ メモリへの高性能のアクセスを提供する Software Triggered Instruction Generator(STIG) フラッシュ コマンド レジスタ (flashcmd) を介してフラッシュ コマンドを生成し フラッシュ メモリへの低レベル アクセスを提供する フラッシュ コマンドのジェネレータ 直接およびインダイレクトのアクセス コントローラまたは STIG からの命令に基づいてフラッシュ コマンドとアドレスの命令を生成する DMA ペリフェラル リクエスト コントローラ 外部 DMA コントローラと通信するための DMA のペリフェラル リクエスト インタフェースへの要求を発行する SPI PHY 外部 SPI フラッシュ デバイスにデータやコマンドをシリアルに転送します この項では クワッド SPI フラッシュ コントローラの機能を説明します 1 この項で使用される用語は 次の項で詳細に定義されます クワッド SPI フラッシュ コントローラは 動作モードを選択し データ転送用のデータ スレーブ インタフェースを設定するレジスタのスレーブ インタフェースを使用しています クワッド SPI フラッシュ コントローラは直接および間接アクセスのためにデータ スレーブ インタフェースを使用しています また STIG 動作および SPI レガシー モード アクセスのためにレジスタ スレーブ インタフェースを使用しています データ スレーブへのアクセスは 直接または間接的にアクセス コントローラに転送されます アクセス アドレスが設定されたインダイレクト アドレスの範囲内にある場合 アクセスが間接アクセス コントローラに送信されます クワッド SPI フラッシュ コントローラは 直接 間接 および SPI のレガシー モードのアクセスのためのデータ スレーブ インタフェースを使用しています これらのモードについて詳しくは 次の各項を参照してください データ スレーブは 32 ビット幅です バイト ハーフワード およびワード アクセスは許可されています ライト アクセスの場合は のみインクリメントバーストがサポートされており サイズのみ の転送 リード アクセスの場合は すべてのバーストタイプとサイズがサポートされています

4 12 4 クワッド SPI フラッシュ コントローラは クワッド SPI コンフィギュレーション レジスタを介しクワッド SPI コントローラを設定するために そして STIG で flashcmd レジスタを介してソフトウェア コントロールの下でフラッシュ メモリにアクセスするために レジスタのスレーブ インタフェースを使用しています ダイレクト アクセス モードで データ スレーブへのアクセスは フラッシュ メモリにコマンドを読み出しまたは書き込みがトリガされます ダイレクト アクセス モードを使用するには クワッド SPI コンフィギュレーション レジスタ (cfg)) のイネーブル ダイレクト アクセス コントローラ ビット (endiracc)) でダイレクト アクセス コントローラをイネーブルします 外部マスタ ( 例えばプロセッサ ) は データのスレーブ インタフェースにリードまたはライトとダイレクト アクセス コントローラをトリガします データ スレーブには フラッシュ デバイスに 1 MB のウィンドウを公開しています フラッシュ デバイス内の任意の 1MB の位置にこのウィンドウをリマッピングすることができます 図 12 2 は リマッピングの例を示しています Offsets 0x x Map to Offset 0x x Data Slave Access 1 MB Address Range Data Slave 0x Map to Offset 0 0x MB Flash Memory フラッシュ デバイスの他の 1MB の領域にアクセスするためのデータ スレーブをリマッピングするには アドレス リマッピングは cfg レジスタのイネーブル AHB アドレス リマッピング フィールド (enahbremap) にリマッピングできます すべての着信データ スレーブはリマッピング アドレス レジスタ (remapaddr) に指定されたオフセットのリマッピングをアクセスします 着信アドレスの 20 個の LSB は 1MB の領域をアクセスするために使用され 上位ビットは無視されます 1 クワッド SPI コントローラは接続されたフラッシュ メモリ スペースの外にあるアクセスに対して任意のエラー ステータスを発行しません

5 12 5 間接アクセス モードで フラッシュ データは一時的に SRAM のクワッド SPI コントローラ内にバッファされています ソフトウェアは レジスタ スレーブ インタフェースを介して間接アクセスをコントロールおよびトリガします コントローラは データ スレーブ インタフェースを介してデータを転送します インダイレクトのリード動作では フラッシュ メモリからデータを読み出し SRAM にデータを配置し データ スレーブ インタフェースを介して外部マスタにデータを転送します インダイレクト リード動作は 以下のレジスタによって制御されます Indirect read transfer register (indrd) Indirect read transfer watermark register (indrdwater) Indirect read transfer start address register (indrdstaddr) Indirect read transfer number bytes register (indrdcnt) Indirect address trigger register (indaddrtrig) これらのレジスタは インダイレクトのリード動作を発行する前に設定する必要があります 開始アドレスは indrdstaddr レジスタで定義されると フェッチされるバイト数の合計が indircnt レジスタで指定されている必要があります indrd レジスタのスタート インダイレクト リード ビット (start) に 1 を書き込むと 返されたデータを SRAM に移入するために フラッシュ メモリからのインダイレクトのリード動作をトリガーします SRAM にフラッシュ デバイスからデータを読み込むには 外部マスタは データ スレーブ インタフェースに 32 ビット リード トランザクションを発行します リード アクセスのアドレスはインダイレクト アドレスの範囲内になければなりません indaddrtrig レジスタを通じてインダイレクト アドレスを設定することができます 外部マスタがインダイレクト転送の最後のワードになるまで 32 ビット リードをみ発行することができます 最後のリードに転送を完了するために外部マスタが 32 ビット 16 ビット または 8 ビット リードを発行することができます 最後の転送で読み出すデータが 4 バイト未満の場合 外部マスタは 32 ビット リードを発行して クワッド SPI コントローラはゼロで応答データの上位ビットをパッドします 要求されたデータは データ スレーブ リードがクワッド SPI コントローラによって受信された時点で SRAM 内に存在すると仮定すると データは SRAM からフェッチされ リード バーストに対する応答は最小レイテンシで実現されます 要求されたデータは 直ちに SRAM 内存在しない場合 データが SRAM にフラッシュ メモリから読み込まれるまで データ スレーブ インタフェースは待ち状態になります 外部マスタでデータが SRAM から読み出された後は クワッド SPI コントローラは SRAM に関連付けられたリソースを解放します SRAM がフルになった場合 SPI インタフェースは SRAM 内でスペースが使用可能になるまでバックプレッシャされています クワッド SPI コントローラは 現在のリード バーストを完了して SRAM を解放するまで待って 前のバーストが終了されたアドレスで新しいリード バーストを発行します また プロセッサは SRAM からデータをフェッチするときを制御する SRAM フィル レジスタ (sramfill) の SRAM フィル レベルを使用することができます

6 12 6 もうひとつの代替は indrdwater レジスタに設定する SRAM フィル レベルのウォーターマークを使用することです SRAM のフィル レベルがウォーターマーク レベルを通過すると インダイレクト転送のウォーターマーク割り込みが発生します indrdwater レジスタにゼロを書き込むことによって ウォーターマーク機能をディセーブルできます クワッド SPI コントローラによって読み込まれ SRAM に配置されたデータの最後のバイトは ウォーターマーク レベルがゼロより大きい場合 インダイレクト転送のウォーターマーク割り込みが実際の SRAM フィル レベルがウォーターマークを上回っていない場合でも発生します リード アクセスのアドレスが間接トリガー アドレスの範囲外である場合 次のいずれかのアクションが発生します ダイレクト アクセス モードがイネーブルされる場合 読み出しはダイレクト アクセス モードを使用します ダイレクト アクセス モードがイネーブルされる場合 スレーブがマスタを要求しにエラーを返します indrd レジスタのキャンセル インダイレクト リード ビット (cancel) を 1 に設定することでインダイレクトの動作を取り消すことができます 詳細については ページの インダイレクト リード動作 に参照してください インダイレクトのライト動作は SRAM からフラッシュ メモリにデータをプログラムします インダイレクトのライト動作は 以下のレジスタによって制御されます Indirect write transfer register (indwr) Indirect write transfer watermark register (indwrwater) Indirect write transfer start address register (indwrstaddr) Indirect write transfer number bytes register (indwrcnt) indaddrtrig レジスタ これらのレジスタは インダイレクトのライト動作を発行する前に設定する必要があります 開始アドレスは indwrstaddr レジスタで定義される必要があります また 書き込まれるバイトの総数は indwrcnt レジスタで指定されている必要があります indwr レジスタのスタート インダイレクトのライト ビット (start) は フラッシュ メモリに SRAM からのインダイレクトのライト動作をトリガします フラッシュ デバイスに SRAM からのデータを書き込むために 外部マスタはデータ スレーブに 32 ビットのライト トランザクションを発行します ライト アクセスのアドレスはインダイレクト アドレスの範囲内になければなりません indaddrtrig レジスタを通じてインダイレクト アドレスを設定することができます 外部マスタはインダイレクト転送の最後のワードまで 32 ビット ライトを発行することができます 最後のライトでは 外部マスタは転送を完了するために 32 ビット 16 ビット または 8 ビット ライトを発行することができます 最後の転送に書き込むデータは 4 バイト未満の場合 外部マスタは 32 ビット ライトを発行することができます そして クワッド SPI コントローラは余分なバイトを破棄します

7 12 7 SRAM のサイズは クワッド SPI コントローラが外部マスタから受信できるデータの量を制限することができます SRAM がライト アクセスの時点でフルになっていない場合 データは最小レイテンシで SRAM にプッシュされます 外部マスタが SRAM が受け可能なより多くの SRAM にデータをプッシュすると クワッド SPI コントローラはウェイト ステートとの外部マスタをバックプレッシャーします SRAM のリソースがフラッシュ メモリに SRAM からのデータをプッシュすることで解放されたときに SRAM は外部マスタからのデータを受信するができます SRAM はフラッシュ ページのサイズより大きい または同じバイト数がある場合 または SRAM が現在のインダイレクト転送のすべての残りのバイトを保持する場合 クワッド SPI コントローラはフラッシュ メモリへのライト動作を開始します また SRAM に多くのデータを書き込むタイミングを制御するために sramfill レジスタ内のプロセッサは SRAM のフィル レベルを使用することができます また indwrwater レジスタで SRAM のフィル レベルのウォーターマークを設定することができます SRAM のフィル レベルがウォーターマーク レベル以下にある場合 インダイレクト転送ウォーターマーク割り込みは SRAM にデータの次のページを書き込むようにソフトウェアに指示するために生成されます SRAM がデータのフル フラッシュ ページが含まれているときだけクワッド SPI コントローラがフラッシュ メモリに non-end-of-data ライトを開始するので システムのストールを回避するために ウォーターマーク レベルの値を 1 より大きいフラッシュ ページに設定する必要があります indwrwater レジスタにゼロを入力することによって ウォーターマーク機能をディセーブルことができます ライト アクセスのアドレスがインダイレクト トリガ アドレスの範囲外である場合 次のいずれかのことが発生します ダイレクト アクセス モードがイネーブルされると 書き込みは ダイレクト アクセス モードを使用します ダイレクト アクセス モードがディセーブルされる場合 スレーブが要求マスタにエラーを返します indwr レジスタのキャンセル インダイレクト ライト ビット (cancel) を 1 に設定することにより インダイレクトの動作を取り消すことができます 詳細については ページの 間接ライト動作 に参照してください 短い連続で indrd または indwr レジスタの start ビットを 2 回トリガすることにより 一度に 2 つのインダイレクト動作をトリガすることが可能である 最初の動作の進行中に第二動作がトリガされることがあります 例えば インダイレクトのライト動作の進行中に ソフトウェアは動作をインダイレクト リードまたはライトをトリガすることができます ソフトウェアは 各転送動作をトリガする前に対応するスタート レジスタとカウント レジスタを正しく設定する必要があります このアプローチは 第 1 回目のインダイレクト動作の完了および第 2 回目動作のスタートとの間の短いターンアラウンド タイムを可能にします 2 つの動作以上をキューにするとインダイレクト リードのリジェクト割り込みを生成します

8 12 8 SRAM のローカル メモリ バッファは 128 経由の 32 ビット ( 総数 512 バイト ) のメモリでであり ECC のためのサポートが含まれています ECC ロジックは シングル ビットの訂正可能なエラーが検出されたとき ( また補正されたとき ) およびダブル ビット訂正不可能なエラーが検出されたときにシステム マネージャに通知するために出力を提供します ECC ロジックは テストのために シングルおよびダブル ビット エラーの注入を可能にします f 詳細は Cyclone V デバイス ハンドブック Volume 3 の System Manager の章を参照してください SRAM は 2 つのパーティションがあります : インダイレクトのリード動作のための上部パーティション およびインダイレクトのライト動作のための下部パーティション パーティションのサイズは 32 ビットのワード サイズに基づいて SRAM のパーティション レジスタ (srampart) で指定されています たとえば ストレージの 4 バイトを指定するには 値の 1 を入力してください インダイレクトのリード パーティションのサイズ フィールド (ADDR) に書き込まれた値は インダイレクト リードの動作用に予約されたエントリの数を定義します 例えば 32 (0x20) の値を入力して 128 エントリ SRAM をリードの使用率に 32 エントリ (25%) そしてライトの使用率に 96 エントリ (75%) にパーティションします バースト リクエストで指定されたバイト数によってバイトの総数を分割すること そしてシングル要求でバイト数で残りを分割することにより DMA のペリフェラル リクエスト コントローラは DMA バーストとシングル要求の数に転送されるデータの総量を分割します DMA のペリフェラル リクエスト インタフェースは 2 つがあります : インダイレクト リード用とインダイレクト ライト用です DMA のペリフェラル リクエスト コントローラは 2 つの DMA リクエストのタイプ ( シングルまたはバースト ) を外部 DMA に発行できます シングルまたはバースト リクエストのためのバイト数は DMA ペリフェラル レジスタ (dmaper ) のシングル バイト (numsglreqbytes) およびバースト バイト (numburstreqbytes) フィールドの数で指定されています バースト リクエストで指定されたバイト数によってバイトの総数を分割すること そしてシングル要求でバイト数で残りを分割することにより DMA のペリフェラル リクエスト コントローラは DMA バーストとシングル要求の数に転送されるデータの総量を分割します 1 DMA コントローラをプログラミングする場合 バースト リクエスト サイズは急速にオーバーフローまたはアンダーフロー状態にならないようにクワッド SPI コントローラで設定されたバースト リクエストサイズと一致する必要があります

9 12 9 インダイレクト リードの場合 データがフラッシュ メモリーから検索され そして SRAM に書き込まれた後 DMA のペリフェラル リクエスト コントローラは単に DMA リクエストを発行します 発行されるレートでは DMA 要求がウォーターマーク レベルによって異なります indrdwater レジスタは DMA のペリフェラル リクエスト コントローラが DMA 要求を発行することができるバイト数で最小のフィル レベルを定義します この数値が高いほど 外部 DMA がデータを移動する前に より多くのデータが SRAM 内にバッファリングされている必要があります SRAM のフィル レベルがウォーターマーク レベルを通過すると 転送ウォーターマーク達成割り込みが生成されます 例えば 以下の条件を考慮してください 間接モードを使用する読み込まれるデータの総量は 256 バイト SRAM のウォーターマーク レベルが 128 バイトに設定されている ソフトウェアは 64 バイトまでのバースト タイプの転送サイズを設定する これらの条件下で DMA のペリフェラル リクエスト コントローラは SRAM フィル レベルが 128 バイト ( ウォーターマーク レベル ) を渡す最初の DMA バースト リクエストを発行します バースト タイプの要求を実行するための SRAM 内に十分なデータがある限り DMA ペリフェラル リクエスト コントローラが連続した DMA バースト リクエストをトリガします この例で DMA のペリフェラル リクエスト コントローラは 128 バイトの合計を転送するには 少なくとも 2 つの連続した DMA バースト リクエストを発行できます SRAM 内に十分なデータがある場合 DMA のペリフェラル リクエスト コントローラは第 3 目の DMA バーストを直ちに要求します それ以外の場合 DMA のペリフェラル リクエスト コントローラーは SRAM フィル レベルが次のバースト リクエストを引き起こすために再びウォーターマーク レベルを超えるまで待ちます ウォーターマーク レベルがトリガされると 全体のトランザクションを完了するために 第 3 および第 4 のバースト リクエストを実行するための SRAM 内の十分なデータがあります インダイレクト ライトでは 全てのインダイレクト ライト トランスファーが最後まで転送がトリガーし続き その直後に DMA のペリフェラル リクエスト コントローラーは DMA リクエストを発行します DMA 要求が発行されるレートでは ウォーターマーク レベルによって異なります indwrwater レジスタは コントローラが最初の DMA バーストまたはシングル リクエストを発行できるバイト数で最大フィル レベルを定義します SRAM のフィル レベルがウォーターマーク レベル以下になると 転送ウォーターマーク達成割り込みが生成されます SRAM でのデータの一つのフラッシュ ページがある場合 クワッド SPI コントローラは SRAM からフラッシュ メモリにライト動作を開始します ソフトウェアは CFG レジスタの endma フィールドで DMA のペリフェラル リクエスト インタフェースをイネーブルすることができます DMA 以外のマスタはインダイレクトの動作のためのデータ転送を実行する場合 DMA のペリフェラル リクエスト インタフェースをイネーブルする必要があります デフォルトでは インダイレクトのウォーターマーク レジスタはゼロに設定され その DMA のペリフェラル リクエスト コントローラは すぐに DMA リクエストを発行できることを意味します f HPS の DMA コントローラについて詳しくは Cyclone V デバイス ハンドブック Volume 3 の DMA Controller の章を参照してください

10 12 10 STIG は ソフトウェアのフラッシュ デバイス レジスタにダイレクト アクセスするためのメソッドを提供します flashcmd レジスタは フラッシュ デバイスに対して発行されるコマンドを定義するには 次のパラメータを使用します Instruction opcode Number of address bytes Number of dummy bytes Number of write data bytes Write data Number of read data bytes アドレスがフラッシュ コマンド アドレス レジスタ (flashcmdaddr) によって指定されます これらの設定が指定されていると そのソフトウェアは flashcmd レジスタのコマンド フィールド (execcmd) を実行し flashcmd レジスタのコマンド実行ステータス ビット (cmdexecstat) をポーリングすることにより その完了を待って コマンドをトリガすることができます 最大 8 つのデータ バイトは コマンドごとにフラッシュ コマンド リード データ下位 (flashcmdrddatalo) およびフラッシュ コマンド リード データ上位 (flashcmdrddataup) レジスタから読み出し またはコマンドごとにフラッシュ コマンド データ下位 (flashcmdwrdatalo) およびフラッシュ コマンド ライト データ上位 (flashcmdwrdataup) レジスタに書き込むことがあります STIG を通じて発行されたコマンドは 他のすべてのリード アクセスよりも高い優先順位を持っているため ダイレクトまたはインダイレクトのコントローラによって要求されるすべてのリード コマンドを中断します しかし STIG は ダイレクトまたはインダイレクトのアクセス コントローラを介して発行されている可能性があり ライト シーケンスが中断されることはありません これらのケースでは 動作が完了したことを示す flashcmd レジスタの cmdexecstat ビットのために長い時間がかかる場合があります 1 アルテラは フラッシュ デバイスのレジスタにアクセスし 消去動作を実行するために STIG の代わりに SPI のレガシー モードを使用することを推奨します SPI のレガシー モードで ソフトウェアがダイレクト インダイレクト および STIG コントローラをバイパスして 直接的に内部 TX FIFO および RX FIFO バッファにアクセスすることができます ソフトウェアは レガシー モードがイネーブルされる一方 データ スレーブによって任意のアドレスに任意の値を書き込むことにより TX FIFO および RX FIFO バッファにアクセスします cfg レジスタのレガシー IP モード イネーブル ビット (enlegacyip) を使用してレガシー モードをイネーブルすることができます

11 12 11 レガシー モードでは ユーザーがフラッシュ デバイスに任意のフラッシュ命令を発行することができますが 効果的に FIFO バッファのフィル レベルを管理するために多いソフトウェアのオーバーヘッドを課しています チップ セレクトがイネーブルされるときに レガシー SPI モードは本質的に双方向で データが連続的に両方向に転送されます ドライバがフラッシュ デバイスからデータを読み込む必要がある場合 ダミー データはチップ セレクトがアクティブのまま ( またライト トランザクションに対しても同じ ) に書き込まれる必要があります たとえば 3 つのアドレス バイトを持つフラッシュ デバイスに 4 バイトの基本的なリードを実行するために ソフトウェアは TX FIFO バッファに 8 バイトの合計を書き込むする必要があります 最初のバイトは命令オペコードとなり 次の 3 バイトはアドレスであります そして 最後の 4 バイトは リード データがリターンされている間 チップ セレクトがアクティブに保証するためのダミー データです 同様に 8 バイトは TX FIFO バッファに書き込まれているため ソフトウェアは RX FIFO バッファにリターンされる 8 バイトを想定する必要があります この最初の 4 バイトは 最終の 4 バイトにデバイスから読み出されたデータを保持させておいて廃棄されます それぞれの TX FIFO および RX FIFO バッファは 4 バイトの深さがあるので ソフトウェアは TX FIFO バッファがアンダーフローしないように そして RX FIFO バッファがオーバーフローしないように FIFO バッファのレベルを維持することが必要です 割り込みは フィル レベルが TX スレッショルド レジスタ (txtresh) および RX スレッショルド レジスタ (rxtresh) を使用して構成可能なウォーターマーク レベルを達成することを示すために用意されています リード アクセスおよびライト アクセスで ソフトウェアはデバイス リード命令レジスタ (devrd) およびデバイス ライト命令レジスタ (devwr) を初期化する必要があります これらのレジスタは 命令タイプと同様に使用される命令オペコードを初期化するためのフィールドが含まれております また アドレスとデータ転送のために シングル デュアル またはクワッドのピンを使用する命令も含まれています クワッド SPI コントローラがリセット状態から動作可能なことを確認するには オペコード レジスタはシングル I/O フラッシュ デバイスに準拠するオペコードにリセットします クワッド SPI フラッシュ コントローラは リードおよびライトの両方の命令転送幅を設定する devrd レジスタの命令転送幅フィールド (instwidth) を使用します devwr レジスタには instwidth フィールドはありません アドレスとデータ タイプが指示タイプに基づくので 命令のタイプはデュアルまたはクワッド モードに設定されている場合 両方のレジスタのアドレス転送幅 (addrwidth) およびデータ転送幅 (datawidth) フィールドが冗長化されています したがって ソフトウェアは オペコード アドレス およびデータが 2 つまたは 4 つのレーン上で送信され もっとまれなフラッシュ命令をサポートすることができます ほとんどの命令では

12 12 12 オペコードは デュアルとクワッド命令でもフラッシュ デバイスにシリアルで送信されています 2 つまたは 4 つのレーン上にオペコードを送信可能な命令をサポートするフラッシュ デバイスの一つは Micron N25Q128 です 参考のために 表 12 1 には ソフトウェアが Micron N25Q128 デバイスにサポートされた個々の特定のリードおよびライト命令のクワッド SPI コントローラの構成する方法を示します Read Fast read Dual output fast read (DOFR) Dual I/O fast read (DIOFR) Quad output fast read (QOFR) Quad I/O fast read (QIOFR) Dual command fast read (DCFR) 任意の値 任意の値 Quad command fast read (QCFR) 任意の値 任意の値 Page program Dual input fast program (DIFP) Dual input extended fast program (DIEFP) Quad input fast program (QIFP) Quad input extended fast program (QIEFP) Dual command fast program (DCFP) 任意の値 任意の値 Quad command fast program (QCFP) 任意の値 任意の値 フラッシュ デバイスが XIP モードをサポートすると クワッド SPI コントローラも XIP モードをサポートしています フラッシュ デバイスに応じて XIP のモードでは コマンド オーバーヘッドを低減し 読み出し専用モードでのフラッシュ デバイスを置きます クワッド SPI コントローラはモード ビットを送信することによって XIP モードを開始するには フラッシュ デバイスに指示する必要があります cfg レジスタの enter XIP mode on next read bit (enterxipnextrd) は 1 に設定される場合 クワッド SPI コントローラとフラッシュ デバイスは 次の読み出し命令に XIP モードを開始することができます cfg レジスタの enter XIP mode immediately bit(enterxipimm) は 1 に設定される場合 クワッド SPI コントローラおよびフラッシュ デバイスは直ちに XIP モードを開始します

13 12 13 CFG レジスタの enterxipnextrd または enterxipimm ビットを 0 に設定すると クワッド SPI コントローラおよびフラッシュ デバイスは次の読み出し命令での XIP モードを終了します 詳細については ページの XIP モードの動作 を参照してください フラッシュ デバイスの特定の領域の書き込みを保護するためにコントローラをプログラムすることができます 保護された領域は 開始と終了のブロックで指定されたブロックの集合として定義されます 保護されたフラッシュ領域のメモリに書き込むと エラーが生成され 割り込みをトリガします device size register(devsz) の bytes per block field(bytespersubsector) の数を介してブロックごとのバイト数を指定することにより ブロック サイズを定義します lower write protection register(lowwrprot) は保護された領域内の最初のフラッシュ ブロックを指定します upper write protection register(uppwrprot) は保護された領域の最後のフラッシュ ブロックを指定します ライト プロテクトは write protection register(wrprot) の write protection enable bit (en) は 保護をイネーブルとディセーブルします wrprot レジスタの write protection inversion bit(inv) は lowwrprt と uppwrprt で指定された領域が保護されずに その領域外のすべてのフラッシュ メモリが保護されているので 保護の定義を反転させます クワッド SPI フラッシュ コントローラは 以前のアクセスと現在のアクセスを比較することによって データのスレーブ インタフェースにシーケンシャル アクセスを検出します 以下の条件を満たす場合 シーケンシャル アクセスとなります 現在のアクセスのアドレスはシーケンシャルに前のアクセスのアドレスが続きます 現在のアクセスの方向は ( リードまたはライト ) は前回のアクセスと同じです 現在のアクセスのサイズ ( バイト ハーフワード またはワード ) は 以前のアクセスと同じです シーケンシャルではないアクセスが検出された場合 フラッシュ デバイスへのシーケンシャル アクセスが終了し 新たなシーケンシャル アクセスが開始されます アルテラは シーケンシャルにデータのスレーブにアクセスすることを推奨します シーケンシャル アクセスは より少ないコマンド オーバーヘッドがあるので データのスループットを増加することができます クワッド SPI コントローラに 2 つのクロック入力 (l4_mp_clk と qspi_clk) と 1 つのクロック出力 (sclk_out) があります クワッド SPI フラッシュ コントローラは クロック データ スレーブ転送およびレジスタ スレーブ アクセスをクロックするために l4_mp_clk を使用します qspi_clk クロックはクワッド SPI コントローラ用のレファランス クロックであり データをシリアライズして外部の SPI インタフェースを駆動するために使用されています sclk_out クロックが接続されたフラッシュ デバイス用のクロック ソースです

14 12 14 qspi_clk クロックは l4_mp_clk の 2 倍より大きくなければなりません sclk_out クロックは cfg レジスタの the baud rate divisor field(bauddiv) による qspi_clk クロックを分周することによって導出されます f 詳細については Cyclone V デバイス ハンドブック Volume 3 の Clock Manager の章を参照してください クワッド SPI コントローラへの入力として single reset signal(qspi_flash_rst_n) が提供されています リセット マネージャはコールドまたはウォーム リセット時に信号を駆動します f 詳細については Cyclone V デバイス ハンドブック Volume 3 の Reset Manager の章を参照してください すべての割り込み要因は シングル レベル センシティブの active-high interrupt (qspi_intr_in) を作成するために結合されます ソフトウェアは interrupt status register(irqstat) を読み込むことにより 割り込みの原因を判別することができます デフォルトの設定では ソフトウェアが割り込みステータ スレジスタに書き込む場合 割り込みソースがクリアされます 割り込みは interrupt mask register (irqmask) を介して個別にマスクできます 表 12 2 には irqstat レジスタの割り込みソースが一覧表示されます アンダーフローが検出される インダイレクト動作が完了される インダイレクト リード リジェクト 保護された領域のライト攻撃 0 の場合 何アンダーフローが検出されていません 1 の場合 データはスレーブ ライト データが遅すぎるが供給されています 要求されたライト動作維持するための供給されているデータ スレーブ ライト データが遅すぎると この状況が発生する可能性があります このビットは システム リセットによってのみリセットされ レジスタに書き込まれている場合にのみゼロにクリアされます コントローラは トリガされたインダイレクト動作を完了しています コントローラは トリガされたインダイレクト動作を完了しています インダイレクト動作が要求されましたが 2 つのインダイレクトの動作は キューに既に実行されているので 受け入れられません保護された領域へのライトが試みと拒否されました

15 12 15 不正なデータ スレーブ アクセスが検出される 転送透ウォーターマークは達成される オーバーフローが受信される TX FIFO がフルではない TX FIFO がフル RX FIFO が空ではない RX FIFO がフル イダイレクト リード パーティションオーバーフロー 不正なデータ スレーブ アクセスが検出されました データ スレーブのラッピング バーストとスプリットのリトライ アクセスは この割り込みが発生することがあります これは通常 FPGA ファブリック内のソフト マスタがサポートされていない方法で HPS をアクセスしようとしている指標です インダイレクト転送ウォーターマーク レベルに達成しました この条件は レガシー SPI モードにのみ発生します 0 のとき オーバーフローが検出されていませんが 1 のとき RX FIFO バッファへのオーバーフローが発生しました このビットは システム リセットによってのみリセットされ このレジスタの書き込みの場合にのみゼロにクリアされます レジスタが読み出されるときに RX FIFO バッファへの新しいライトが同時に発生した場合 このフラグが 1 に設定されたままです この条件は レガシー SPI モードで発生します 0 の場合 TX FIFO バッファはフルですが 1 の場合 TX FIFO バッファはフルではありません この条件は レガシー SPI モードで発生します 0 の場合 TX FIFO バッファはフルではありませんが 1 の場合 TX FIFO バッファはフルです この条件は レガシー SPI モードで発生します 0 の場合 RX FIFO バッファが空ですが 1 の場合 RX FIFO バッファが空ではありません この条件は レガシー SPI モードで発生します 0 の場合 RX FIFO バッファはフルではありませんが 1 の場合 RX FIFO バッファはフルです SRAM のインダイレクト リード パーティションが完全かつ即時にインダイレクトの動作を完了できません クワッド SPI コントローラは最大 4 外部クワッド SPI フラッシュ デバイスをコントロールするように 4 チップ セレクト出力を提供します デバイスがシングル デュアル またはクアッド動作モードで使用されることに応じて 出力は 異なる目的を果たします 表 12 3 は 各動作モードのクワッド SPI コントローラ インタフェース信号の I/O ピンの使用を示しています シングル 出力 データ出力 0 data[0] デュアル またはクアッド 双方向 データ I/O 0

16 12 16 data[1] data[2] data[3] ss_n[0] シングル 入力 データ出力 0 デュアル またはクアッド 双方向 データ I/O 1 シングル またはデュアル 出力 アクティブ Low ライト保護 クアッド 双方向 データ I/O 2 シングル デュアル またはクアッド 双方向データ I/O 3 ss_n[1] シングル デュア アクティブ Low スレーブ セレクト 1 ss_n[2] ル またはクアッ 出力 アクティブ Low スレーブ セレクト 2 ss_n[3] ド アクティブ Low スレーブ セレクト 3 sclk アクティブ Low スレーブ セレクト 0 シリアル クロック この項では クワッド SPI コントローラ用のプログラミング モデルについて説明します 次のステップでは クワッド SPI コントローラの設定方法について説明します 1. 保留中の動作が完了するまで待ちます 2. cfg レジスタのクワッド SPI イネーブル フィールド (en) を備えたクワッド SPI コントローラをディセーブルします 3. ダイレクトおよびインダイレクトのライトとリードに使用する命令タイプを持つ devrd レジスタの instwidth フィールドを更新します 4. devrd レジスタの mode bit enable bit(enmodebits) がイネーブルされる場合 モード レジスタ (modebit) を更新します 5. 必要に応じ devsz レジスタを更新します このレジスタの一部またはすべてが初期化後にアップデートされている可能性があります アドレス バイト数のリードおよびライトを実行するために必要なキー コンフィギュレーションです ページあたりのバイト数は すべてのライトを実行するために必要です ライトの保護機能を使用する場合 デバイスのブロックごとのバイト数のみ必要となります

17 device delay register(delay) を更新します このレジスタで 各フラッシュ アクセスした後にチップ セレクトの駆動される方法を調整することができます 各デバイスは 異なるタイミング要件があるかもしれません シリアル クロック周波数が増加すると これらのタイミング要件がより重要になります このレジスタで指定された番号は qspi_clk クロックの周期に基づいています たとえば それがディアサートされた後にセレクト スレーブがリアサートされる前に 一部のデバイスは 50 ns の最小時間を必要としています デバイスが 100 MHz で動作している場合 クロック周期は 10 ns であるので また 40 ns が必要です qspi_clk クロックが 400 MHz(2.5 ns の周期 ) で実行されている場合 delay レジスタのチップ セレクト ディアサート フィールド (nss) に少なくとも 16 値を指定します 7. 必要に応じ remapaddr レジスタを更新します このレジスタは ダイレクト アクセス モードに影響を与えます 8. アップライト保護レジスタ (wrprot lowwrprot および uppwrprot) を設定およびイネーブルすると 保護を作成するときに必要です 9. irqmask レジスタで必要な割り込みをイネーブルします 10. cfg レジスタの bauddiv フィールドを設定して ターゲット デバイスの必要なクロック周波数を定義します 11. 必要に応じて read data capture register(rddatacap) を更新します このレジスタは リード データがキャプチャされるときに遅延され デバイスからクワッド SPI コントローラへのリード データパスが長いとき またはデバイスのクロック周波数が高い場合に役立ちます 12. cfg レジスタの en フィールドとのクワッド SPI コントローラををイネーブルします 次のステップでは DMA をディセーブルされるインダイレクト リード動作のためのクワッド SPI コントローラを設定するための一般的なソフトウェア フローを説明します ページの クワッド SPI フラッシュ コントローラの設定 で説明するステップを実行します 2. indrdstaddr レジスタ内のフラッシュ メモリのスタート アドレスを設定します 3. indrdcnt レジスタに転送されるバイト数を設定します 4. indaddrtrig レジスタにインダイレクト転送のトリガ アドレスを設定します 5. irqmask レジスタを介して必要な割り込みを設定します 6. ウォーターマーク レベルが使用されている場合 indrdwater レジスタを経由して SRAM のウォーターマーク レベルを設定します 7. indrd レジスタの start フィールドを 1 に設定することにより インダイレクトのリード動作を開始します 8. SRAM 内の十分なデータが存在するを決定するために ウォーターマーク レベル割り込みを使用するか または sramfill レジスタの SRAM フィル レベルをポーリングします

18 SRAM をアクセスするためのインダイレクト アドレスにリード トランザクションを発行します もっとリード トランザクションがインダイレクト リード転送を完了するために必要な場合 ステップ 8 を繰り返します 10. インダイレクト リード動作が完成したを断定するためにインダイレクトの完了割り込みを使用するか あるいは indrd レジスタの indirect completion status bit (ind_ops_done_status) によってインダイレクト リード動作の完成ステータスを使用します 次のステップでは DMA がイネーブルされるインダイレクトのリード動作用のクワッド SPI コントローラを設定するための一般的なソフトウェア フローを説明します ページの クワッド SPI フラッシュ コントローラの設定 で説明するステップを実行します 2. indrdstaddr レジスタ内のフラッシュ メモリのスタート アドレスを設定します 3. indrdcnt レジスタに転送されるバイト数を設定します 4. indaddrtrig レジスタにインダイレクト転送のトリガ アドレスを設定します 5. dmaper レジスタのシングルおよびバースト タイプ DMA 転送バイト数を設定します 6. 必要に応じて DMA 要求が発行されるレートを制御するためにレジスタ indrdwater の SRAM ウォーターマーク レベルを設定します 7. indrd レジスタの start フィールドを 1 に設定することにより インダイレクトのリード アクセスを開始します 8. インダイレクト リード動作が完成したを断定するためにインダイレクトの完了割り込みを使用するか あるいは indrd レジスタの ind_ops_done_status によってインダイレクト リード動作の完成ステータスを使用します 次のステップでは DMA がディセーブルされるインダイレクトのリード動作用のクワッド SPI コントローラを設定するための一般的なソフトウェア フローを説明します ページの クワッド SPI フラッシュ コントローラの設定 で説明するステップを実行します 2. indwrstaddr レジスタ内のフラッシュ メモリのスタート アドレスを設定します 3. indwrcnt レジスタに転送されるバイト数を設定します 4. indaddrtrig レジスタにインダイレクト転送のトリガ アドレスを設定します 5. irqmask レジスタを介して必要な割り込みを設定します 6. 必要に応じて DMA 要求が発行されるレートをコントロールするために indwrwater レジスタの SRAM のウォーターマーク レベルを設定します 値のセットは 1 つのフラッシュ ページより大きくなければなりません 詳細については 12 6 ページの 間接ライト動作 を参照してください

19 indwr レジスタの start フィールドを 1 に設定することにより インダイレクトのライト動作を開始します 8. SRAM 内で十分なスペースがあることを判断するには ウォーターマーク レベル割り込みを使用するか または sramfill レジスタの SRAM フィル レベルをポーリングします 9. SRAM へのデータの一つのフラッシュ ページを書き込むためのインダイレクト アドレスにライト トランザクションを発行します もっとライト トランザクションはインダイレクト ライト転送を完了するために必要な場合 ステップ 8 を繰り返します 最終のライトは 1 ページ未満のデータになる場合があります 次のステップでは DMA がイネーブルされるインダイレクト ライト動作用にクワッド SPI コントローラを設定するための一般的なソフトウェア フローを説明します ページの クワッド SPI フラッシュ コントローラの設定 で説明するステップを実行します 2. indwrstaddr レジスタ内のフラッシュ メモリのスタート アドレスを設定します 3. indwrcnt レジスタに転送されるバイト数を設定します 4. indaddrtrig レジスタにインダイレクト転送のトリガ アドレスを設定します 5. dmaper レジスタのシングルおよびバースト タイプ DMA 転送バイト数を設定します 必要に応じて DMA 要求が発行されるレートをコントロールするための indwrwater レジスタの SRAM のウォーターマーク レベルを設定します 値のセットは 1 つのフラッシュ ページより大きくなければなりません 詳細については 12 6 ページの 間接ライト動作 を参照してください 6. indwr レジスタの start フィールドを 1 に設定することにより インダイレクトのライト動作を開始します 7. インダイレクト ライト動作が完成したを断定するためにインダイレクトの完了割り込みを使用するか あるいは indwr レジスタの ind_ops_done_status によってインダイレクト ライト動作の完成ステータスを使用します この項では XIP モードの入出力について説明します XIP のモードはほとんどの SPI フラッシュ デバイスでサポートされています ただし フラッシュ デバイス メーカーは 一貫性のある標準的なアプローチを使用しないでください ほとんどのフラッシュ デバイス メーカーは アドレス バイトの直後にデバイスに送信されるシグネチャ ビットを使用します 一部のデバイスでは XIP モードをイネーブルにするためにシグネチャ ビットを使用し フラッシュ デバイス コンフィギュレーションのレジスタ ライトを必要としています 次の項では ソフトウェアがフラッシュ デバイスの様々なタイプの XIP モードに入るためのステップについて説明します

20 12 20 Basic-XIP のサポート付きの Micron クワッド SPI フラッシュ デバイス内の XIP モードに入るには 次のステップを実行します 1. 終了時に値を復元する場合 モード ビットの値を保存します 2. フラッシュ デバイスにリード アクセスまたはライト アクセスが送信されないように ダイレクト アクセス コントローラおよびインダイレクト アクセス コントローラをディセーブルします 3. modebit レジスタの XIP モード ビットを 0x80 に設定します 4. cfg レジスタの enterxipnextrd ビットを 1 に設定することによって クワッド SPI コントローラの XIP モードををイネーブルします 5. 必要に応じて ダイレクト アクセス コントローラおよびインダイレクト アクセス コントローラをリイネーブルします Basic-XIP のサポートなしで Micron クワッド SPI フラッシュ デバイス内の XIP モードを開始するには 次のステップを実行します 1. 終了時に値を復元する場合 モード ビットの値を保存します 2. フラッシュ デバイスにリード アクセスまたはライト アクセスが送信されないように ダイレクト アクセス コントローラおよびインダイレクト アクセス コントローラをディセーブルします 3. 不揮発性コンフィギュレーション レジスタ (VCR) のビット 3 を 1 に設定することにより フラッシュ デバイスで XIP モードがイネーブルされることを確認します VCR のライト コマンドを発行する flashcmd レジスタを使用します 4. modebit レジスタの XIP のモード ビットを 0x00 に設定します 5. cfg レジスタの enterxipnextrd ビットを 1 に設定することによって クワッド SPI コントローラの XIP モードををイネーブルします 6. 必要に応じて ダイレクト アクセス コントローラおよびインダイレクト アクセス コントローラをリイネーブルします Winbond クワッド SPI フラッシュ デバイスの XIP モードを開始するには 次のステップを実行します 1. 終了時に値を復元する場合 モード ビットの値を保存します 2. フラッシュ デバイスにリード アクセスまたはライト アクセスが送信されないように ダイレクト アクセス コントローラおよびインダイレクト アクセス コントローラをディセーブルします 3. modebit レジスタの XIP のモード ビットを 0x20 に設定します 4. cfg レジスタの enterxipnextrd ビットを 1 に設定することによって クワッド SPI コントローラの XIP モードををイネーブルします 5. 必要に応じて ダイレクト アクセス コントローラおよびインダイレクト アクセス コントローラをリイネーブルします

21 12 21 XIP モード Spansion のクワッド SPI フラッシュ デバイスを開始するには 次のステップを実行します 1. 終了時に値を復元する場合 モード ビットの値を保存します 2. フラッシュ デバイスにリード アクセスまたはライト アクセスが送信されないように ダイレクト アクセス コントローラおよびインダイレクト アクセス コントローラをディセーブルします 3. modebit レジスタの XIP のモード ビットを 0xA0 に設定します 4. cfg レジスタの enterxipnextrd ビットを 1 に設定することによって クワッド SPI コントローラの XIP モードををイネーブルします 5. 必要に応じて ダイレクト アクセス コントローラおよびインダイレクト アクセス コントローラをリイネーブルします XIP モードを終了するには 次のステップを実行します 1. ダイレクト アクセス コントローラおよびインダイレクト アクセス コントローラを使用不可に新しい読み出しを保障しない またはフラッシュ デバイスに送信されるアクセスを記述するためのをディセーブルします 2. フラッシュ デバイスおよびメーカーによって XIP モードに入る前の値にモード ビットを復元します 3. cfg レジスタの enterxipnextrd ビットを 0 に設定します フラッシュ デバイスは 内部の XIP モード状態をイネーブルする前に リード命令を受けなければなりません このように 次のリード命令が処理されるまで XIP モードは内部的にアクティブなままとなります 任意のリード シーケンスの終点の前にその XIP のモードがディセーブルすることを確認してください いくつかのフラッシュ デバイスは フラッシュ デバイスがパワー オン リセット (POR) ソフトウェアの介入なしでの XIP モードを入力する不揮発性コンフィギュレーションの設定として XIP をイネーブルにすることができます XIP をイネーブルにしたフラッシュ デバイス XIP のリード動作でのみアクセスすることができるため ソフトウェアはフラッシュ ステータス レジスタ リードを通して POR の XIP ステートを検出することはできません デバイスが POR 時の XIP モードに開始することがわかっている場合 最初のブート ソフトウェアは modebit レジスタを設定し cfg レジスタの enterxipimm のビットは 1 に設定してください デバイスが POR 時 XIP モードを開始するかどうかを事前に知らない場合 XIP モード終了コマンドは flashcmd レジスタを介して最初のブート ソフトウェアを発行して ページの XIP モードの実行 でのステップに従ってください XIP モードのエントリとエクスィットはデバイスによって異なりますので ソフトウェアは デバイスのモード ビットの要件を認識する必要があります

22 12 22 f アドレス マップとレジスタ定義は このハンドブックのボリュームに hps.html ファイルに格納されています ファイルを開くためにリンクをクリックしてください モジュールの説明とベース アドレスを表示しするために 次のモジュール インスタンスのリンクをスクロールおよびクリックします qspiregs qspidata 次に レジスタとフィールドの説明を表示するには レジスタ名をスクロールとクリックします レジスタ アドレスは 各モジュール インスタンスのベース アドレスへの相対的なオフセットです f すべてのモジュールのベース アドレスも X に記載されています すべてのモジュールのベース アドレスは Cyclone V デバイス ハンドブック Volume 3 の Introduction to the Hard Processor System にもリストされています 表 12 4 に 本資料の改訂履歴を示します 2012 年 11 マイナーな更新 月 2012 年 5 月 ブロック図とシステム統合 機能説明 プログラミング モデル およびアドレス マップとレジスタ定義セクションを追加します 2012 年 1 月 初版

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用 WP-01034-1.0/JP DLL (PVT compensation) 90 PLL PVT compensated FPGA fabric 90 Stratix III I/O block Read Dynamic OC T FPGA Write Memory Run Time Configurable Run Time Configurable Set at Compile dq0 dq1

More information

Microsoft Word - quick_start_guide_16 1_ja.docx

Microsoft Word - quick_start_guide_16 1_ja.docx Quartus Prime ソフトウェア ダウンロードおよびインストール クイック スタート ガイド 2016 Intel Corporation. All rights reserved. Intel, the Intel logo, Intel FPGA, Arria, Cyclone, Enpirion, MAX, Megacore, NIOS, Quartus and Stratix words

More information

ウォッチドッグ・タイマ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM)

ウォッチドッグ・タイマ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM) 11? 2012? cv_54024-1.2 cv_54024-1.2 ウォッチドッグ タイマの主な機能は 無応答ステートから回復するシステムの方法を提供することです ハード プロセッサ システム (HPS) は レベル 4(L4) のペリフェラル バスに接続された 2 つのプログラム可能なウォッチドッグ タイマを提供しています ウォッチドッグ タイマは Synopsys DesignWare APB

More information

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ CPLD ISP ISP この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください AN-630-1.0 アプリケーション ノート このアプリケーションノートでは MAX II および MAX V デバイスにおけるリアルタイム ISP(In-System Programmability)

More information

CANコントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM)

CANコントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM) November 2012 cv_54025-1.2 cv_54025-1.2 ハードウェア プロセッサ システム (HPS) は Cortex -A9 マイクロプロセッサ ユニット (MPU) サブシステム ホスト プロセッサ および CAN プロトコルで使用するダイレクト メモリ アクセス (DMA) コントローラでのシリアル通信用に 2 つのコントローラ エリア ネットワーク (CAN) コントローラを提供しています

More information

Cyclone V デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール、Cyclone Vデバイス・ハンドブック、Volume 1、第1章

Cyclone V デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール、Cyclone Vデバイス・ハンドブック、Volume 1、第1章 June 2012 CV-52001-2.0 CV-52001-2.0 この章では Cyclone V コア ファブリック内のロジック アレイ ブロック (LAB) の機能を説明します LAB は ロジック ファンクション 演算ファンクション およびレジスタ ファンクションを実装するためにコンフィギュレーションできるアダプティブ ロジック モジュール () として知られる基本的なビルディング ブロックで構成されています

More information

I2Cコントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM)

I2Cコントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM) 11? 2012? cv_54020-1.2 cv_54020-1.2 I 2 C コントローラは ボード上の集積回路との間の通信リンクを提供しています 温度センサ および電圧レベル変換などのようなアプリケーションから EEPROM A/D と D/A コンバータ コーデック および多くのマイクロプロセッサの種類に使用され シリアル データ ライン (SDA) とシリアル クロック (SCL) のシンプルな

More information

NANDフラッシュ・コントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM)

NANDフラッシュ・コントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM) November 2012 cv_54010-1.2 cv_54010-1.2 ハード プロセッサ システム (HPS) は Altera system-on-a-chip(soc)fpga システムで外部 NAND フラッシュ メモリと接続する NAND フラッシュ コントローラを提供しています 大容量のアプリケーション用またはユーザー データ用の外部ストレージ容量として ソフトウェアのプロセッサ

More information

1-2 MLAB 図 1-1: Arria 10 デバイスにおける LAB 構造およびインタコネクトの概要 この図は LAB インタコネクトを有する Arria 10 の LAB および MLAB 構造の概要を表しています C4 C27 異なる速度と長さのロウ インタコネクト R32 R3/R6 s

1-2 MLAB 図 1-1: Arria 10 デバイスにおける LAB 構造およびインタコネクトの概要 この図は LAB インタコネクトを有する Arria 10 の LAB および MLAB 構造の概要を表しています C4 C27 異なる速度と長さのロウ インタコネクト R32 R3/R6 s 1 署名 ロジック アレイ ブロック (LAB) は アダプティブ ロジック モジュール () として知られる基本のビルディング ブロックで構成されています ロジック ファンクション 演算ファンクション およびレジスタ ファンクションを実装するために LAB をコンフィギュレーションすることができます また Arria 10 デバイスで使用可能な LAB の 4 分の 1 をメモリ LAB(MLAB)

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

ロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール

ロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール 1 AV-52001 署名 この章では ArriaV コア ファブリックのロジック アレイ ブロック (LAB) の機能について説明します LAB は ロジック ファンクション 演算ファンクション およびレジスタ ファンクションを実装するようにコンフィギュレーションできるアダプティブ ロジック モジュール () として知られる基本ビルディング ブロックで構成されています ArriaV デバイス内で使用可能な

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 SAU シリアル アレイ ユニット ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ SAU の概要 UART 通信機能のプログラム サンプル紹介 2 SAU の概要 3 SAU の機能 クロック同期式調歩同期式マスタ動作のみ チャネル 0: 送信チャネル 1: 受信 4 UART

More information

AN 611:3G-SDI レベルB とデュアル・リンクHD-SDI(SMPTE372)リファレンス・デザインのマッピング

AN 611:3G-SDI レベルB とデュアル・リンクHD-SDI(SMPTE372)リファレンス・デザインのマッピング AN-611-1.0 この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください このリファレンス デザインは Altera SDI MegaCore ファンクションおよびオーディオ ビデオ開発キット Stratix IV GX エディションを使用して 3 ギガビット / 秒のシリアル

More information

A-AN pdf

A-AN pdf JQFP BGA 1999 1 ver. 4 Application Note 71 J QFPFineLine BGA TM BGA JQFPBGA JQFP QFPBGA JQFP BGA JQFP BGA J QFP J QFP QFP QFP 125 QFP QFP QFPQFP Carrier & Development Socket Altera Corporation Page 1 A-AN-071-04/J

More information

AN 357: Error Detection & Recovery Using CRC in Altera FPGA Devices

AN 357: Error  Detection & Recovery Using CRC in Altera FPGA Devices 2008 年 7 月 ver. 1.4 アルテラ FPGA デバイスの CRC によるエラー検出およびリカバリ Application Note 357 概要 航空電子 テレコム システム コントロール および軍事用アプリケーションの分野で使用されるクリティカルな用途では 以下ができることが重要です FPGA デバイスに格納されたコンフィギュレーション データが正確であるかを確認する システムにコンフィギュレーション

More information

USB 2.0 OTGコントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM)

USB 2.0 OTGコントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM) 18. 11? 2012? av_54018-1.2 av_54018-1.2 ハード プロセッサ システム (HPS) は デバイスとホストの両方の機能をサポートする USB On-The-Go (OTG) コントローラの 2 つのインスタンスを提供しています コントローラは デバイスとホストの両方のモードですべての高速 全速および低速の転送をサポートしています コントローラは On-The-Go

More information

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装 LIM Corp. Nios II - PIO を使用した I 2 C-Bus (2 ワイヤ ) マスタの実装 ver.1.0 2010 年 6 月 ELSEN,Inc. 目次 1. はじめに... 3 2. 適用条件... 3 3. システムの構成... 3 3-1. SOPC Builder の設定... 3 3-2. PIO の設定... 4 3-2-1. シリアル クロック ライン用 PIO

More information

Microsoft Word - dg_sataahciip_refdesign_jp.doc

Microsoft Word - dg_sataahciip_refdesign_jp.doc SATA AHCI-IP コア リファレンス デザイン説明書 Rev1.3J 2017/03/22 本ドキュメントは AHCI-IP コア実機デモ システムのリファレンス デザインを説明したものです SATA-IP コアの上位に AHCI-IP コアを実装することで アプリケーション レイヤのドライバを介して Linux 等の OS から接続 SATA デバイスを直接ドライブとして認識でき ファイル

More information

Stratix IVデバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール, Stratix IV Device Handbook, Volume 1, Ch 2

Stratix IVデバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール, Stratix IV Device Handbook, Volume 1, Ch 2 2010?9? 2010 SIV51002-3.1 SIV51002-3.1 この章では Stratix IV コア ファブリックのロジック アレイ ブロック (LAB) の機能について説明します LAB は ロジック ファンクション 演算ファンクション およびレジスタ ファンクションのコンフィギュレーションに使用可能な から構成されます ロジック アレイ ブロック (LAB) およびアダプティブ

More information

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法 ver. 8.1 2009 年 3 月 1. はじめに Nios II 開発ボードに実装されているメモリ用のコンポーネントは SOPC Builder の中にあらかじめ用意されています しかし 実際に基板を作成した場合には Nios II 開発ボードに実装されているメモリと同じ仕様の製品でない限り SOPC Builder であらかじめ用意されたメモリ用のコンポーネントを使用することはできません この場合

More information

スライド 1

スライド 1 RX62N 周辺機能紹介データフラッシュ データ格納用フラッシュメモリ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ データフラッシュの概要 プログラムサンプル 消去方法 書き込み方法 読み出し方法 FCUのリセット プログラムサンプルのカスタマイズ 2 データフラッシュの概要 3 データフラッシュとは フラッシュメモリ

More information

MODBUS ユーザーズマニュアル 페이지 1 / 23

MODBUS ユーザーズマニュアル 페이지 1 / 23 MODBUS ユーザーズマニュアル 페이지 1 / 23 目次 1. 概要... 1 2. GX7 サポートファンクションコード... 1 3. GX7 サポートリファレンス... 1 4. GX7 サポートリファレンスとファンクションコードの関係... 2 5. XD+ 内プロジェクト設定でオプション別の機能... 4 6. トラブルシューティング... 9 A. APPENDIX... 12 1.

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

Quartus Prime - プログラミング・ファイルの生成や変換(Convert Programming Files)

Quartus Prime - プログラミング・ファイルの生成や変換(Convert Programming Files) ALTIMA Corp. Quartus Prime プログラミング ファイルの生成や変換 (Convert Programming Files) ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. Quartus Prime プログラミング ファイルの生成や変換 (Convert Programming Files) 目次 1. 2. はじめに...3 操作方法...4 2-1.

More information

デュアルDIMM DDR2およびDDR3 SDRAMのボード・デザイン・ガイドライン、外部メモリ・インタフェース・ハンドブック、Volume 2、第5章

デュアルDIMM DDR2およびDDR3 SDRAMのボード・デザイン・ガイドライン、外部メモリ・インタフェース・ハンドブック、Volume 2、第5章 5? 2012? EMI_DG_005-2.0 EMI_DG_005-2.0 この章では デュアル バッファなし DIMM (UDIMM) DDR2 および DDR3 SDRAM インタフェースの実装のガイドラインについて説明します この章では デュアル DIMM 構成を次の条件で使用して データ信号のシグナル インテグリティに対する影響を説明します 1 スロット実装対 2 スロット実装 DIMM

More information

Notes and Points for TMPR454 Flash memory

Notes and Points for TMPR454 Flash memory 表紙 TMPR454 内蔵 Flash メモリ対応版手順書 株式会社 DTS インサイト ご注意 (1) 本書の内容の一部または 全部を無断転載することは禁止されています (2) 本書の内容については 改良のため予告なしに変更することがあります (3) 本書の内容について ご不明な点やお気付きの点がありましたら ご連絡ください (4) 本製品を運用した結果の影響については (3) 項にかかわらず責任を負いかねますのでご了承ください

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介安全機能 ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ 安全機能の概要 フラッシュ メモリ CRC 演算機能 RAM パリティ エラー検出機能 データの保護機能 RAM ガード機能 SFR ガード機能 不正メモリ アクセス機能 周辺機能を使用した安全機能 周波数検出機能 A/D

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 ADC A/D コンバータ ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ ADC の概要 ソフトウエア トリガ セレクト モード 連続変換モードのプログラム サンプル紹介 2 ADC の概要 3 ADC のブロック図 パワー オフが可能 入力 選択 記憶 比較 基準電圧 変換結果

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

Nios II Flash Programmer ユーザ・ガイド

Nios II Flash Programmer ユーザ・ガイド ver. 8.0 2009 年 4 月 1. はじめに 本資料は Nios II 開発環境においてフラッシュメモリ または EPCS へのプログラミングを行う際の参考マニュアルです このマニュアルでは フラッシュメモリの書き込みの際に最低限必要となる情報を提供し さらに詳しい情報はアルテラ社資料 Nios II Flash Programmer User Guide( ファイル名 :ug_nios2_flash_programmer.pdf)

More information

ファイル メニューのコマンド

ファイル メニューのコマンド CHAPTER43 次のオプションは Cisco Configuration Professional(Cisco CP) の [ ファイル ] メニューから利用できます 実行コンフィギュレーションを PC に保存 ルータの実行コンフィギュレーションファイルを PC 上のテキストファイルに保存します 43-1 設定をルータに配信する 第 43 章 設定をルータに配信する このウィンドウでは Cisco

More information

Cyclone Vデバイス・ハンドブック、 Vol 1、第6章:Cyclone Vデバイスの外部メモリ・インタフェース

Cyclone Vデバイス・ハンドブック、 Vol 1、第6章:Cyclone Vデバイスの外部メモリ・インタフェース June 2012 CV-52006-2.0 CV-52006-2.0 こので章は Cyclone V デバイスの利用可能な外部メモリ インタフェースおよび外部メモリ インタフェースをサポートする このシリコン機能について説明します 以下の Cyclone V デバイスの機能は外部メモリ インタフェースで使用されています ダブル データ レート 2 (DDR2) SDRAM DDR3 SDRAM および低消費電力ダブル

More information

オンチップ・メモリ クイック・ガイド for Cyclone III

オンチップ・メモリ クイック・ガイド for Cyclone III ver.9.1 2010 年 1 月 1. はじめに アルテラ社製 FPGA デバイスにおいてオンチップ メモリ (FPGA 内部で RAM や ROM などを構成 ) を実現するには Memory Compiler メガファンクションを使用します Memory Compiler メガファンクションは Cyclone シリーズ, Arria シリーズ, Stratix シリーズ, HardCopy

More information

Quartus II はじめてガイド - Convert Programming File の使い方

Quartus II はじめてガイド - Convert Programming File の使い方 ALTIMA Corp. Quartus II はじめてガイド Convert Programming File の使い方 ver.14 2015 年 1 月 Rev.1 ELSENA,Inc. Quartus II はじめてガイド Convert Programming File の使い方 目次 1. 2. はじめに...3 操作方法...3 2-1. 2-2. 2-3. Convert Programming

More information

Cyclone III デバイス・ファミリの メモリ・ブロック

Cyclone III デバイス・ファミリの メモリ・ブロック この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください Cyclone III デバイス ファミリ (Cyclone III および Cyclone III LS デバイス ) は アルテラの Cyclone III デバイス ファミリのデザインのオンチップ メモリの要件に対応するエンベデッド

More information

SoC はじめてガイド - HPS-FPGA 間のアクセス方法(Arria® V SoC / Cyclone® V SoC 編)

SoC はじめてガイド - HPS-FPGA 間のアクセス方法(Arria® V SoC / Cyclone® V SoC 編) ALTIMA Company, MACNICA, Inc. SoC はじめてガイド HPS-FPGA 間のアクセス方法 (Arria V SoC / Cyclone V SoC 編 ) Ver.17 2017 年 7 月 Rev.1 ELSENA,Inc. SoC はじめてガイド HPS-FPGA 間のアクセス方法 (Arria V SoC / Cyclone V SoC 編 ) 目次 はじめに...3

More information

ログインおよび設定

ログインおよび設定 この章は 次の項で構成されています の概要, 1 ページ admin パスワードのリセット, 3 ページ パスワードと共有秘密のガイドライン, 3 ページ 共有秘密のリセット, 4 ページ の概要 Cisco UCS Central GUI および Cisco UCS Central CLI の両方を使用して Cisco UCS Central にログ インできます 両方のインターフェイスを使用すると

More information

Introducing_SPIStorm-JP

Introducing_SPIStorm-JP SPI Storm の紹介 USB での SPI Storm は Byte Paradigm の USB Serial Protocol host adapter です SPI Storm は マスターとして SPI (Serial Peripheral Interface) 3-wires SPI dual-spi quad-spi プロトコルをサポートします それは PC から最大 100MHz

More information

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ arduino プログラミング課題集 ( Ver.5.0 2017/06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイコンから伝える 外部装置の状態をマイコンで確認する 信号の授受は 入出力ポート 経由で行う (2) 入出力ポートとは?

More information

Microsoft Word - SUA007

Microsoft Word - SUA007 アルテラ社ツール Qsys を利用した Smart-USB Plus 製品用リファレンス回路 SRAM-FIFO モジュール 1. SRAM-FIFO モジュールとは? Smart-USB Plus 製品に搭載する高速同期 SRAM を FIFO 化するモジュールです アルテラ社 AVALON バス仕様に準拠しています 既に提供している GPIF-AVALON ブリッジ (SUA006 アプリケーションノート参照

More information

PCI-Express ハード IP を使用した DMA 転送の実現 for Cyclone V GT FPGA 開発キット(ハードウェア編)

PCI-Express ハード IP を使用した DMA 転送の実現 for Cyclone V GT FPGA 開発キット(ハードウェア編) ALTIMA Corp. PCI-Express ハード IP を使用した DMA 転送の実現 for Cyclone V GT FPGA 開発キット ( ハードウェア編 ) ver.1 2015 年 4 月 Rev.3 ELSENA,Inc. PCI-Express ハード IP を使用した DMA 転送の実現 for Cyclone V GT FPGA 開発キット ( ハードウェア編 ) 目次

More information

WAGO PROFIBUS バスカプラ/コントローラと、QJ71PB92Dとのコンフィグレーションマニュアル

WAGO PROFIBUS バスカプラ/コントローラと、QJ71PB92Dとのコンフィグレーションマニュアル < 概要 > WAGO-I/O-SYSTEM750 シリーズ PROFIBUS バスカプラ / コントローラと 三菱電機 製 PROFIBUS ユニット QJ71PB92D とのコンフィグレーション手順を説明しております < 使用機器接続図 > 下記機器を準備し 図の通り接続しました WAGO-I/O-SYSTEM PROFIBUS バスカプラ / コントローラ 750-xxx および I/O モジュール

More information

Microsoft Word - PCI-X_PCIeバスのデータ転送-ver1.0.docx

Microsoft Word - PCI-X_PCIeバスのデータ転送-ver1.0.docx データ転送時におけるエラー / ボード認識不具合に関する資料 2012/06/20 目次 画像データ転送時に発生する問題 ( 過去の事例 )... 3 不具合の発生したチップセットの例... 7 Intel 社製チップセット... 8 テレダインダルサが推奨するチップセットの例... 9 トランザクション層の機能... 11 PCI Express のレーン順序と差動信号の特性... 12 レーン0とレーン1で送信側と受信側で速度差を吸収する機能...

More information

2-2 デザイン ガイドライン 表 2-1: 容量と分配 A10-MEMORY タイプ Arria 10 GX Arria 10 GT Arria 10 SX 製品ライン ブロック数 M20K RAM ビット数 (Kb) ブロック数 MLAB RAM ビット数 (Kb) トータル RAM ビット数

2-2 デザイン ガイドライン 表 2-1: 容量と分配 A10-MEMORY タイプ Arria 10 GX Arria 10 GT Arria 10 SX 製品ライン ブロック数 M20K RAM ビット数 (Kb) ブロック数 MLAB RAM ビット数 (Kb) トータル RAM ビット数 2 A10-MEMORY 署名 デバイス内のエンベデッド メモリ ブロックには柔軟性があり デザイン要件に合った最適な小規模メモリ アレイおよび大規模メモリ アレイを提供できるようデザインされています 関連情報 Arria 10 Device Handbook: Known Issues >Arria 10 Device Handbook の章にて予定される更新をリストします エンベデッド メモリのタイプ

More information

1. A/D 入力について分解能 12bit の A/D コンバータ入力です A/D 入力電圧とディジタル値との対応は理論上 入力電圧 0V : 0 入力電圧 +3V : 4095 です 実際はオフセットと傾きがあり ぴったりこの数値にはなりません 2. A/D 入力に使用する信号 STM32L_A

1. A/D 入力について分解能 12bit の A/D コンバータ入力です A/D 入力電圧とディジタル値との対応は理論上 入力電圧 0V : 0 入力電圧 +3V : 4095 です 実際はオフセットと傾きがあり ぴったりこの数値にはなりません 2. A/D 入力に使用する信号 STM32L_A STM32L_ADC の説明 V003 2014/03/30 STM32L-Discovery の A/D 入力を行うプログラムです A/D CH0 ~ A/D CH3 の 4 本の入力が可能です 提供する PC のアプリケーション Access_SerialPort を使用して UART( 非同期シリアル通信 ) により A/D 入力の表示を行うことができます 無料の開発ツール Atollic TrueSTUDIO

More information

アラートの使用

アラートの使用 CHAPTER 7 この章は 次の項で構成されています (P.7-2) アラートプロパティの設定 (P.7-4) アラートの一時停止 (P.7-6) アラート通知用電子メールの設定 (P.7-7) アラートアクションの設定 (P.7-7) 7-1 次のを実行して [Alert Central] へのアクセス アラート情報のソート アラートの有効化 無効化 削除 アラートのクリア アラートの詳細の表示などのタスクを実行できます

More information

(Microsoft Word - \216\346\220\340SiTCP-VME-Master\(Rev26\).doc)

(Microsoft Word - \216\346\220\340SiTCP-VME-Master\(Rev26\).doc) SiTCP VME-Master Master module Mode2 BBT-002 002-2 取扱説明書 Rev 2.6 (June21, 2016) 変更履歴 Rev 変更日 変更ページ 変更内容 0.4 2008/02/13 P12 Address Fix モード時の制限事項を追加 0.5 2008/02/14 P3, 11 非整列転送の非サポートを明記 1.0 2008/04/04 P6

More information

SAC (Jap).indd

SAC (Jap).indd 取扱説明書 機器を使用する前に本マニュアルを十分にお読みください また 以後も参照できるよう保管してください バージョン 1.7 目次 目次 について...3 ご使用になる前に...3 インストール手順...4 ログイン...6 マネージメントソフトウェアプログラムの初期画面... 7 プロジェクタの検索...9 グループの設定... 11 グループ情報画面... 12 グループの削除... 13

More information

TN-12-15: N25QとSpansion S25FL フラッシュ デバイスの比較

TN-12-15: N25QとSpansion S25FL フラッシュ デバイスの比較 テクニカルノート TN-12-15: と Spansion フラッシュ デバイスの 比 較 はじめに Comparing Micron and Spansion Flash Devices はじめに 本 テクニカルノートは Micron (32Mb または 64Mb) と Spansion フラッ シュ メモリ デバイスの 機 能 を 比 較 することを 目 的 としています 比 較 した 機 能

More information

ストレージ パフォーマンスのモニタリング

ストレージ パフォーマンスのモニタリング ストレージ パフォーマンスのモニタリング ストレージ パフォーマンスのモニタリング, 1 ページ [Performance] ポートレット, 1 ページ [Datastore Trends] ポートレット, 2 ページ パフォーマンス チャートのモニタリング, 2 ページ パフォーマンス チャートのカスタマイズ, 4 ページ HTML パフォーマンス チャート, 7 ページ ストレージ パフォーマンスのモニタリング

More information

複数の Nios II を構成する際の注意事項

複数の Nios II を構成する際の注意事項 ver. 1.0 2009 年 4 月 1. はじめに Nios II IDE で ソフトウェアをビルドすると SOPC Builder の GUI 上で Nios II と接続されているペリフェラル用の初期化コードを自動で生成します この各ペリフェラルに対応した初期化コードで ペリフェラルを制御するためにアルテラ社から提供された HAL を利用するための準備や 各ペリフェラルの一般的な理想と考えられる初期状態のレジスタ設定等を行います

More information

TN-46-13

TN-46-13 はじめに テクニカルノート 高速 DDR SDRAM の互換性 はじめに このテクニカルノートでは DDR SDRAM デバイスの速度タイミングの違いを考察し Micron の高速グレード部品と低速グレード部品との互換性について説明します Micron DDR デバイスのタイミングは 異なる速度グレードの部品との互換性を最大限維持するように最適化されています そのため Micron のデータシートに記載されているタイミング特性は

More information

Microsoft Word - HowToSetupVault_mod.doc

Microsoft Word - HowToSetupVault_mod.doc Autodesk Vault 環境設定ガイド Autodesk Vault をインストール後 必要最小限の環境設定方法を説明します ここで 紹介しているのは一般的な環境での設定です すべての環境に当てはまるものではありません 1 条件 Autodesk Data Management Server がインストール済み Autodesk Vault Explorer がクライアント PC にインストール済み

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

AN-1077: ADXL345 Quick Start Guide

AN-1077: ADXL345 Quick Start Guide 09119-002 TOP 09119-001 ADXL345 Quick Start Guide by Tomoaki Tsuzuki APPLICATION NOTE PHYSICAL MOUNTING ADXL345 は 3 軸の加速度センサーです 検出軸方向を Figure1 に示します ADXL345 は検出軸の正方向に加速されると正極性の出力になります 重力は検出軸方向の逆方向の極性が出力されるので注意が必要です

More information

目次 1 I2Cとは 13 結線写真 2 センサの多くがI2Cに対応 14 WHO_AM_I 3 マイコンでのI2C通信例 15 I2C読込みプログラム 4 とは 16 I2C読込みスクリプト概要① 5 タイミングパラメータ 17 I2C読込みスクリプト概要② 6 書込み 18 センサ読込みプログラ

目次 1 I2Cとは 13 結線写真 2 センサの多くがI2Cに対応 14 WHO_AM_I 3 マイコンでのI2C通信例 15 I2C読込みプログラム 4 とは 16 I2C読込みスクリプト概要① 5 タイミングパラメータ 17 I2C読込みスクリプト概要② 6 書込み 18 センサ読込みプログラ 第5回 Arduino入門 I2C通信編 プレゼン by いっちー 目次 1 I2Cとは 13 結線写真 2 センサの多くがI2Cに対応 14 WHO_AM_I 3 マイコンでのI2C通信例 15 I2C読込みプログラム 4 とは 16 I2C読込みスクリプト概要① 5 タイミングパラメータ 17 I2C読込みスクリプト概要② 6 書込み 18 センサ読込みプログラム 7 読込み 19 センサ読込み概要①

More information

MIB サポートの設定

MIB サポートの設定 CHAPTER 2 この章では Cisco 10000 シリーズに SNMP および MIB のサポートを設定する手順について説明します 具体的な内容は次のとおりです Cisco IOS リリースに対応する MIB サポートの判別 (p.2-1) MIB のダウンロードおよびコンパイル (p.2-2) シスコの SNMP サポート (p.2-4) Cisco IOS リリースに対応する MIB サポートの判別

More information

Cyclove V、 Volume 3、第16章:DMAコントローラ

Cyclove V、 Volume 3、第16章:DMAコントローラ November 212 cv_5412-1.2 cv_5416-1.1 この章では ハード プロセッサ システム (HPS) に含まれているダイレクト メモリ アクセス コントローラ (DMAC) を説明します DMA コントローラは メモリやペリフェラルおよびシステム内のその他のメモリ ロケーションの間でデータを転送するために使用されます DMA コントローラは ARM Corelink DMA

More information

Relay Socket for Industrial Relay                    1/2

Relay Socket for Industrial Relay                     1/2 WAGO-I/O-PRO CAA ライブラリ ライブラリ は 2 つのファンクションブロックを含みます : ETHERNET_MODBUSMASTER_UDP ETHERNET_MODBUSMASTER_TCP このファンクションブロックを使用することで 1 つあるいはそれ以上のスレーブとの通信を確立させることができます TCP のファンクションブロックより より速くデータ交換を処理することができるファンクションブロック

More information

Arria 10におけるプロトコル経由のCvP初期化およびパーシャル・リコンフィギュレーション ユーザーガイド

Arria 10におけるプロトコル経由のCvP初期化およびパーシャル・リコンフィギュレーション ユーザーガイド Arria 10 におけるプロトコル経由の CvP 初期化およびパーシャル リコンフィギュレーションユーザーガイド 更新情報 UG-20010 101 Innovation Drive San Jose, CA 95134 www.altera.com 目次 -2 目次 Arria 10 における CvP の初期化...1-1 CvP システム... 1-1 CvP を使用するメリット... 1-2

More information

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+)

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+) RL78 開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行 ( 統合開発環境編 ) (High-performance Embedded Workshop CS+) 2017/4/7 R20UT2087JJ0103 ソフトウェア事業部ソフトウエア技術部ルネサスシステムデザイン株式会社 はじめに 本資料は 統合開発環境 High-performance Embedded Workshop

More information

内容 1. APX-3302 の特長 APX-3312 から APX-3302 へ変更するためには 差分詳細 ハードウェア ハードウェア性能および仕様 ソフトウェア仕様および制限 Ini ファイルの設

内容 1. APX-3302 の特長 APX-3312 から APX-3302 へ変更するためには 差分詳細 ハードウェア ハードウェア性能および仕様 ソフトウェア仕様および制限 Ini ファイルの設 APX-3312 と APX-3302 の差分一覧 No. OM12021D APX-3312 と APX-3302 は どちらも同じ CameraLink 規格 Base Configuration カメラ 2ch 入力可能なボードになります 本書では APX-3312 をご利用になられているお客様が APX-3302 をご利用になられる場合の資料として 両ボードについての差異 を記述しております

More information

実習内容 PIC18C242 のポート B に接続した LED を点滅させます ( 他の実習と同じ内容です ) 8 個の LED に表示される値が +3 ずつインクリメントします MPLAB を使って MPASM アセンブラのソース ファイルをアセンブルします MPLAB とソース ファイルは配布し

実習内容 PIC18C242 のポート B に接続した LED を点滅させます ( 他の実習と同じ内容です ) 8 個の LED に表示される値が +3 ずつインクリメントします MPLAB を使って MPASM アセンブラのソース ファイルをアセンブルします MPLAB とソース ファイルは配布し エンベデッド コントロール セミナー 2000 2000 Microchip Technology Incorporated. All Rights Reserved. S9002A Embedded Control Seminar 2000 1 実習内容 PIC18C242 のポート B に接続した LED を点滅させます ( 他の実習と同じ内容です ) 8 個の LED に表示される値が +3

More information

McAfee SaaS Protection 統合ガイド Microsoft Office 365 と Exchange Online の保護

McAfee SaaS  Protection 統合ガイド Microsoft Office 365 と Exchange Online の保護 統合ガイド改訂 G McAfee SaaS Email Protection Microsoft Office 365 と Exchange Online の保護 Microsoft Office 365 の設定 このガイドの説明に従って McAfee SaaS Email Protection を使用するように Microsoft Office 365 と Microsoft Exchange Online

More information

Microsoft Word - COP_MasterSim_Installation_Supplement_A00.doc

Microsoft Word - COP_MasterSim_Installation_Supplement_A00.doc Anybus CANopen Master Simulator インストール手順補足説明資料 Version: A00 エイチエムエス インダストリアルネットワークス株式会社 222-0033 神奈川県横浜市港北区新横浜 3-19-5 新横浜第 2 センタービル 6F TEL : 045-478-5340 FAX : 045-476-0315 URL www.anybus.jp EMAIL セールス

More information

データ収集用 NIM/CAMAC モジュールマニュアル 2006/5/23 目次 クレート コントローラ CC/ NIM ADC 1821 (Seiko EG&G)...3 ADC インターフェイス U デッドタイム

データ収集用 NIM/CAMAC モジュールマニュアル 2006/5/23 目次 クレート コントローラ CC/ NIM ADC 1821 (Seiko EG&G)...3 ADC インターフェイス U デッドタイム データ収集用 NIM/CAMAC モジュールマニュアル 2006/5/23 hiromi@tac.tsukuba.ac.jp 目次 クレート コントローラ CC/7700...2 NIM ADC 1821 (Seiko EG&G)...3 ADC インターフェイス U9201...4 デッドタイム カウンター NK-1000...5 AD811 8ch ADC (Ortec)...6 C011 4ch

More information

本書は INpMac v2.20(intime 5.2 INplc 3 Windows7/8/8.1に対応 ) の内容を元に記載しています Microsoft Windows Visual Studio は 米国 Microsoft Corporation の米国及びその他の国における登録商標です

本書は INpMac v2.20(intime 5.2 INplc 3 Windows7/8/8.1に対応 ) の内容を元に記載しています Microsoft Windows Visual Studio は 米国 Microsoft Corporation の米国及びその他の国における登録商標です ACTIVE TOUCH 拡張部品取扱説明書 - 共有メモリアクセスコンポーネント - 1. はじめに 1 (1) 概要... 1 (2) INpMac のインストール... 1 2. Windows アプリケーションとの連携 2 (1) コントロール ( 部品 ) の登録... 2 (2) データの関連付け... 3 3. INtime アプリケーションとの連携 4 (1) 部品 ( コンポーネント

More information

ヤマハDante機器と他社AES67機器の接続ガイド

ヤマハDante機器と他社AES67機器の接続ガイド はじめに AES67 は 高性能なデジタル IP ネットワークの相互接続を実現するための標準規格です AES67 は や Ravenna Q-LAN Livewire WheatNet などの異なるネットワーク規格で構築されたシステム間で オーディオ信号を送受信する手段を提供します ヤマハも 機器のアップデートにより順次 AES67 への対応を開始し 第一弾としてデジタルミキシングコンソール CL/QL

More information

アナログ・接点変換器

アナログ・接点変換器 LoRa/ 通信変換器 HLR-RS485 通信仕様書 (Modbus) インターフェース 2019 年 02 月 19 日 改訂履歴 日付改訂者改訂内容 2018/09/14 野村初版 2019/02/19 山下 改訂 1 P12 説明文修正 レジスタ割付修正 P13 キャリアセンス異常エラー追加 承認確認作成 ( 3 ) 目次 1 概要... 4 2 基本仕様... 4 3 通信モードについて...

More information

テクニカルホワイトペーパー HP Sure Run HP PC のハードウェア強制されたアプリケーション永続性 HP Sure Run は ハードウェア強制アプリケーション永続化ソリューションで OS の実行中にポリシー適用ハードウェアとの通信を維持する機能を備えています OS 内の HP Sure

テクニカルホワイトペーパー HP Sure Run HP PC のハードウェア強制されたアプリケーション永続性 HP Sure Run は ハードウェア強制アプリケーション永続化ソリューションで OS の実行中にポリシー適用ハードウェアとの通信を維持する機能を備えています OS 内の HP Sure テクニカルホワイトペーパー HP PC のハードウェア強制されたアプリケーション永続性 は ハードウェア強制アプリケーション永続化ソリューションで OS の実行中にポリシー適用ハードウェアとの通信を維持する機能を備えています OS 内の エージェントが攻撃または削除された場合でも 重要なサービスおよびアプリケーションの存在を継続的に監視します はハードウェアレベル (OS より下 ) で HP エンドポイントセキュリティコントローラーと相互作用し

More information

PLCシリアル通信 MODBUS通信 データ送信/受信プログラム例

PLCシリアル通信 MODBUS通信 データ送信/受信プログラム例 MODBUS RTU 通信時の配線例 ( 例 )FPΣ と弊社製温調器 KT シリーズ通信します マスタとして使用する FPΣ の MODBUS マスタ機能を使用し スレーブの KT シリーズのデータを読み出し 書き込みを行います マスタ データ書き込み スレーブ データ読み出し RS485 FPΣ の通信カセットは COM3 カセット (FPG-COM3) もしくは COM4 カセット (FPG-COM4)

More information

Symantec AntiVirus の設定

Symantec AntiVirus の設定 CHAPTER 29 Symantec AntiVirus エージェントを MARS でレポートデバイスとしてイネーブルにするためには Symantec System Center コンソールをレポートデバイスとして指定する必要があります Symantec System Center コンソールはモニタ対象の AV エージェントからアラートを受信し このアラートを SNMP 通知として MARS に転送します

More information

81 /******************************************************************************/ 82 /* スレーブアドレスの設定 */ 83 /*****************************************

81 /******************************************************************************/ 82 /* スレーブアドレスの設定 */ 83 /***************************************** 1 /******************************************************************************/ 2 /* IIC(Inter IC Bus) の制御 */ 3 /******************************************************************************/ 4 /*

More information

RH850の割り込み/例外実現方法 CC-RHアプリケーションガイド

RH850の割り込み/例外実現方法 CC-RHアプリケーションガイド RH850の割り込み / 例外実現方法 CC-RH アプリケーションガイド R20UT3546JJ0101 2018.10.12 ソフトウェア開発統括部 ソフトウェア技術部ルネサスエレクトロニクス株式会社 アジェンダ 概要ページ 03 割り込み / 例外発生時に実行する関数の定義ページ 10 直接ベクタ方式のベクタの定義ページ 17 テーブル参照方式のベクタの定義ページ 25 その他 割り込み制御ページ

More information

Report Template

Report Template MachXO2 EFB(Embedded Function Block) 1 目次 1 このドキュメントの概要 3 2 EFB の構成 4 3 EFB とハードマクロの生成と注意事項 5 3.1 EFB Enables タブの設定... 5 3.2 I2C タブの設定... 6 3.3 SPI タブの設定... 7 3.4 Timer/Counter タブの設定... 9 4 Wishbone から

More information

2.RL78 での割り込み処理 ( 割り込み受け付け ) マスクが解除された (xxmk ビットが 0 の ) 割り込み要求信号は 2 つの用途で使用されます 一つ目は,CPU のスタンバイ状態の解除です この動作は, 割り込み優先順位とは全く無関係で, マスクされていない (xxmk=0 の )

2.RL78 での割り込み処理 ( 割り込み受け付け ) マスクが解除された (xxmk ビットが 0 の ) 割り込み要求信号は 2 つの用途で使用されます 一つ目は,CPU のスタンバイ状態の解除です この動作は, 割り込み優先順位とは全く無関係で, マスクされていない (xxmk=0 の ) 割り込み / ポーリング /DMA/DTC(RL78 での周辺機能制御 ) 周辺機能を介してデータ転送を制御する方法には, 大きく分けて 3 つの方法があります その中で DMA や DTC は CPU を介することなく, 高速にデータを転送することができますが, 使用できるチャネル数が限られます そのため, たとえば,CSI のスレーブでの高速通信のように限られた時間内に転送が必要な場合に使用できます

More information

インテル® Stratix®10 デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール・ユーザーガイド

インテル®  Stratix®10 デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール・ユーザーガイド 更新情報 フィードバック 最新版をウェブからダウンロード : PDF HTML 目次 目次 1 インテル Stratix デバイスの LAB および の概要... 3 2 HyperFlex レジスター... 4...5 3.1 LAB... 5 3.1.1 MLAB... 6 3.1.2 ローカル インターコネクトおよびダイレクトリンク インターコネクト...6 3.1.3 キャリーチェーンのインターコネクト...

More information

Microsoft Word - N-TM307取扱説明書.doc

Microsoft Word - N-TM307取扱説明書.doc Page 1 of 12 2CHGATEANDDELAYGENERATORTYPE2 N-TM307 取扱説明書 初版発行 2015 年 10 月 05 日 最新改定 2015 年 10 月 05 日 バージョン 1.00 株式会社 テクノランドコーポレーション 190-1212 東京都西多摩郡瑞穂町殿ヶ谷 902-1 電話 :042-557-7760 FAX:042-557-7727 E-mail:info@tcnland.co.jp

More information

このダイナミックリンクライブラリ GaugeC48.dll は 8CH から 48CH 用の DigitalGaugeCounterDG3000 シリーズ共通の DLL です この説明書は GaugeC48.dll を使ったアプリケーションを作成するためのものです 開発環境は MicrosoftVi

このダイナミックリンクライブラリ GaugeC48.dll は 8CH から 48CH 用の DigitalGaugeCounterDG3000 シリーズ共通の DLL です この説明書は GaugeC48.dll を使ったアプリケーションを作成するためのものです 開発環境は MicrosoftVi DigitalGaugeCounter DG3000 シリーズ ダイナミックリンクライブラリ GaugeC48.dll(DLL) 取扱説明書 このダイナミックリンクライブラリ GaugeC48.dll は 8CH から 48CH 用の DigitalGaugeCounterDG3000 シリーズ共通の DLL です この説明書は GaugeC48.dll を使ったアプリケーションを作成するためのものです

More information

ターゲット項目の設定について

ターゲット項目の設定について Code Debugger CodeStage マニュアル別冊 ターゲット 項目の設定について Rev. 2.8 2018 年 4 月 13 日 BITRAN CORPORATION ご注意 1 本書及びプログラムの内容の一部または 全部を無断で転載することは プログラムのバックアップの場合を除き 禁止されています 2 本書及びプログラムの内容に関しては 将来予告なしに変更することがあります 3 当社の許可なく複製

More information

増設メモリ 1. 機能 型名 N8102-G342 N8102-G343 N8102-G344 1GB (1GBx1 枚 ) (x1 枚 ) (x1 枚 ) SDRAM-DIMM, Unbuffered,ECC 1.5V 型名 N N N (1GBx1

増設メモリ 1. 機能 型名 N8102-G342 N8102-G343 N8102-G344 1GB (1GBx1 枚 ) (x1 枚 ) (x1 枚 ) SDRAM-DIMM, Unbuffered,ECC 1.5V 型名 N N N (1GBx1 (2010/04/26) 増設メモリ 1. 機能 型名 N8102-G342 N8102-G343 N8102-G344 1GB (1GBx1 枚 ) (x1 枚 ) (x1 枚 ) SDRAM-DIMM, Unbuffered,ECC 1.5V 型名 N8102-342 N8102-343 N8102-344 (1GBx1 枚 ) (x1 枚 ) (x1 枚 ) SDRAM-DIMM, Unbuffered,ECC

More information

増設メモリ (2010/06/17)

増設メモリ (2010/06/17) (2010/06/17) 1. 機能 型名 N8102-371 N8102-372 N8102-373 N8102-374 N8102-375 16GB (1GBx1 枚 ) (2GBx1 枚 ) (x1 枚 ) (x1 枚 ) (16GBx1 枚 ) 1.35V/1.5V 型名 N8102-387 N8102-388 N8102-389 N8102-390 N8102-391 2GB 16GB 32GB

More information

Microsoft PowerPoint - IO_Pass-through_XenSummitTokyo2008_jp.ppt

Microsoft PowerPoint - IO_Pass-through_XenSummitTokyo2008_jp.ppt パススルー I/O の実装と今後 2008 年 11 月 21 日島田雄二 NECシステムテクノロジー 目次 1. パススルー I/O の実装 2. 今後の予定 3. パススルー I/O の課題 4. まとめ 2 NEC Corporation 2008 1. パススルー I/O の実装 パススルー I/O とは Domain へ I/O デバイスを割り当て Guest ソフトウェアから直接制御する

More information

電話機のリセットと再起動

電話機のリセットと再起動 この章では 属性を更新せずにデバイスをリセットまたは再起動する方法について説明します 問題が発生したために 複数の電話機をバルク トランザクションによってリセットまたは再起 動する必要が生じた場合に この手順を使用します リセットする電話機は クエリーまたは カスタム ファイルを使用して検索できます クエリーを使用した電話機のリセットまたは再起動, 1 ページ カスタム ファイルを使用した電話機のリセットまたは再起動,

More information

2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk

2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk 2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk Autodesk Vault 2014 新機能 操作性向上 Inventor ファイルを Vault にチェックインすることなくステータス変更を実行できるようになりました 履歴テーブルの版管理を柔軟に設定できるようになりました

More information

Oracle DatabaseとIPv6 Statement of Direction

Oracle DatabaseとIPv6 Statement of Direction Oracle ホワイト ペーパー 2017 年 10 月 Oracle Database と IPv6 Statement of Direction 免責事項 下記事項は 弊社の一般的な製品の方向性に関する概要を説明するものです また 情報提供を唯一の目的とするものであり いかなる契約にも組み込むことはできません マテリアルやコード 機能の提供をコミットメント ( 確約 ) するものではなく 購買を決定する際の判断材料になさらないで下さい

More information

6

6 Stellaris LM3S9B96 Microcontroller 15 章同期式シリアル インターフェイス (SSI) JAJU139 SPMS182D 翻訳版 (15 章 ) 最新の英語版 : http://www.ti.com/lit/gpn/lm3s9b96 この資料は Texas Instruments Incorporated(TI) が英文で記述した資料を 皆様のご理解の一助として頂くために日本テキサス

More information

Veritas System Recovery 18 System Recovery Disk

Veritas System Recovery 18 System Recovery Disk Veritas System Recovery 18 System Recovery Disk 免責事項 ベリタステクノロジーズ合同会社は この 書の著作権を留保します また 記載された内容の無謬性を保証しません VERITAS の製品は将来に渡って仕様を変更する可能性を常に含み これらは予告なく われることもあります なお 当ドキュメントの内容は参考資料として 読者の責任において管理 / 配布されるようお願いいたします

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション マイコンプログラミング演習 I 第 04-05 回 LEDを用いたI/O 制御担当 : 植村 実験の目的 本実験ではマイコンシステムを用いた信号の入出力の制御方法を理解することを目的とし, マイコンのアーキテクチャを理解 実装するとともに, アセンブラによるプログラミング技術の習得を行う. 回路の構成として,PIC16F84A を用いてスイッチを入力とする LED の点灯 / 消灯の出力操作を行う回路ならびにアセンブラプログラムを実装する.

More information

PowerPoint Presentation

PowerPoint Presentation Up & Ready シリーズ August 2016 シングルユーザーサブスクリプションガイドサブスクリプション注文後 ~ソフトウェア起動までの流れ Shihori Sakurai Customer Service & Support シングルユーザーサブスクリプションガイドコンテンツ P.3-P.6 P.7-P.14 P.15-P.24 P.25-P.34 シングルユーザーサブスクリプション基本情報

More information

任意の間隔での FTP 画像送信イベントの設定方法 はじめに 本ドキュメントでは AXIS ネットワークカメラ / ビデオエンコーダにおいて任意の間隔で画像を FTP サー バーへ送信するイベントの設定手順を説明します 設定手順手順 1:AXIS ネットワークカメラ / ビデオエンコーダの設定ページ

任意の間隔での FTP 画像送信イベントの設定方法 はじめに 本ドキュメントでは AXIS ネットワークカメラ / ビデオエンコーダにおいて任意の間隔で画像を FTP サー バーへ送信するイベントの設定手順を説明します 設定手順手順 1:AXIS ネットワークカメラ / ビデオエンコーダの設定ページ はじめに 本ドキュメントでは AXIS ネットワークカメラ / ビデオエンコーダにおいて任意の間隔で画像を FTP サー バーへ送信するイベントの設定手順を説明します 設定手順手順 1:AXIS ネットワークカメラ / ビデオエンコーダの設定ページにアクセスする 1.Web ブラウザを起動します FW v6.50 以下の場合は Internet Explorer を FW v7.10 以降の場合は

More information

Time and Frequency Division Multiplexing の設定

Time and Frequency Division Multiplexing の設定 Time and Frequency Division Multiplexing の設 定 このドキュメントでは DOCSIS 3.1 アップストリーム チャネルの Time and Frequency Division Multiplexing TaFDM 機能に関する Cisco cbr-8 シリーズ ルータのサポートについて説明しま す TaFDM サポートについて, 1 ページ TaFDM

More information

増設メモリ 1. 機能 型名 N N N N GB (x1 枚 ) (x1 枚 ) (x1 枚 ) (8GBx1 枚 ) DDR3-1333(PC ) 動作クロック 667MHz( 差動 ) 1.5V 型名 N8102-3

増設メモリ 1. 機能 型名 N N N N GB (x1 枚 ) (x1 枚 ) (x1 枚 ) (8GBx1 枚 ) DDR3-1333(PC ) 動作クロック 667MHz( 差動 ) 1.5V 型名 N8102-3 (2010/01/22) 増設メモリ 1. 機能 型名 N8102-361 N8102-362 N8102-363 N8102-364 8GB (x1 枚 ) (x1 枚 ) (x1 枚 ) (8GBx1 枚 ) DDR3-1333(PC3-10600) 動作クロック 667MHz( 差動 ) 1.5V 型名 N8102-365 N8102-366 N8102-367 (x1 枚 ) (x1 枚 )

More information

外部SQLソース入門

外部SQLソース入門 Introduction to External SQL Sources 外部 SQL ソース入門 3 ESS 3 ESS : 4 ESS : 4 5 ESS 5 Step 1:... 6 Step 2: DSN... 6 Step 3: FileMaker Pro... 6 Step 4: FileMaker Pro 1. 6 Step 5:... 6 Step 6: FileMaker Pro...

More information

Nios II マイコン活用ガイド マイコンの動作を確認しましょう AuCE C3 には 基本 CPU エンジン CPU0121C3880 と 対応する基本プログラムを書き込んで出荷しております 以下に AuCE C3 出荷時の状態を示します AuCE C3 FPGA Cyclone III 基本

Nios II マイコン活用ガイド マイコンの動作を確認しましょう AuCE C3 には 基本 CPU エンジン CPU0121C3880 と 対応する基本プログラムを書き込んで出荷しております 以下に AuCE C3 出荷時の状態を示します AuCE C3 FPGA Cyclone III 基本 Nios II マイコン活用ガイド CHAPTER No:020Adv 対象品 : 目次 マイコンの動作を確認しましょう 2 ステップ 1 動作確認環境を準備する 6 ステップ 2 基本プログラムを起動する 9 ステップ 3 コマンドを入力する 11 1 Nios II マイコン活用ガイド マイコンの動作を確認しましょう AuCE C3 には 基本 CPU エンジン CPU0121C3880 と 対応する基本プログラムを書き込んで出荷しております

More information

CoIDE 用 F4D_VCP の説明 V /07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x

CoIDE 用 F4D_VCP の説明 V /07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x CoIDE 用 F4D_VCP の説明 V001 2014/07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x08000000 です デバッグが可能です 目次 1. USB の VCP( 仮想 COM ポート )

More information

1. USB の VCP( 仮想 COM ポート ) について USB の VCP( 仮想 COM ポート ) は USB を非同期シリアル通信として使用するための USB のドライバです PC には VCP ドライバをインストールする必要があります USB の VCP( 仮想 COM ポート )

1. USB の VCP( 仮想 COM ポート ) について USB の VCP( 仮想 COM ポート ) は USB を非同期シリアル通信として使用するための USB のドライバです PC には VCP ドライバをインストールする必要があります USB の VCP( 仮想 COM ポート ) TrueSTUDIO 用 F4D_VCP の説明 V001 2014/07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです 無料の試用版開発ツール Atollic TrueSTUDIO for ARM Lite で作成したプロジェクトです ビルド可能なプログラムのコードサイズが 32Kbyte 以内の制限があります プログラムの開始番地は 0x08000000

More information