2-2 デザイン ガイドライン 表 2-1: 容量と分配 A10-MEMORY タイプ Arria 10 GX Arria 10 GT Arria 10 SX 製品ライン ブロック数 M20K RAM ビット数 (Kb) ブロック数 MLAB RAM ビット数 (Kb) トータル RAM ビット数

Size: px
Start display at page:

Download "2-2 デザイン ガイドライン 表 2-1: 容量と分配 A10-MEMORY タイプ Arria 10 GX Arria 10 GT Arria 10 SX 製品ライン ブロック数 M20K RAM ビット数 (Kb) ブロック数 MLAB RAM ビット数 (Kb) トータル RAM ビット数"

Transcription

1 2 A10-MEMORY 署名 デバイス内のエンベデッド メモリ ブロックには柔軟性があり デザイン要件に合った最適な小規模メモリ アレイおよび大規模メモリ アレイを提供できるようデザインされています 関連情報 Arria 10 Device Handbook: Known Issues >Arria 10 Device Handbook の章にて予定される更新をリストします エンベデッド メモリのタイプ Arria 10 デバイスには以下の 2 タイプのメモリ ブロックが含まれています 20 Kb M20K ブロック 専用メモリ リソースのブロック M20K ブロックはより大きなメモリアレイに最適であると同時に 独立した多数のポートを提供 注 : > Arria 10 デバイスでは Resource Property Editor と TimeQuest Timing Analyzer は 割り当て可能な位置が >M20K_ X<number>_Y<number>_N<number> であっても M20K ブロックの位置を >EC_X<number>_Y<number>_N<number> とレポートします Embedded Cell(EC) は M20K ブロックのサブ ロケーションです 640 ビットのメモリ ロジック アレイ ブロック (MLAB) 兼用ロジック アレイ ブロック (LAB) からコンフィギュレーションされるエンハンスト メモリ ブロック MLAB は幅が広く深さがないメモリ アレイのために理想的 MLAB はデジタル信号処理 (DSP) アプリケーション 幅が広く深さがない FIFO バッファ およびフィルタのディレイ ラインに使用するシフト レジスタの実装向けに最適化されている 各 MLAB は 10 個のアダプティブ ロジック モジュール (ALM) から構成される Arria 10 デバイスではこれらの ALM を 各 MLAB につき 1 つの 32 x 20 シンプル デュアル ポート SRAM ブロックを提供する 10 個の 32 x 2 ブロックとしてコンフィギュレーションすることが可能 関連情報エンベデッド セル (EC) エンベデッド セルに関する情報 容量 All rights reserved. ALTERA, ARRIA, CYCLONE, ENPIRION, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos are trademarks of and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as trademarks or service marks are the property of their respective holders as described at Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. ISO 9001: Innovation Drive, San Jose, CA 95134

2 2-2 デザイン ガイドライン 表 2-1: 容量と分配 A10-MEMORY タイプ Arria 10 GX Arria 10 GT Arria 10 SX 製品ライン ブロック数 M20K RAM ビット数 (Kb) ブロック数 MLAB RAM ビット数 (Kb) トータル RAM ビット数 (Kb) GX ,800 1,680 1,050 9,850 GX ,760 2,932 1,833 13,593 GX ,000 3,922 2,451 17,451 GX ,820 4,582 2,864 20,684 GX 480 1,438 28,760 7,046 4,404 33,164 GX 570 1,800 36,000 8,153 5,096 41,096 GX 660 2, ,260 5,788 48,448 GX 900 2,423 48,460 15,017 9,386 57,846 GX ,713 54,260 20,774 12,984 67,244 GT 900 2,423 48,460 15,017 9,386 57,846 GT ,713 54,260 20,774 12,984 67,244 SX ,800 1,680 1,050 9,850 SX ,760 2,932 1,833 13,593 SX ,000 3,922 2,451 17,451 SX ,820 4,582 2,864 20,684 SX 480 1,438 28,760 7,046 4,404 33,164 SX 570 1,800 36,000 8,153 5,096 41,096 SX 660 2, ,260 5,788 48,448 デザイン ガイドライン デザインを確実に作成するには いくつか考慮すべき事項があります 特に注記のない限り これらのデザイン ガイドラインはこのデバイス ファミリのすべてのバリアントに適用されます メモリ ブロックの選択 Quartus II ソフトウェアは デザインの速度とサイズの制約を考慮して ユーザー定義のメモリを自動的にメモリ ブロック内に分割します たとえば Quartus II ソフトウェアは デザインの性能を向上させるためにメモリを複数の使用可能なメモリ ブロックに分割します メモリを手動で特定のブロック サイズに割り当てるには MegaWizard Plug-In Manager で RAM メガファンクションを使用します

3 A10-MEMORY ガイドライン : 外部の競合解決を実装する 2-3 MLAB では Quartus II ソフトウェアのエミュレーションを介してシングル ポート SRAM を実装することができます エミュレーションによって 追加で使用するロジック リソースが最小限に抑えられます MLAB は兼用アーキテクチャであるため ブロック内ではデータ入力レジスタ 出力レジスタ および書き込みアドレス レジスタのみが使用可能です なお MLAB は ALM から読み取りアドレス レジスタを取得します ガイドライン : 外部の競合解決を実装する トゥルー デュアル ポート RAM モードでは 同じメモリ位置に 2 つの書き込み動作を実行することができます ただし メモリ ブロックは内部に競合解決回路を有しません アドレスに未知のデータが書き込まれることを防ぐには メモリ ブロックに外部の競合解決ロジックを実装します ガイドライン :Read-During-Write 動作をカスタマイズする デザイン要件に合うよう メモリ ブロックの Read-During-Write 動作をカスタマイズします 図 2-1: Read-During-Write データ フロー 次の図は 使用可能な 2 つのタイプ ( 同一ポートと混合ポート ) の Read-During-Write 動作における違いを表しています Port A data in FPGA Device Port B data in Port A data out Port B data out Mixed-port data flow Same-port data flow 同一ポートの Read-During-Write モード 同一ポートの Read-During-Write モードは シングル ポート RAM またはトゥルー デュアル ポート RAM の同一ポートに適用します 表 2-2: 同一ポート Read-During-Write モードでのエンベデッド メモリ ブロックの出力モード 次の表は 同一ポート Read-During-Write モードのエンベデッド メモリ ブロックを選択する場合に使用可能な出力モードをリストしています 出力モードメモリ タイプ説明 "new data" ( フロースルー ) M20K 新しいデータが書き込まれるのと同じクロック サイクルの立ち上がりエッジで 新しいデータが使用可能です "don't care" M20K MLAB RAM は Read-During-Write 動作で "don't care" の 値を出力します

4 2-4 混合ポートの Read-During-Write モード 図 2-2: 同一ポートの Read-During-Write:New Data モード A10-MEMORY 次の図は new data モードの同一ポート Read-During-Write 動作のサンプル機能波形を表しています clk_a address rden wren 0A 0B byteena data_a q_a (asynch) A123 B456 C789 DDDD EEEE FFFF 11 A123 B456 C789 DDDD EEEE FFFF 混合ポートの Read-During-Write モード 混合ポートの Read-During-Write モードは 2 つのポートが同じクロックを使用して 同じメモリ アドレスで読み取りおよび書き込み動作を実行する ( 一方のポートがアドレスから読み取り 他方のポートがアドレスに書き込む ) シンプルおよびトゥルー デュアル ポート RAM モードに適用します 表 2-3: 混合ポート Read-During-Write モードでの RAM の出力モード 出力モードメモリ タイプ説明 "new data" MLAB 異なるポートへの Read-During-Write 動作は MLAB のレジスタ済み出力を生じさせ データが MLAB メモリに書き込まれた後 次の立ち上がりエッジで new data を反映します このモードは 出力が登録済みの場合にのみ使用可能です "old data" M20K MLAB 異なるポートへの Read-During-Write 動作により RAM 出 力は特定のアドレスにある old data の値を反映します MLAB では このモードは出力が登録済みの場合にのみ使用可能です

5 A10-MEMORY 混合ポートの Read-During-Write モード 2-5 出力モードメモリ タイプ説明 "don't care" M20K MLAB RAM は don t care または unknown の値を出力します M20K メモリでは Quartus II ソフトウェアは書き込み動作と読み取り動作間のタイミングを分析しません MLAB では Quartus II ソフトウェアはデフォルトで書き込み動作と読み取り動作間のタイミングを分析します この動作をディセーブルするには Do not analyze the timing between write and read operation. Metastability issues are prevented by never writing and reading at the same address at the same time オプションをオンにします "constrained don't care" MLAB RAM は don t care または unknown の値を出力します Quartus II ソフトウェアは MLAB で書き込み動作と読み取り動作間のタイミングを分析します 図 2-3: 混合ポートの Read-During-Write:New Data モード 次の図は new data モードの混合ポート Read-During-Write 動作のサンプル機能波形を表しています clk_a&b wren_a address_a A0 A1 data_a byteena_a AAAA BBBB CCCC DDDD EEEE FFFF 11 rden_b address_b q_b (synch) XXXX A0 AAAA BBBB CCCC DDDD EEEE FFFF A1

6 2-6 混合ポートの Read-During-Write モード 図 2-4: 混合ポートの Read-During-Write:Old Data モード A10-MEMORY 次の図は old data モードの混合ポート Read-During-Write 動作のサンプル機能波形を表しています clk_a&b wren_a address_a A0 A1 data_a byteena_a AAAA BBBB CCCC DDDD EEEE FFFF 11 rden_b address_b A0 A1 q_b (asynch) A0 (old data) AAAA BBBB A1 (old data) DDDD EEEE 図 2-5: 混合ポートの Read-During-Write:Don t Care または Constrained Don t Care モード 次の図は don t care または constrained don t care モードの混合ポート Read-During-Write 動作のサンプル機能波形を表しています clk_a&b wren_a address_a A0 A1 data_a byteena_a AAAA BBBB CCCC DDDD EEEE FFFF rden_b address_b A0 A1 q_b (asynch) XXXX (unknown data) デュアル ポート RAM モードでは 入力レジスタが同じクロックを有する場合に混合ポートの Read-During-Write 動作がサポートされます 関連情報内部メモリ (RAM および ROM) のユーザー ガイド Read-During-Write 動作を制御する RAM メガファンクションについての詳細を提供します

7 A10-MEMORY ガイドライン : パワーアップ状態およびメモリの初期化 2-7 ガイドライン : パワーアップ状態およびメモリの初期化 初期パワーアップ値を評価するロジックをデザインしている場合 次の表にリストしているように 異なるタイプのメモリ ブロックのパワーアップ状態を考慮します 表 2-4: エンベデッド メモリ ブロックの初期パワーアップ値 メモリ タイプ出力レジスタパワーアップ値 MLAB M20K Used ゼロ ( クリア ) Bypassed メモリの内容を読み取る Used ゼロ ( クリア ) Bypassed ゼロ ( クリア ) デフォルトでは.mif を指定しない限り Quartus II ソフトウェアは Arria 10 デバイスの RAM セルを 0 に初期化します すべてのメモリ ブロックは.mif による初期化をサポートします デザインでメモリをインスタンス化する際 Quartus II ソフトウェアで.mif ファイルを生成し RAM メガファンクションでそれらの使用を指定することができます メモリが事前に初期化される ( たとえば.mif を使用して ) 場合でも 出力がクリアされた状態でパワーアップします 関連情報 内部メモリ (RAM および ROM) のユーザー ガイド >.mif ファイルについての詳細を提供します Quartus II ハンドブック >.mif ファイルについての詳細を提供します ガイドライン : クロッキングをコントロールして消費電力を削減する デザイン内の各メモリ ブロックの AC 消費電力を削減します Arria 10 メモリ ブロックのクロック イネーブルを使用して 各メモリ ブロックのクロッキングを制御します 読み取りイネーブル信号を使用して 必要なときにのみ読み取り動作が実行されるようにします Read-During-Write が不要なデザインの場合 書き込み動作中またはメモリ動作が実行されていない期間に読み取りイネーブル信号をディアサートすることによって消費電力を削減することができます Quartus II ソフトウェアを使用して未使用のメモリ ブロックを自動的に低消費電力モードにし スタティック消費電力を削減します

8 2-8 エンベデッド メモリ機能 A10-MEMORY エンベデッド メモリ機能 表 2-5: Arria 10 デバイスのメモリ機能次の表は エンベデッド メモリ ブロックでサポートされる機能を示しています 機能 M20K MLAB 最大動作周波数 730 MHz 700 MHz トータル RAM ビット数 ( パリティ ビットを含む ) 20, パリティ ビット サポートあり サポートあり バイト イネーブル サポートあり サポートあり パック モード サポートあり アドレス クロック イネーブル サポートあり サポートあり シンプル デュアル ポートの異なるデータ幅 トゥルー デュアル ポートの異なるデータ幅 サポートあり サポートあり FIFO バッファの異なるデータ幅サポートあり メモリ初期化ファイル (.mif) サポートありサポートあり 混合クロック モードサポートありサポートあり 完全同期メモリサポートありサポートあり 非同期メモリ フロースルー読み取りメモリ動 作向け パワーアップ ステート非同期クリア書き込み / 読み取り動作のトリガ同一ポートの Read-During-Write 出力ポートはクリアされます 出力レジスタと出力ラッチ 立ち上がりクロック エッジ 出力ポートは "new data" または "don't care" に設定されます 登録済みの出力ポート クリア 未登録の出力ポート メモリ内容の読み取り 出力レジスタと出力ラッチ 立ち上がりクロック エッジ 出力ポートは "don't care" に設定されます

9 A10-MEMORY エンベデッド メモリ コンフィギュレーション 2-9 機能 M20K MLAB 混合ポートの Read-During-Write 出力ポートは "old data" または "don't care" に設定されます 出力ポートは "old data" "new data" "don't care" または "constrained don't care" に設定されます ECC サポート Quartus II ソフトウェアを使用してソフト IP をサポートします Quartus II ソフトウェアを使用してソフト IP をサポートします x32 ビット幅シンプル デュアル ポート モードのビルトイン サポートを有します 関連情報内部メモリ (RAM および ROM) のユーザー ガイドエンベデッド メモリ機能についての詳細を提供します エンベデッド メモリ コンフィギュレーション 表 2-6: Arria 10 デバイス向けにサポートされるエンベデット メモリ ブロックのコンフィギュレーション 次の表は エンベデット メモリ ブロック向けにサポートされる最大のコンフィギュレーションをリストしています この情報はシングル ポート RAM および ROM モードのみに適用されます メモリ ブロック深度 ( ビット ) プログラム可能な幅 MLAB 32 x16 x18 または x20 64 (1) x8 x9 x x40 x32 M20K 1K x20 x16 2K x10 8 4K x5 x4 8K x2 16K x1 幅の異なるポートのコンフィギュレーション 幅の異なるポートのコンフィギュレーションは シンプル デュアル ポート RAM およびトゥルー デュアル ポート RAM のメモリ モードでサポートされます (1) ソフトウェア エミュレーションによって使用可能になり 追加の MLAB ブロックを消費します

10 2-10 M20K ブロックの幅の異なるコンフィギュレーション 注 : MLAB は幅の異なるポートのコンフィギュレーションをサポートしません A10-MEMORY 関連情報内部メモリ (RAM および ROM) のユーザー ガイドデュアル ポート混合幅のサポートについての詳細を提供します M20K ブロックの幅の異なるコンフィギュレーション 次の表は シンプル デュアル ポート RAM モードでの M20K ブロックの幅の異なるコンフィギュレーションをリストしています 表 2-7: M20K ブロックの幅の異なるコンフィギュレーション ( シンプル デュアル ポート RAM モード ) 読み取りポート 16K x 1 8K x 2 4K x 4 4K x 5 2K x 8 2K x 10 1K x 16 1K x x x 40 書き込みポート 16K x 1 8K x 2 4K x 4 4K x 5 2K x 8 2K x 10 1K x 16 1K x x x 40 あり あり あり あり あり あり あり あり あり あり あり あり あり あり あり あり あり あり あり あり あり あり あり あり あり あり あり あり あり あり あり あり あり あり あり あり あり あり あり あり あり あり あり あり あり あり あり あり あり あり あり あり 次の表は トゥルー デュアル ポート モードでの M20K ブロックの幅の異なるコンフィギュレーションをリストしています

11 A10-MEMORY エンベデッド メモリ モード 2-11 表 2-8: M20K ブロックの幅の異なるコンフィギュレーション ( トゥルー デュアル ポート モード ) ポート A 16K x 1 ポート B 16K x 1 8K x 2 4K x 4 4K x 5 2K x 8 2K x 10 1K x 16 1K x 20 ありありあり あり あり 8K x 2 ありありあり あり あり 4K x 4 ありありあり あり あり 4K x 5 あり あり あり 2K x 8 ありありあり あり あり 2K x 1 0 1K x 1 6 1K x 2 0 あり あり あり ありありあり あり あり あり あり あり エンベデッド メモリ モード 注意 : メモリ内容の破損を防ぐには 読み取りまたは書き込み動作中 セットアップに違反したり メモリ ブロック入力レジスタのホールド タイムに違反しないでください これはシングル ポート RAM シンプル デュアル ポート RAM トゥルー デュアル ポート RAM または ROM モードでメモリ ブロックを使用する場合に適用できます 表 2-9: エンベデッド メモリ ブロックでサポートされるメモリ モード 次の表では Arria 10 のエンベデッド メモリ ブロックでサポートされるメモリ モードをリストし 説明しています メモリ モード シングル ポート RAM M20K サポート MLAB サポート 説明 あり あり 読み取りまたは書き込み動作は 1 度にどちらか 1 回だけ 実行することができます 書き込み動作中は 読み取りイネーブル ポートを使用して RAM の出力ポートの動作を制御します 直近のアクティブ読み取りイネーブル中に保持していた以前の値を継続して保持する 読み取りイネーブル ポートを作成し 読み取りイネーブル ポートがディアサートされた状態で書き込み動作を実行します 同じアドレス位置で Read-During-Write が実行される際 新しく書き込まれているデータ アドレスにある古いデータ または "Don't Care" の値を表示する 読み取りイネーブル信号を作成しないか あるいは書き込み動作中に読み取りイネーブルを有効にします

12 2-12 エンベデッド メモリ モード A10-MEMORY メモリ モード シンプル デュアル ポート RAM トゥルー デュアル ポート RAM シフト レジスタ M20K サポート MLAB サポート 説明 あり あり 書き込み動作がポート A で実行され 読み取り動作がポート B で実行される別のロケーションに 読み取りおよび書き込み動作を 1 度ずつ 同時に実行することができます あり 2 つの異なるクロック周波数で 2 つのポート動作の任意の組み合わせ (2 つの読み取り 2 つの書き込み または 1 つの読み取りと 1 つの書き込み ) を実行することができます あり あり メモリ ブロックをシフト レジスタ ブロックとして使 用して ロジック セルと配線リソースを節約することが できます これは 有限インパルス応答 (FIR) フィルタ 擬似ランダム数発生器 マルチチャネル フィルタリング 自己相関関数および相互相関関数など ローカル データ ストレージを必要とする DSP アプリケーションで有用です 従来 ローカル データ ストレージは標準的なフリップ フロップで実装されていたため 大きなシフト レジスタのために多数のロジック セルを消費していました 入力データ幅 (w) タップの長さ (m) およびタップの数 (n) によってシフト レジスタの容量 (w m n) を決定します より大きなシフト レジスタを実装するためにメモリ ブロックをカスケード接続することができます ROM あり あり ROM としてメモリ ブロックを使用することができま す.mif または.hex を使用してメモリ ブロックの ROM の内容を初期化します ROM のアドレス ラインは M20K ブロックでレジスタされますが MLAB ではレジスタされない場合があります 出力はレジスタすることも しないことも可能です 出力レジスタは非同期クリア可能です ROM の読み取り動作は シングル ポート RAM コンフィギュレーションにおける読み取り動作と同じです

13 A10-MEMORY エンベデッド メモリのクロッキング モード 2-13 メモリ モード M20K サポート MLAB サポート 説明 FIFO あり あり FIFO バッファとしてメモリ ブロックを使用することができます SCFIFO および DCFIFO メガファンクションを使用して デザイン内にシングル クロック非同期 FIFO バッファおよびデュアル クロック非同期 FIFO バッファを実装します 小規模で浅い FIFO バッファを多数有するデザインでは MLAB は FIFO モードに最適です ただし MLAB は混合幅の FIFO モードをサポートしません 関連情報 内部メモリ (RAM および ROM) のユーザー ガイドメモリ モードについての詳細を提供します RAM ベース シフト レジスタ (ALTSHIFT_TAPS) メガファンクションのユーザー ガイドシフト レジスタ モードの実装についての詳細を提供します SCFIFO および DCFIFO メガファンクションのユーザー ガイド FIFO バッファの実装についての詳細を提供します エンベデッド メモリのクロッキング モード この項では Arria 10 メモリ ブロックのクロッキング モードについて説明します 注意 : メモリ内容の破損を防ぐには 読み取りまたは書き込み動作中 セットアップに違反したり メモリ ブロック入力レジスタのホールド タイムに違反しないでください 各メモリ モードのクロッキング モード 表 2-10: 各メモリ モードでサポートされるメモリ ブロックのクロッキング モード クロッキング モード シングル クロック モード 読み取り / 書き込みクロック モード 入力 / 出力クロック モード シングル ポート シンプル デュアル ポート メモリ モード トゥルー デュアル ポート ROM FIFO ありありありありあり あり あり ありありありあり 独立クロック モード ありあり

14 2-14 シングル クロック モード 注 : MLAB ブロックの書き込みアドレス バイト イネーブル およびデータ入力レジスタではクロック イネーブル信号はサポートされません シングル クロック モード シングル クロック モードでは シングル クロックはクロック イネーブルと併用してメモリ ブロックのすべてのレジスタを制御します 読み取り / 書き込みクロック モード 読み取り / 書き込みクロック モードでは 各読み取りポートと書き込みポートに個別のクロックが使用できます 読み取りクロックはデータ出力 読み取りアドレス および読み取りイネーブル レジスタを制御します 書き込みクロックはデータ入力 書き込みアドレス 書き込みイネーブル およびバイト イネーブル レジスタを制御します 入力 / 出力クロック モード 入力 / 出力クロックモードでは 各入力ポートと出力ポートに個別のクロックが使用できます 入力クロックは データ アドレス バイト イネーブル 読み取りイネーブル および書き込みイネーブルを含むメモリ ブロックへのデータ入力に関係するすべてのレジスタを制御します 出力クロックはデータ出力レジスタを制御します 独立クロック モード 独立クロック モードでは 各ポート (A および B) に個別のクロックが使用できます クロック A はポート A 側のすべてのレジスタを制御します クロック B はポート B 側のすべてのレジスタを制御します 注 : 消費電力を節約するために 異なる入力および出力レジスタに独立クロック イネーブルを作成し 特定のレジスタのシャット ダウンを制御することができます 使用する独立クロック イネーブルを設定するには パラメータ エディタで More Options( クロック イネーブル オプションの隣 ) をクリックします クロッキング モードにおける非同期クリア 非同期クリアは すべてのクロッキング モードで出力ラッチと出力レジスタにのみ使用できます なお 独立クロック モードでは 非同期クリアは両ポートに適用可能です 同時の読み取り / 書き込みにおける出力読み取りデータ 読み取り / 書き込みクロック モードを使用して同じアドレス位置に同時に読み取り / 書き込みを実行する場合 出力読み取りデータは未知の値となります 出力読み取りデータが既知の値として必要な場合は シングル クロック モードまたは入力 / 出力クロック モードを使用して MegaWizard Plug-In Manager で適切な Read-During-Write 動作を選択します クロッキング モードにおける独立クロック イネーブル 独立クロック イネーブルは 次のクロッキング モードでサポートされます A10-MEMORY 読み取り / 書き込みクロック モード 読み取りおよび書き込みクロックの両方でサポートされます 独立クロック モード 両ポートのレジスタでサポートされます

15 A10-MEMORY メモリ ブロックのパリティ ビット 2-15 消費電力を節約するには クロック イネーブルを使用して特定のレジスタのシャット ダウンを制御することができます 関連情報 2-7 ページのガイドライン : クロッキングをコントロールして消費電力を削減する メモリ ブロックのパリティ ビット 表 2-11: エンベデッド メモリ ブロックにおけるパリティ ビットのサポート 次の表は メモリ ブロックのパリティ ビットのサポートについて説明しています M20K MLAB パリティ ビットは データ幅 ( ビット および 39) の各 4 データ ビットに関連付けられた 5 番目のビットです 非パリティ データ幅では 読み取りまたは書き込み動作中 パリティ ビットはスキップされます パリティ機能はパリティ ビット上で実行されません パリティ ビットは 各バイトに関連付けられている 9 番目のビットです 9 番目のビットはパリティ ビットを格納したり 追加のビットとして機能させることができます パリティ機能はパリティ ビット上で実行されません エンベデッド メモリ ブロックにおけるバイト イネーブル エンベデッド メモリ ブロックはバイト イネーブル コントロールをサポートします バイト イネーブル コントロールは データの特定のバイトのみが書き込まれるよう入力データをマスクします 書き込まれないバイトは以前に書き込まれた値を保持します 書き込みイネーブル (wren) 信号は バイト イネーブル ( byteena) 信号と共に RAM ブロック上の書き込み動作を制御します デフォルトでは byteena 信号は High( イネーブル ) となっており 書き込み動作は wren 信号によってのみ制御されます バイト イネーブル レジスタは clear ポートを有しません パリティ ビットを使用している場合 M20K ブロックでは バイト イネーブル機能は 8 データ ビットと 2 パリティ ビットを制御します MLAB では バイト イネーブル機能は最も広いモードで 10 ビットすべてを制御します バイト イネーブルは 1 ホット形式で動作します byteena 信号の LSB はデータ バスの LSB に対応します バイト イネーブルはアクティブ High です メモリ ブロックにおけるバイト イネーブル コントロール 表 2-12: x20 データ幅の byteena コントロール byteena[1:0] 書き込まれるデータ ビット 11 ( デフォルト ) [19:10] [9:0]

16 2-16 データ バイト出力 A10-MEMORY byteena[1:0] 書き込まれるデータ ビット 10 [19:10] 01 [9:0] 表 2-13: x40 データ幅の byteena コントロール byteena[3:0] 書き込まれるデータ ビット 1111 ( デフォルト ) [39:30] [29:20] [19:10] [9:0] 1000 [39:30] 0100 [29:20] 0010 [19:10] 0001 [9:0] 注 : M20K ブロックで ECC 機能を使用する場合 バイト イネーブル機能を使用することはできません データ バイト出力 M20K ブロックまたは MLAB では 書き込みサイクルの間にバイト イネーブル ビットがディアサートされると 対応するデータ バイト出力が don't care 値あるいは現在のデータとしてその位置に現れます ユーザーは Quartus II ソフトウェアを使用して M20K ブロックまたは MLAB でマスクされたバイトの出力値を制御することができます

17 A10-MEMORY RAM ブロックの動作 2-17 RAM ブロックの動作 図 2-6: バイト イネーブル機能の波形 この図は wren 信号と byteena 信号が RAM ブロックの動作を制御する方法を図示しています inclock wren address an a0 a1 a2 a3 a4 a0 data XXXXXXXX ABCDEF12 XXXXXXXX byteena XXXX XXXX contents at a0 FFFFFFFF ABFFFFFF contents at a1 contents at a2 FFFFFFFF FFFFFFFF FFCDFFFF FFFFEFFF contents at a3 contents at a4 FFFFFFFF FFFFFFFF FFFFFF12 ABCDEF12 don t care: q (asynch) doutn ABXXXXXX XXCDXXXX XXXXEFXX XXXXXX12 ABCDEF12 ABFFFFFF current data: q (asynch) doutn ABFFFFFF FFCDFFFF FFFFEFFF FFFFFF12 ABCDEF12 ABFFFFFF メモリ ブロックのパック モード サポート M20K メモリ ブロックはパック モードをサポートします パック モード機能は 独立した 2 つのシングル ポート RAM ブロックを 1 つのメモリ ブロックにパックします Quartus II ソフトウェアは 物理 RAM ブロックをトゥルー デュアル ポート モードにし アドレスの MSB を使用して 2 つの論理 RAM ブロックを区別することによって 必要に応じてパック モードを自動的に実装します なお 独立した各シングル ポート RAM の容量は ターゲット ブロックの容量の半分以下でなければなりません メモリ ブロックのアドレス クロック イネーブル サポート エンベデッド メモリ ブロックはアドレス クロック イネーブルをサポートし 信号がイネーブルされている間 前のアドレス値を保持します (addressstall = 1) メモリ ブロックがデュアル ポート モードでコンフィギュレーションされると 各ポートは独自の独立したアドレス クロック イネーブルを有します アドレス クロック イネーブル信号のデフォルト値は Low( ディセーブル ) です

18 2-18 メモリ ブロックのアドレス クロック イネーブル サポート 図 2-7: アドレス クロック イネーブル A10-MEMORY この図は アドレス クロック イネーブルのブロック図を示しています アドレス クロック イネーブルは ポート名 addressstall で参照されます address[0] 1 0 address[0] register address[0] address[n] 1 0 address[n] register address[n] addressstall clock 図 2-8: 読み取りサイクル中のアドレス クロック イネーブルの波形 この図は 読み取りサイクル時のアドレス クロック イネーブルの波形を示しています inclock rdaddress rden a0 a1 a2 a3 a4 a5 a6 addressstall latched address (inside memory) q (synch) an a0 a1 a4 a5 doutn-1 doutn dout0 dout1 dout4 q (asynch) doutn dout0 dout1 dout4 dout5

19 A10-MEMORY メモリ ブロックの非同期クリア 2-19 図 2-9: 書き込みサイクル中のアドレス クロック イネーブルの波形 この図は 書き込みサイクル時のアドレス クロック イネーブルの波形を示しています inclock wraddress a0 a1 a2 a3 a4 a5 a6 data wren addressstall latched address (inside memory) contents at a0 contents at a1 contents at a2 contents at a3 contents at a4 contents at a5 an a0 a1 a4 a5 XX XX XX XX XX XX 05 メモリ ブロックの非同期クリア M20K メモリ ブロックは 出力ラッチおよび出力レジスタの非同期クリアをサポートします RAM が出力レジスタを使用していない場合でも 出力ラッチの非同期クリアを使用して RAM 出力をクリアします このクリアは非同期信号であり 任意の時点で生成されます クリア パルスは 内部ロジックによって出力クロックの次の立ち上がりエッジまで拡張されます クリアがアサートされると 出力がクリアされ 次の読み取りサイクルまでクリアされたままです

20 2-20 メモリ ブロックの誤り訂正コード サポート 図 2-10: Arria 10 デバイスにおける出力ラッチのクリア ( 非 ECC モード ) A10-MEMORY clk rden aclr clr at latch out D 0 D 1 D 2 図 2-11: Arria 10 デバイスにおける出力ラッチのクリア (ECC モード ) cken clk rden aclr clr at latch out D 0 D 0 D 1 D 2 メモリ ブロックの誤り訂正コード サポート ECC は メモリの出力時にデータのエラーを検出し 訂正することを可能にします ECC は 32 ビット ワードでシングル エラー訂正 ダブル隣接エラー訂正 およびトリプル隣接エラー検出を実行することができます ただし ECC は 4 つ以上のエラーを検出することはできません x32 ビット幅シンプル デュアル ポート モードのとき M20K ブロックは ECC のビルトイン サポートを有します

21 A10-MEMORY 誤り訂正コードの真理値表 2-21 ECC が有効のとき M20K は非 ECC シンプル デュアル ポート モードよりも低速で実行します ただし レイテンシの 1 サイクルを犠牲にして非パイプライン ECC モードより高いパフォーマンスを達成するために 出力デコーダ前にオプションの ECC パイプライン レジスタをイネーブルすることができます M20K の ECC ステータスは 2 つの ECC ステータス フラグ信号 すなわち e( エラー ) と ue ( 訂正不可能なエラー ) と通信されます ステータス フラグはメモリ ブロックからの通常の出力の一部です ECC が有効のとき ECC ステータス フラグは 2 つのパリティ ビットを置き換えるため これらのパリティ ビットにアクセスすることはできません 誤り訂正コードの真理値表 表 2-14: ECC ステータス フラグの真理値表 e( エラー ) eccstatus[1] ue( 訂正不可能なエラー ) eccstatus[0] ステータス 0 0 エラーなし 0 1 無効 1 0 訂正可能なエラーが発生し エラーは出 力で訂正されました ただし メモリ アレイは更新されていません 1 1 訂正不可能なエラーが発生し 出力に訂 正不可能なデータが表示されます ECC を有効にする場合 バイト イネーブル機能を使用することはできません Read-During-Write の古いデータ モードはサポートされません 図 2-12: M20K メモリの ECC のブロック図 Status Flag Generation Input Register 32 ECC Encoder 32 8 Memory Array 40 Optional 40 Pipeline Register 40 ECC Decoder 40 Output Register 改訂履歴

22 2-22 改訂履歴 A10-MEMORY 日付バージョン変更内容 2014 年 8 月 ECC モードの出力ラッチのクリアに新しいタイミング図を追加 Arria 10 デバイスでは Resource Property Editor と TimeQuest タイミング アナライザが M20K ブロックの位置を EC_X<number> _Y<number>_N<number> としてレポートすることを明確化するために注を追加 Arria 10 GX 660 および Arria 10 SX 660 の M20K ブロック内の RAM ビット値を更新 2013 年 12 月 初版

Cyclone III デバイス・ファミリの メモリ・ブロック

Cyclone III デバイス・ファミリの メモリ・ブロック この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください Cyclone III デバイス ファミリ (Cyclone III および Cyclone III LS デバイス ) は アルテラの Cyclone III デバイス ファミリのデザインのオンチップ メモリの要件に対応するエンベデッド

More information

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用 WP-01034-1.0/JP DLL (PVT compensation) 90 PLL PVT compensated FPGA fabric 90 Stratix III I/O block Read Dynamic OC T FPGA Write Memory Run Time Configurable Run Time Configurable Set at Compile dq0 dq1

More information

Microsoft Word - quick_start_guide_16 1_ja.docx

Microsoft Word - quick_start_guide_16 1_ja.docx Quartus Prime ソフトウェア ダウンロードおよびインストール クイック スタート ガイド 2016 Intel Corporation. All rights reserved. Intel, the Intel logo, Intel FPGA, Arria, Cyclone, Enpirion, MAX, Megacore, NIOS, Quartus and Stratix words

More information

1-2 MLAB 図 1-1: Arria 10 デバイスにおける LAB 構造およびインタコネクトの概要 この図は LAB インタコネクトを有する Arria 10 の LAB および MLAB 構造の概要を表しています C4 C27 異なる速度と長さのロウ インタコネクト R32 R3/R6 s

1-2 MLAB 図 1-1: Arria 10 デバイスにおける LAB 構造およびインタコネクトの概要 この図は LAB インタコネクトを有する Arria 10 の LAB および MLAB 構造の概要を表しています C4 C27 異なる速度と長さのロウ インタコネクト R32 R3/R6 s 1 署名 ロジック アレイ ブロック (LAB) は アダプティブ ロジック モジュール () として知られる基本のビルディング ブロックで構成されています ロジック ファンクション 演算ファンクション およびレジスタ ファンクションを実装するために LAB をコンフィギュレーションすることができます また Arria 10 デバイスで使用可能な LAB の 4 分の 1 をメモリ LAB(MLAB)

More information

Cyclone V デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール、Cyclone Vデバイス・ハンドブック、Volume 1、第1章

Cyclone V デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール、Cyclone Vデバイス・ハンドブック、Volume 1、第1章 June 2012 CV-52001-2.0 CV-52001-2.0 この章では Cyclone V コア ファブリック内のロジック アレイ ブロック (LAB) の機能を説明します LAB は ロジック ファンクション 演算ファンクション およびレジスタ ファンクションを実装するためにコンフィギュレーションできるアダプティブ ロジック モジュール () として知られる基本的なビルディング ブロックで構成されています

More information

ロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール

ロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール 1 AV-52001 署名 この章では ArriaV コア ファブリックのロジック アレイ ブロック (LAB) の機能について説明します LAB は ロジック ファンクション 演算ファンクション およびレジスタ ファンクションを実装するようにコンフィギュレーションできるアダプティブ ロジック モジュール () として知られる基本ビルディング ブロックで構成されています ArriaV デバイス内で使用可能な

More information

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ CPLD ISP ISP この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください AN-630-1.0 アプリケーション ノート このアプリケーションノートでは MAX II および MAX V デバイスにおけるリアルタイム ISP(In-System Programmability)

More information

内部メモリ(RAMおよびROM)ユーザーガイド

内部メモリ(RAMおよびROM)ユーザーガイド Internal Memory (RAM and ROM) User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Copyright 2011 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company, the stylized

More information

ウォッチドッグ・タイマ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM)

ウォッチドッグ・タイマ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM) 11? 2012? cv_54024-1.2 cv_54024-1.2 ウォッチドッグ タイマの主な機能は 無応答ステートから回復するシステムの方法を提供することです ハード プロセッサ システム (HPS) は レベル 4(L4) のペリフェラル バスに接続された 2 つのプログラム可能なウォッチドッグ タイマを提供しています ウォッチドッグ タイマは Synopsys DesignWare APB

More information

Stratix IVデバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール, Stratix IV Device Handbook, Volume 1, Ch 2

Stratix IVデバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール, Stratix IV Device Handbook, Volume 1, Ch 2 2010?9? 2010 SIV51002-3.1 SIV51002-3.1 この章では Stratix IV コア ファブリックのロジック アレイ ブロック (LAB) の機能について説明します LAB は ロジック ファンクション 演算ファンクション およびレジスタ ファンクションのコンフィギュレーションに使用可能な から構成されます ロジック アレイ ブロック (LAB) およびアダプティブ

More information

インテル® Arria® 10 コア・ファブリックおよび汎用 I/O ハンドブック

インテル®  Arria® 10 コア・ファブリックおよび汎用 I/O ハンドブック 更新情報 フィードバック 最新版をウェブからダウンロード : PDF HTML 目次 目次 1 Arria 10 デバイスにおけるロジック アレイ ブロックおよびアダプティブ ロジック モジュール... 7 1.1 LAB... 7 1.1.1 MLAB... 8 1.1.2 ローカル インターコネクトおよびダイレクト リンク インターコネクト...9 1.1.3 共有演算チェーン インターコネクトおよびキャリー

More information

AN 357: Error Detection & Recovery Using CRC in Altera FPGA Devices

AN 357: Error  Detection & Recovery Using CRC in Altera FPGA Devices 2008 年 7 月 ver. 1.4 アルテラ FPGA デバイスの CRC によるエラー検出およびリカバリ Application Note 357 概要 航空電子 テレコム システム コントロール および軍事用アプリケーションの分野で使用されるクリティカルな用途では 以下ができることが重要です FPGA デバイスに格納されたコンフィギュレーション データが正確であるかを確認する システムにコンフィギュレーション

More information

A-AN pdf

A-AN pdf JQFP BGA 1999 1 ver. 4 Application Note 71 J QFPFineLine BGA TM BGA JQFPBGA JQFP QFPBGA JQFP BGA JQFP BGA J QFP J QFP QFP QFP 125 QFP QFP QFPQFP Carrier & Development Socket Altera Corporation Page 1 A-AN-071-04/J

More information

オンチップ・メモリ クイック・ガイド for Cyclone III

オンチップ・メモリ クイック・ガイド for Cyclone III ver.9.1 2010 年 1 月 1. はじめに アルテラ社製 FPGA デバイスにおいてオンチップ メモリ (FPGA 内部で RAM や ROM などを構成 ) を実現するには Memory Compiler メガファンクションを使用します Memory Compiler メガファンクションは Cyclone シリーズ, Arria シリーズ, Stratix シリーズ, HardCopy

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

インテル® Stratix®10 デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール・ユーザーガイド

インテル®  Stratix®10 デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール・ユーザーガイド 更新情報 フィードバック 最新版をウェブからダウンロード : PDF HTML 目次 目次 1 インテル Stratix デバイスの LAB および の概要... 3 2 HyperFlex レジスター... 4...5 3.1 LAB... 5 3.1.1 MLAB... 6 3.1.2 ローカル インターコネクトおよびダイレクトリンク インターコネクト...6 3.1.3 キャリーチェーンのインターコネクト...

More information

AN 611:3G-SDI レベルB とデュアル・リンクHD-SDI(SMPTE372)リファレンス・デザインのマッピング

AN 611:3G-SDI レベルB とデュアル・リンクHD-SDI(SMPTE372)リファレンス・デザインのマッピング AN-611-1.0 この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください このリファレンス デザインは Altera SDI MegaCore ファンクションおよびオーディオ ビデオ開発キット Stratix IV GX エディションを使用して 3 ギガビット / 秒のシリアル

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

ヤマハDante機器と他社AES67機器の接続ガイド

ヤマハDante機器と他社AES67機器の接続ガイド はじめに AES67 は 高性能なデジタル IP ネットワークの相互接続を実現するための標準規格です AES67 は や Ravenna Q-LAN Livewire WheatNet などの異なるネットワーク規格で構築されたシステム間で オーディオ信号を送受信する手段を提供します ヤマハも 機器のアップデートにより順次 AES67 への対応を開始し 第一弾としてデジタルミキシングコンソール CL/QL

More information

CANコントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM)

CANコントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM) November 2012 cv_54025-1.2 cv_54025-1.2 ハードウェア プロセッサ システム (HPS) は Cortex -A9 マイクロプロセッサ ユニット (MPU) サブシステム ホスト プロセッサ および CAN プロトコルで使用するダイレクト メモリ アクセス (DMA) コントローラでのシリアル通信用に 2 つのコントローラ エリア ネットワーク (CAN) コントローラを提供しています

More information

8B10Bエンコーダ/デコーダMegaCoreファンクション・ユーザガイド

8B10Bエンコーダ/デコーダMegaCoreファンクション・ユーザガイド 8B10B / MegaCore 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com MegaCore : 7.1 : 2007 5 Copyright 2007 Altera Corporation. All rights reserved. Altera, The Programmable Solutions

More information

デュアルDIMM DDR2およびDDR3 SDRAMのボード・デザイン・ガイドライン、外部メモリ・インタフェース・ハンドブック、Volume 2、第5章

デュアルDIMM DDR2およびDDR3 SDRAMのボード・デザイン・ガイドライン、外部メモリ・インタフェース・ハンドブック、Volume 2、第5章 5? 2012? EMI_DG_005-2.0 EMI_DG_005-2.0 この章では デュアル バッファなし DIMM (UDIMM) DDR2 および DDR3 SDRAM インタフェースの実装のガイドラインについて説明します この章では デュアル DIMM 構成を次の条件で使用して データ信号のシグナル インテグリティに対する影響を説明します 1 スロット実装対 2 スロット実装 DIMM

More information

3-2 Arria 10 デバイスでサポートされる動作モード A10-DSP Arria 10 デバイスでサポートされる動作モード 表 3-1: Arria 10 デバイスにおける可変精度 DSP ブロックの動作モード 可変精度 DSP ブロックのリソース 1 つの可変精度 DSP

3-2 Arria 10 デバイスでサポートされる動作モード A10-DSP Arria 10 デバイスでサポートされる動作モード 表 3-1: Arria 10 デバイスにおける可変精度 DSP ブロックの動作モード 可変精度 DSP ブロックのリソース 1 つの可変精度 DSP 3 2014.08. A10-DSP 署名 この章では 高性能デジタル信号処理 (DSP) アプリケーションでより高いビット精度をサポートするにあたって Arria 10 デバイスの可変精度 DSP ブロックがどのように最適化されるかについて説明します 2014. All rights reserved. ALTERA, ARRIA, CYCLONE, ENPIRION, MAX, MEGACORE,

More information

RAM-ベース・シフト・レジスタ (ALTSHIFT_TAPS) メガファンクションのユーザーガイド

RAM-ベース・シフト・レジスタ (ALTSHIFT_TAPS) メガファンクションのユーザーガイド RAM?????????????ALTSHIFT_TAPS????????????????? 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-01009-2.1 Subscribe 2010 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, HARDCOPY,

More information

AN 477: Designing RGMII Interface with HardCopy

AN 477: Designing RGMII Interface with HardCopy FPGA および HardCopy デバイスとの RGMII インタフェースの設計 ver. 1.0 Application Note 477 はじめに RGMII(Reduced Gigabit Media Independent Interface) は IEEE 802.3z GMII に代わるもので ピン数の削減が図られています ピン数の削減は クロックの立ち上がりと立ち下がりの両エッジでデータをやりとりし

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

FPGAメモリおよび定数のインシステム・アップデート

FPGAメモリおよび定数のインシステム・アップデート QII53012-7.2.0 15. FPGA FPGA Quartus II Joint Test Action Group JTAG FPGA FPGA FPGA Quartus II In-System Memory Content Editor FPGA 15 2 15 3 15 3 15 4 In-System Memory Content Editor Quartus II In-System

More information

MAX 10 高速LVDS I/Oユーザー・ガイド

MAX 10 高速LVDS I/Oユーザー・ガイド MAX 10 高速 LVDS I/O ユーザー ガイド 更新情報 UG-M10LVDS 101 Innovation Drive San Jose, CA 95134 www.altera.com 目次 -2 目次 MAX 10 高速 LVDS I/O の概要... 1-1 アルテラ ソフト LVDS 実装の概要...1-2 MAX 10 高速 LVDS のアーキテクチャと機能... 2-1 MAX

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介安全機能 ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ 安全機能の概要 フラッシュ メモリ CRC 演算機能 RAM パリティ エラー検出機能 データの保護機能 RAM ガード機能 SFR ガード機能 不正メモリ アクセス機能 周辺機能を使用した安全機能 周波数検出機能 A/D

More information

Xilinx XAPP645 Virtex-II Pro デバイス アプリケーション ノート『シングル エラー訂正およびダブル エラー 検出』

Xilinx XAPP645 Virtex-II Pro デバイス アプリケーション ノート『シングル エラー訂正およびダブル エラー 検出』 アプリケーションノート : Virtex-II Pro および Virtex-4 ファミリ R XAPP5 (v2.1) 2005 年 7 月 20 日 著者 : Simon Tam 概要 このアプリケーションノートでは Virtex -II Virtex-II Pro または Virtex-4 デバイスにおける Error Correction Control (ECC) モジュールのインプリメンテーションについて説明します

More information

2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk

2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk 2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk Autodesk Vault 2014 新機能 操作性向上 Inventor ファイルを Vault にチェックインすることなくステータス変更を実行できるようになりました 履歴テーブルの版管理を柔軟に設定できるようになりました

More information

「電子政府推奨暗号の実装」評価報告書

「電子政府推奨暗号の実装」評価報告書 2011 情財第 399 号 情報セキュリティ対策基盤整備事業 電子政府推奨暗号の実装 評価報告書 平成 24 年 12 月 [ 改訂履歴 ] 日付改訂内容 2012 年 12 月 11 日評価報告書初版発行 2012 年 12 月 21 日 2. 評価結果 内のデータを修正 ( 表 1-1 表 1-2 表 2-1 表 2-2 表 3-1 表 3-2 表 4-1 表 4-2 表 5-1 表 5-2

More information

Microsoft Word - HowToSetupVault_mod.doc

Microsoft Word - HowToSetupVault_mod.doc Autodesk Vault 環境設定ガイド Autodesk Vault をインストール後 必要最小限の環境設定方法を説明します ここで 紹介しているのは一般的な環境での設定です すべての環境に当てはまるものではありません 1 条件 Autodesk Data Management Server がインストール済み Autodesk Vault Explorer がクライアント PC にインストール済み

More information

NOTICE The information contained in this document is believed to be accurate in all respects but is not warranted by Mitel Networks Corporation (MITEL

NOTICE The information contained in this document is believed to be accurate in all respects but is not warranted by Mitel Networks Corporation (MITEL MiVoice 6725ip Microsoft Lync Phone 41-001367-06 REV02 クイックスタートガイド NOTICE The information contained in this document is believed to be accurate in all respects but is not warranted by Mitel Networks Corporation

More information

MAX 10高速LVDS I/Oユーザーガイド

MAX 10高速LVDS I/Oユーザーガイド MAX 10 高速 LVDS I/O ユーザーガイド 更新情報 UG-M10LVDS 101 Innovation Drive San Jose, CA 95134 www.altera.com 目次 -2 目次 MAX 10 高速 LVDS I/O の概要... 1-1 アルテラのソフト LVDS 実装の概要...1-2 MAX 10 高速 LVDS のアーキテクチャと機能... 2-1 MAX

More information

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法 ver. 8.1 2009 年 3 月 1. はじめに Nios II 開発ボードに実装されているメモリ用のコンポーネントは SOPC Builder の中にあらかじめ用意されています しかし 実際に基板を作成した場合には Nios II 開発ボードに実装されているメモリと同じ仕様の製品でない限り SOPC Builder であらかじめ用意されたメモリ用のコンポーネントを使用することはできません この場合

More information

Notes and Points for TMPR454 Flash memory

Notes and Points for TMPR454 Flash memory 表紙 TMPR454 内蔵 Flash メモリ対応版手順書 株式会社 DTS インサイト ご注意 (1) 本書の内容の一部または 全部を無断転載することは禁止されています (2) 本書の内容については 改良のため予告なしに変更することがあります (3) 本書の内容について ご不明な点やお気付きの点がありましたら ご連絡ください (4) 本製品を運用した結果の影響については (3) 項にかかわらず責任を負いかねますのでご了承ください

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 SAU シリアル アレイ ユニット ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ SAU の概要 UART 通信機能のプログラム サンプル紹介 2 SAU の概要 3 SAU の機能 クロック同期式調歩同期式マスタ動作のみ チャネル 0: 送信チャネル 1: 受信 4 UART

More information

1. ボードの複数台制御 コンフィグ ROM から FPGA が起動できる場合を想定しています FPGA 回路には 特定のレジスタアドレスにプリセットしたデータが必要です 製品出荷時のサンプル FPGA 回路では レジスタ No.3 を 8bit 幅に設定し FPGA 外部の 4bit ディップスイ

1. ボードの複数台制御 コンフィグ ROM から FPGA が起動できる場合を想定しています FPGA 回路には 特定のレジスタアドレスにプリセットしたデータが必要です 製品出荷時のサンプル FPGA 回路では レジスタ No.3 を 8bit 幅に設定し FPGA 外部の 4bit ディップスイ LabVIEW 用リファレンス制御アプリケーション RefAppLV の使い方 概要 LabVIEW 開発ツールで設計したリファレンス制御アプリケーションです LabVIEW を所有していないユー ザ環境でも インストーラを利用して RefAppLV.exe を利用することができます 機能 1. 複数台ボード制御 2. USB コンフィグ機能 3. レジスタアクセス機能 4. 拡張レジスタアクセス機能

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション SATA Host/Device IP Core HDD や SSD などのストレージを使用した システム開発に最適な FPGA 向けIntelliProp 社製 SATA IP Core IntelliProp 社製 SATA Host / Device IP Coreは SATA Revision 3.0 Specificationに準拠しており 1.5Gbps 3.0Gbps 6.0Gbpsに対応しています

More information

I2Cコントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM)

I2Cコントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM) 11? 2012? cv_54020-1.2 cv_54020-1.2 I 2 C コントローラは ボード上の集積回路との間の通信リンクを提供しています 温度センサ および電圧レベル変換などのようなアプリケーションから EEPROM A/D と D/A コンバータ コーデック および多くのマイクロプロセッサの種類に使用され シリアル データ ライン (SDA) とシリアル クロック (SCL) のシンプルな

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 ADC A/D コンバータ ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ ADC の概要 ソフトウエア トリガ セレクト モード 連続変換モードのプログラム サンプル紹介 2 ADC の概要 3 ADC のブロック図 パワー オフが可能 入力 選択 記憶 比較 基準電圧 変換結果

More information

Arria 10におけるプロトコル経由のCvP初期化およびパーシャル・リコンフィギュレーション ユーザーガイド

Arria 10におけるプロトコル経由のCvP初期化およびパーシャル・リコンフィギュレーション ユーザーガイド Arria 10 におけるプロトコル経由の CvP 初期化およびパーシャル リコンフィギュレーションユーザーガイド 更新情報 UG-20010 101 Innovation Drive San Jose, CA 95134 www.altera.com 目次 -2 目次 Arria 10 における CvP の初期化...1-1 CvP システム... 1-1 CvP を使用するメリット... 1-2

More information

Windows Phone 用 Cisco AnyConnect セキュアモビリティクライ アントユーザガイド(リリース 4.1.x)

Windows Phone 用 Cisco AnyConnect セキュアモビリティクライ アントユーザガイド(リリース 4.1.x) Windows Phone 用 Cisco AnyConnect セキュアモビリティクライアントユーザガイド ( リリース 4.1.x) AnyConnect ユーザガイド 2 AnyConnect の概要 2 Windows Phone サポート対象デバイス 2 Windows Phone 上の AnyConnect のインストールまたはアップグレード 3 Windows Phone デバイス上の

More information

USB-Blasterダウンロード・ケーブル・ユーザガイド

USB-Blasterダウンロード・ケーブル・ユーザガイド USB-Blaster 101 Innovation Drive San Jose, CA 95134 www.altera.com 2.3 2007 5 UG-USB81204-2.3 P25-10325-03 Copyright 2007 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company,

More information

Quartus II クイック・スタートガイド

Quartus II クイック・スタートガイド ALTIMA Corp. Quartus II クイック スタートガイド ver.3.0 2010 年 8 月 ELSENA,Inc. 目次 1. はじめに... 3 2. Quartus II の基本操作フロー... 3 3. Quartus II の基本操作... 4 ステップ 1. プロジェクトの作成... 4 ステップ 2. デザインの作成... 4 ステップ 3. ファンクション シミュレーション...

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? レジスタ アクセスの拡張機能 1. レジスタ アクセスの概要 Smart-USB Plus 製品で利用できるレジスタ アクセスとは FPGA 内にハードウエア レジスタを実装し ホスト PC の制御ソフトウエアから USB 経由でそれらのレジスタに値を設定したり レジスタの設定値を読み出すことができる機能です このレジスタ アクセス制御には USB バス仕様に基づく コントロール転送 を利用しています

More information

ライセンス管理

ライセンス管理 Cisco Smart Software Licensing を使用すると ライセンスのプールを一元的に購入および管理で きます 各ユニットのライセンス キーを管理する必要なく デバイスを簡単に導入または削除 できます また Smart Software Licensing では ライセンスの利用状態やニーズを一目で確認で きます Smart Software Licensing について, 1 ページ

More information

MAX 10の汎用I/Oのユーザーガイド

MAX 10の汎用I/Oのユーザーガイド MAX 10 の汎用 I/O のユーザーガイド 更新情報 Quartus Prime Design Suite のための更新 16.0 101 Innovation Drive San Jose, CA 95134 www.altera.com 目次 -2 目次 MAX 10 I/O の概要...1-1 パッケージ別 MAX 10 デバイスの I/O リソース... 1-2 MAX 10 I/O バーティカル

More information

PowerPoint Presentation

PowerPoint Presentation 第 18 回 Autodesk Moldflow ライブヘルプ What s New Autodesk Moldflow 2018 オートデスク株式会社 プロダクトサポート Autodesk Moldflow ライブヘルプ Web 会議システムによる 気軽に参加いただく 1 時間のサポートセッション 目的 多くのユーザ様を直接ヘルプできる 直接フィードバックを頂くことができる (Q&A セッション

More information

JABRA BT

JABRA BT USER MANUAL ....................................................... 2 JABRA BT3030..................................... 2............................................ 3...........................................................

More information

AWS Client VPN - ユーザーガイド

AWS Client VPN - ユーザーガイド AWS Client VPN ユーザーガイド AWS Client VPN: ユーザーガイド Copyright 2019 Amazon Web Services, Inc. and/or its affiliates. All rights reserved. Amazon's trademarks and trade dress may not be used in connection with

More information

PowerPoint Presentation

PowerPoint Presentation VME Embedded System ユーザーズマニュアル ~ Slim VME Embedded ~ Tecstar Page: 1 Agenda 1. VME Embedded System 概要 2. VME Embedded の特徴 3. Embedded Overview 4. VMEファイルとHEXファイルについて 5. Slim VME について 6. Deployment Toolの起動方法について

More information

Microsoft PowerPoint LC_15.ppt

Microsoft PowerPoint LC_15.ppt ( 第 15 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 言語を使った設計 (2) 2.1 HDL 設計入門 2.2 FPGA ボードの設計デモ配布資料 VHDL の言語構造と基本文法 2.1 HDL 設計入門 EDAツール : メンター社製品が有名 FPGAベンダーのSW 1 1 仕様設計 にも簡易機能あり 2 3 2 HDLコード記述 3 論理シミュレーション 4 4 論理合成

More information

NANDフラッシュ・コントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM)

NANDフラッシュ・コントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM) November 2012 cv_54010-1.2 cv_54010-1.2 ハード プロセッサ システム (HPS) は Altera system-on-a-chip(soc)fpga システムで外部 NAND フラッシュ メモリと接続する NAND フラッシュ コントローラを提供しています 大容量のアプリケーション用またはユーザー データ用の外部ストレージ容量として ソフトウェアのプロセッサ

More information

Oracle Un お問合せ : Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよ

Oracle Un お問合せ : Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよ Oracle Un お問合せ : 0120- Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよびSOA 対応データ サービスへ ) を網羅する総合的なデータ統合プラットフォームです Oracle

More information

Microsoft Word - SUA007

Microsoft Word - SUA007 アルテラ社ツール Qsys を利用した Smart-USB Plus 製品用リファレンス回路 SRAM-FIFO モジュール 1. SRAM-FIFO モジュールとは? Smart-USB Plus 製品に搭載する高速同期 SRAM を FIFO 化するモジュールです アルテラ社 AVALON バス仕様に準拠しています 既に提供している GPIF-AVALON ブリッジ (SUA006 アプリケーションノート参照

More information

ModelSim-Altera - RTL シミュレーションの方法

ModelSim-Altera - RTL シミュレーションの方法 ALTIMA Corp. ModelSim-Altera RTL シミュレーションの方法 ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. 目次 1. 2. 3. はじめに...3 RTL シミュレーションの手順...4 RTL シミュレーションの実施...5 3-1. 3-2. 新規プロジェクトの作成... 5 ファイルの作成と登録... 7 3-2-1. 新規ファイルの作成...

More information

本書は INpMac v2.20(intime 5.2 INplc 3 Windows7/8/8.1に対応 ) の内容を元に記載しています Microsoft Windows Visual Studio は 米国 Microsoft Corporation の米国及びその他の国における登録商標です

本書は INpMac v2.20(intime 5.2 INplc 3 Windows7/8/8.1に対応 ) の内容を元に記載しています Microsoft Windows Visual Studio は 米国 Microsoft Corporation の米国及びその他の国における登録商標です ACTIVE TOUCH 拡張部品取扱説明書 - 共有メモリアクセスコンポーネント - 1. はじめに 1 (1) 概要... 1 (2) INpMac のインストール... 1 2. Windows アプリケーションとの連携 2 (1) コントロール ( 部品 ) の登録... 2 (2) データの関連付け... 3 3. INtime アプリケーションとの連携 4 (1) 部品 ( コンポーネント

More information

0630-j.ppt

0630-j.ppt 5 part II 2008630 6/30/2008 1 SR (latch) 1(2 22, ( SR S SR 1 SR SR,0, 6/30/2008 2 1 T 6/30/2008 3 (a)(x,y) (1,1) (0,0) X Y XOR S (S,R)(0,1) (0,0) (0,1) (b) AND (a) R YX XOR AND (S,R)(1,1) (c) (b) (c) 6/30/2008

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

Software Tag Implementation in Adobe Products

Software Tag Implementation in Adobe Products 2011 Adobe Systems Incorporated. All rights reserved. Software Tagging in Adobe Products Tech Note Adobe, the Adobe logo, and Creative Suite are either registered trademarks or trademarks of Adobe Systems

More information

ベース0516.indd

ベース0516.indd QlikView QlikView 2012 2 qlikview.com Business Discovery QlikTech QlikView QlikView QlikView QlikView 1 QlikView Server QlikTech QlikView Scaling Up vs. Scaling Out in a QlikView Environment 2 QlikView

More information

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+)

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+) RL78 開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行 ( 統合開発環境編 ) (High-performance Embedded Workshop CS+) 2017/4/7 R20UT2087JJ0103 ソフトウェア事業部ソフトウエア技術部ルネサスシステムデザイン株式会社 はじめに 本資料は 統合開発環境 High-performance Embedded Workshop

More information

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装 LIM Corp. Nios II - PIO を使用した I 2 C-Bus (2 ワイヤ ) マスタの実装 ver.1.0 2010 年 6 月 ELSEN,Inc. 目次 1. はじめに... 3 2. 適用条件... 3 3. システムの構成... 3 3-1. SOPC Builder の設定... 3 3-2. PIO の設定... 4 3-2-1. シリアル クロック ライン用 PIO

More information

スライド 1

スライド 1 RX62N 周辺機能紹介データフラッシュ データ格納用フラッシュメモリ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ データフラッシュの概要 プログラムサンプル 消去方法 書き込み方法 読み出し方法 FCUのリセット プログラムサンプルのカスタマイズ 2 データフラッシュの概要 3 データフラッシュとは フラッシュメモリ

More information

SonicWALL SSL-VPN 4000 導入ガイド

SonicWALL SSL-VPN 4000 導入ガイド COMPREHENSIVE INTERNET SECURITY SonicWALL セキュリティ装置 SonicWALL SSL-VPN 4000 導入ガイド 1 2 3 4 5 6 7 8 9-1 2 - 3 1 4 - 5 2 1. 2. 3 6 3 1. 2. 3. 4. 5. - 7 4 4 8 1. 2. 3. 4. 1. 2. 3. 4. 5. - 9 6. 7. 1. 2. 3. 1.

More information

Virtex-6 Clocking

Virtex-6 Clocking Spartan-6 クロックリソース Proprietary to PALTEK CORPORATION 1 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 2 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 3 高速なクロッキング 新型アプリケーションには複雑なクロック要件が必要 : 高速クロック信号

More information

テクニカルホワイトペーパー HP Sure Run HP PC のハードウェア強制されたアプリケーション永続性 HP Sure Run は ハードウェア強制アプリケーション永続化ソリューションで OS の実行中にポリシー適用ハードウェアとの通信を維持する機能を備えています OS 内の HP Sure

テクニカルホワイトペーパー HP Sure Run HP PC のハードウェア強制されたアプリケーション永続性 HP Sure Run は ハードウェア強制アプリケーション永続化ソリューションで OS の実行中にポリシー適用ハードウェアとの通信を維持する機能を備えています OS 内の HP Sure テクニカルホワイトペーパー HP PC のハードウェア強制されたアプリケーション永続性 は ハードウェア強制アプリケーション永続化ソリューションで OS の実行中にポリシー適用ハードウェアとの通信を維持する機能を備えています OS 内の エージェントが攻撃または削除された場合でも 重要なサービスおよびアプリケーションの存在を継続的に監視します はハードウェアレベル (OS より下 ) で HP エンドポイントセキュリティコントローラーと相互作用し

More information

Microsoft Word - 実験4_FPGA実験2_2015

Microsoft Word - 実験4_FPGA実験2_2015 FPGA の実験 Ⅱ 1. 目的 (1)FPGA を用いて組合せ回路や順序回路を設計する方法を理解する (2) スイッチや表示器の動作を理解し 入出力信号を正しく扱う 2. スケジュール項目 FPGAの実験 Ⅱ( その1) FPGAの実験 Ⅱ( その2) FPGAの実験 Ⅱ( その3) FPGAの実験 Ⅱ( その4) FPGAの実験 Ⅱ( その5) FPGAの実験 Ⅱ( その6) FPGAの実験 Ⅱ(

More information

ハード・プロセッサ・システム (HPS)のテクニカル・リファランス・マニュアル (TRM) 、第12章:クワッドSPIフラッシュ・コントローラ

ハード・プロセッサ・システム (HPS)のテクニカル・リファランス・マニュアル (TRM) 、第12章:クワッドSPIフラッシュ・コントローラ November 2012 cv_54012-1.2 cv_54012-1.2 ハード プロセッサ システム (HPS) は シリアル NOR フラッシュデ バイスにアクセスするためにクワッドのシリアル ペリフェラル インタフェース (SPI) フラッシュ メモリ コントローラを提供しています クワッド SPI フラッシュ コントローラが同様に高性能デュアルおよびクワッド SPI フラッシュ デバイスの標準的な

More information

Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M

Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル 413180100 19.4 システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M21/M22/M23/M24/M25 テクニカルマニュアル 413556900 21.4 システムリセットコントローラ

More information

F コマンド

F コマンド この章では コマンド名が F で始まる Cisco NX-OS システム管理コマンドについて説明します flow exporter Flexible NetFlow フローエクスポータを作成するか既存の Flexible NetFlow フローエクスポータを変更して Flexible NetFlow フローエクスポータコンフィギュレーションモードに入るには グローバルコンフィギュレーションモードで

More information

MIB サポートの設定

MIB サポートの設定 CHAPTER 2 この章では Cisco 10000 シリーズに SNMP および MIB のサポートを設定する手順について説明します 具体的な内容は次のとおりです Cisco IOS リリースに対応する MIB サポートの判別 (p.2-1) MIB のダウンロードおよびコンパイル (p.2-2) シスコの SNMP サポート (p.2-4) Cisco IOS リリースに対応する MIB サポートの判別

More information

Quartus II はじめてガイド - よく使用するロジック・オプション設定方法 (個別設定)

Quartus II はじめてガイド - よく使用するロジック・オプション設定方法 (個別設定) ALTIMA Corp. Quartus II はじめてガイドよく使用するロジック オプション設定方法 ( 個別設定方法 ) rev.1 ver.10 2011 年 4 月 ELSENA,Inc. Quartus II はじめてガイド よく使用するロジック オプション設定方法 ( 個別設定方法 ) rev.1 目次 1. はじめに... 3 2. 出力電流値の設定 ...4

More information

特定機能を使用するための追加要件 : インターネットアクセス 解像度によっては ビデオ再生に追加メモリと高度なグラフィックスハードウェアが必要です BitLocker にはトラステッドプラットフォームモジュール (TPM) 1.2 が必要です BitLocker To Go には USB フラッシュ

特定機能を使用するための追加要件 : インターネットアクセス 解像度によっては ビデオ再生に追加メモリと高度なグラフィックスハードウェアが必要です BitLocker にはトラステッドプラットフォームモジュール (TPM) 1.2 が必要です BitLocker To Go には USB フラッシュ クイックスタートガイド はじめに この クイックスタートガイド では Windows Thin PC のインストールに関する基礎知識に加え いくつかの新機能について説明します このガイドを終了すると Windows Thin PC のフルインストールが完了しています その後 管理および監視ソリューションと共に Thin PC ソリューションをインストールして すべてが Windows 7 Professional

More information

Microsoft Word - dg_sataahciip_refdesign_jp.doc

Microsoft Word - dg_sataahciip_refdesign_jp.doc SATA AHCI-IP コア リファレンス デザイン説明書 Rev1.3J 2017/03/22 本ドキュメントは AHCI-IP コア実機デモ システムのリファレンス デザインを説明したものです SATA-IP コアの上位に AHCI-IP コアを実装することで アプリケーション レイヤのドライバを介して Linux 等の OS から接続 SATA デバイスを直接ドライブとして認識でき ファイル

More information

Microsoft PowerPoint - LogicCircuits09note.ppt [互換モード]

Microsoft PowerPoint - LogicCircuits09note.ppt [互換モード] 組み合わせ回路と順序回路 論理回路 第 9 回フリップフロップ http://www.info.kindai.ac.jp/lc 38 号館 4 階 N-4 内線 5459 takasi-i@info.kindai.ac.jp 組み合わせ回路 ある時刻の信号が 現在の信号だけで決まる回路 順序回路 ある時刻の信号が 現在の信号だけでなく 過去の信号の影響も受ける回路 ( 回路内にバッファ メモリがある

More information

ソフトウェアの説明

ソフトウェアの説明 CHAPTER 2 この章では Cisco Edge Craft とその機能の概要について説明します 2.1 概要 Cisco Edge Craft は ネットワーク要素を 1 つずつ運用状態にする場合に使用します Cisco Edge Craft でできるのは ネットワーク要素に保存されている情報の表示と その情報に関する操作だけです Cisco Edge Craft のグラフィカルユーザインターフェイス

More information

Nios II マイコン活用ガイド マイコンの動作を確認しましょう AuCE C3 には 基本 CPU エンジン CPU0121C3880 と 対応する基本プログラムを書き込んで出荷しております 以下に AuCE C3 出荷時の状態を示します AuCE C3 FPGA Cyclone III 基本

Nios II マイコン活用ガイド マイコンの動作を確認しましょう AuCE C3 には 基本 CPU エンジン CPU0121C3880 と 対応する基本プログラムを書き込んで出荷しております 以下に AuCE C3 出荷時の状態を示します AuCE C3 FPGA Cyclone III 基本 Nios II マイコン活用ガイド CHAPTER No:020Adv 対象品 : 目次 マイコンの動作を確認しましょう 2 ステップ 1 動作確認環境を準備する 6 ステップ 2 基本プログラムを起動する 9 ステップ 3 コマンドを入力する 11 1 Nios II マイコン活用ガイド マイコンの動作を確認しましょう AuCE C3 には 基本 CPU エンジン CPU0121C3880 と 対応する基本プログラムを書き込んで出荷しております

More information

プログラマブル論理デバイス

プログラマブル論理デバイス 第 8 章プログラマブル論理デバイス 大阪大学大学院情報科学研究科今井正治 E-mail: imai@ist.osaka-u.ac.jp http://www-ise.ist.osaka-u.ac.jp/~imai/ 26/2/5 26, Masaharu Imai 講義内容 PLDとは何か PLA FPGA Gate Arra 26/2/5 26, Masaharu Imai 2 PLD とは何か

More information

TULを用いたVisual ScalerとTDCの開発

TULを用いたVisual ScalerとTDCの開発 TUL を用いた Visual Scaler と TDC の開発 2009/3/23 原子核物理 4 年 永尾翔 目次 目的と内容 開発環境 J-Lab におけるハイパー核分光 Visual Scaler TDC まとめ & 今後 目的と内容 目的 TUL, QuartusⅡ を用いて実験におけるトリガーを組めるようになる Digital Logic を組んでみる 内容 特徴 TUL,QuartusⅡ

More information

AutoCAD道場-なぜ「レイアウト」がいいのか?

AutoCAD道場-なぜ「レイアウト」がいいのか? AutoCAD 道場 : AutoCAD 習得のための傾向と対策セッション 3 なぜ レイアウト がいいのか? オートデスクコンサルタント井上竜夫 20110802 Ver. 1.0 レイアウトの基本 モデル空間 実際に作図作業を行う空間 作図は原寸 1:1 で行うのが原則 レイアウト空間 図面レイアウトの作成を行う空間 レイアウトの使用 ビューポートを配置して 図面レイアウトを作成 印刷 ビューポートはモデル空間の要素をレイアウトに表示するための窓

More information

USB 2.0 OTGコントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM)

USB 2.0 OTGコントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM) 18. 11? 2012? av_54018-1.2 av_54018-1.2 ハード プロセッサ システム (HPS) は デバイスとホストの両方の機能をサポートする USB On-The-Go (OTG) コントローラの 2 つのインスタンスを提供しています コントローラは デバイスとホストの両方のモードですべての高速 全速および低速の転送をサポートしています コントローラは On-The-Go

More information

SAC (Jap).indd

SAC (Jap).indd 取扱説明書 機器を使用する前に本マニュアルを十分にお読みください また 以後も参照できるよう保管してください バージョン 1.7 目次 目次 について...3 ご使用になる前に...3 インストール手順...4 ログイン...6 マネージメントソフトウェアプログラムの初期画面... 7 プロジェクタの検索...9 グループの設定... 11 グループ情報画面... 12 グループの削除... 13

More information

AutoCAD WS Mobile アプリケーション

AutoCAD WS Mobile アプリケーション AutoCAD WS アップデート オートデスク株式会社 伊勢崎俊明 AutoCAD WS アップデート ~2011 年 4 月 20 日 ~ Android 対応 AutoCAD WS Mobile 外部ストレージサービスとの接続 PDF DWF ファイルへのパブリッシュ Android 対応 AutoCAD WS Mobile アプリケーション 動作環境 :Android 2.1 以上 デバイス

More information

Xpand! Plug-In Guide

Xpand! Plug-In Guide Xpand! Version 1.0 Copyright 2006 Digidesign, a division of Avid Technology, Inc. All rights reserved. This guide may not be duplicated in whole or in part without the express written consent of Digidesign.

More information

X-Form Plug-in Guide

X-Form Plug-in Guide X-Form Plug-in Version 7.2 Copyright 2006 Digidesign, a division of Avid Technology, Inc. All rights reserved. This guide may not be duplicated in whole or in part without the express written consent of

More information

Quartus II - デバイスの未使用ピンの状態とその処理

Quartus II - デバイスの未使用ピンの状態とその処理 Quartus II はじめてガイド デバイスの未使用ピンの状態とその処理 ver. 9.1 2010 年 6 月 1. はじめに ユーザ回路で使用していないデバイス上のユーザ I/O ピン ( 未使用ユーザ I/O ピン ) は Quartus II でコンパイルすることによりピンごとに属性が確定されます ユーザは 各未使用ユーザ I/O ピンがどのような状態 ( 属性 ) であるかに応じて 基板上で適切な取り扱いをする必要があります

More information

PLL クイック・ガイド for Cyclone III

PLL クイック・ガイド for Cyclone III ver.9.1 2010 年 1 月 1. はじめに アルテラ社製 FPGA デバイスにおいて PLL を実現するには ALTPLL メガファンクションを使用します ALTPLL を使用することでクロック信号を逓倍 分周 シフトなど簡単に調整することができます PLL で生成したクロック信号を出力専用ピンから外部のデバイスへ供給することも可能なので システムクロックを FPGA にて生成することも可能です

More information

Mindjet MindManager Version 9 for Windows サービスパック 2 リリースノート : 2011 年 4 月 20 日

Mindjet MindManager Version 9 for Windows サービスパック 2 リリースノート : 2011 年 4 月 20 日 Mindjet MindManager Version 9 for Windows サービスパック 2 : 2011 年 4 月 20 日 MindManager Version 9 for Windows で修正された問題 MindManager 9 ビルド 9.2.545 合計期間が 1 日未満の仕事間の依存関係が 強制的に別の日に開始された 依存する仕事の合計期間が一作業日未満である場合は それらの仕事を同じ日に開始できるようになりました

More information

プロバイダ ユーザーズガイド

プロバイダ ユーザーズガイド AIO プロバイダユーザーズガイド 1 AIO プロバイダ CONTEC AIO ボード Version 1.0.1 ユーザーズガイド July 17,2012 備考 AIO プロバイダユーザーズガイド 2 改版履歴 バージョン 日付 内容 1.0.0.0 2011712 初版. 1.0.1.0 2012529 メタモード追加. 1.0.1 2012717 ドキュメントのバージョンルールを変更. 対応機器

More information

MODBUS ユーザーズマニュアル 페이지 1 / 23

MODBUS ユーザーズマニュアル 페이지 1 / 23 MODBUS ユーザーズマニュアル 페이지 1 / 23 目次 1. 概要... 1 2. GX7 サポートファンクションコード... 1 3. GX7 サポートリファレンス... 1 4. GX7 サポートリファレンスとファンクションコードの関係... 2 5. XD+ 内プロジェクト設定でオプション別の機能... 4 6. トラブルシューティング... 9 A. APPENDIX... 12 1.

More information

VPN 接続の設定

VPN 接続の設定 VPN 接続の設定 AnyConnect 設定の概要, 1 ページ AnyConnect 接続エントリについて, 2 ページ ハイパーリンクによる接続エントリの追加, 2 ページ 手動での接続エントリの追加, 3 ページ ユーザ証明書について, 4 ページ ハイパーリンクによる証明書のインポート, 5 ページ 手動での証明書のインポート, 5 ページ セキュアゲートウェイから提供される証明書のインポート,

More information

TN-46-13

TN-46-13 はじめに テクニカルノート 高速 DDR SDRAM の互換性 はじめに このテクニカルノートでは DDR SDRAM デバイスの速度タイミングの違いを考察し Micron の高速グレード部品と低速グレード部品との互換性について説明します Micron DDR デバイスのタイミングは 異なる速度グレードの部品との互換性を最大限維持するように最適化されています そのため Micron のデータシートに記載されているタイミング特性は

More information

Quartus II はじめてガイド - Convert Programming File の使い方

Quartus II はじめてガイド - Convert Programming File の使い方 ALTIMA Corp. Quartus II はじめてガイド Convert Programming File の使い方 ver.14 2015 年 1 月 Rev.1 ELSENA,Inc. Quartus II はじめてガイド Convert Programming File の使い方 目次 1. 2. はじめに...3 操作方法...3 2-1. 2-2. 2-3. Convert Programming

More information