XAPP594 パラレル LVDS 高速 DAC インターフェイス アプリケーション ノート

Size: px
Start display at page:

Download "XAPP594 パラレル LVDS 高速 DAC インターフェイス アプリケーション ノート"

Transcription

1 アプリケーションノート : 7 シリーズ FPGA XAPP594 (v1.0) 2012 年 8 月 22 日 パラレル LVDS 高速 DAC インターフェイス著者 : Marc Defossez 概要 このアプリケーションノートでは ザイリンクス 7 シリーズ FPGA が備える専用の SelectIO インターフェイスシリアライザー () コンポーネントを使用し シリアル LVDS ( 低電圧差動信号 ) 入力を用いてデジタルアナログコンバーター (DAC) と接続する方法を説明します リファレンスデザインは 高速パラレル LVDS 入力を備えた DAC へ Kintex-7 FPGA を接続する基本の LVDS インターフェイスとなっています はじめに 一般的な DAC は または 16 ビットの分解能を提供し シングルパッケージ内に複数のコンバーターが含まれています パッケージ内の各コンバーターは個別の入力を使用します 各入力セットは インターリーブデータサプライと呼ばれる 1 つまたは複数のデータチャネルを備えています ここでは の高い汎用性と柔軟性について説明します 大半のコンバーターは SPI ( シリアルペリフェラルインターフェイス ) を使用して動作モードを設定します FPGA の SelectIO インターフェイスは として構成されます 各 には FPGA ロジックから最大 8 ビットが与えられます また各 は 接続される DAC にシングルデータレート (SDR) またはダブルデータレート (DDR) モードでパラレルデータのシリアルストリームを供給します FPGA のリソース 7 シリーズ FPGA には HR (High Range) I/O バンクと HP (High Performance) I/O バンクがあります これらのバンクの詳細は 7 シリーズ FPGA SelectIO リソースユーザーガイド [ 参照 1] を参照してください DAC インターフェイスで重要な点は と ODELAYE2 コンポーネントは HP I/O バンク内でのみ使用できるということです ODELAYE2 コンポーネントがない コンポーネントは HR I/O バンク内で使用できます このアプリケーションノートでは ( 図 1) と ODELAYE2 ( 図 2) の両方の属性を持つシンボルを記載しています これらのコンポーネントに関する詳細は 7 シリーズ FPGA SelectIO リソースユーザーガイド (UG471) [ 参照 1] を参照してください Copyright 2012 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Vivado, Zynq, and other designated brands included herein are trademarks of Xilinx in the United States and other countries. AMBA and ARM are registered trademarks of ARM in the EU and other countries. All other trademarks are the property of their respective owners. XAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 1

2 DAC LVDS インターフェイス X-Ref Target - Figure 1 T1 SHIFTOUT1 T2 SHIFTOUT2 T3 TQ T4 TCE TBYTEOUT TBYTEIN OQ D1 OFB D2 D3 D4 D5 D6 D7 D8 OCE RST DIV SHIFTIN1 SHIFTIN2 SERDES_MODE : string := MASTER ; DATA_WIDTH : integer := 4; DATA_RATE_OQ : string := DDR ; INIT_OQ : bit := 0 ; SRVAL_OQ : bit := 0 ; TRISTATE_WIDTH : integer := 4; DATA_RATE_TQ : string := DDR ; INIT_TQ : bit := 0 ; SRVAL_TQ : bit := 0 ; TBYTE_CTL : string := FALSE ; TBYTE_SRC : string := FALSE ; X594_01_ 図 1 : X-Ref Target - Figure 2 ODATAIN CNTVALUEIN[4:0] DATAOUT CNTVALUEOUT[4:0] CE INC LD ODELAYE2 LDPIPEEN CINVCTRL_SEL : string := FALSE ; REGRST DELAY_SRC : string := ODATAIN ; HIGH_PERFORMANCE_MODE : string := FALSE ; C ODELAY_TYPE : string := FIXED ; CINVCTRL ODELAY_VALUE : integer := 0; IN PIPE_SEL : string := FALSE ; REF_FREQUENCY : real := 200.0; SIGNAL_PATTERN : string := DATA ; X594_02_ 図 2 : ODELAYE2 DAC LVDS インターフェイス 一般的に 高速 DAC は インターフェイスコンポーネントで使用されるクロックを出力します インターフェイスコンポーネント ( すなわち FPGA) は 受信したクロックのレートでデータとクロックを供給することが要求されます FPGA から DAC に送られるデータとクロックは 位相が揃えられた信号として現れるか データに対して 90 位相シフトされた信号として現れます 大半の高速 DAC には インターリーブ形式のデータが必要です したがって 少なくとも 2 つの I/O バンクが必要です DAC から出力されるクロックは CC ( クロック兼用 ) I/O (_CC_IO) を介して FPGA 内の MMCM ( ミックスドモードクロックマネージャー ) に供給されます この方式には次のような利点があります 入力される DAC のクロックにジッターがある場合 MMCM はジッターを低減する MMCM は DAC インターフェイスに必要なすべてのクロックを供給できる MMCM は必要に応じて 外部フィードバックループを介して PCB 上の DAC の入力ピンで DAC に供給されるデータの位相を揃えるか またはシフト (90 など ) できる XAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 2

3 DAC LVDS インターフェイス 図 3 に 基本的な DAC インターフェイスのセットアップを示します DAC の分解能が 10 ビットより大きい場合 このインターフェイスは 2 つの I/O バンクを必要とします 1 つの I/O バンクは最大 24 の差動 I/O を持つことができます 分解能 14 ビットの DAC がインターリーブデータを必要とする場合 2 つの I/O バンクが必要です MMCM は I/O バンクの後の FPGA ロジックの近くに配置され 必要なクロック領域に対応します (RLOC または LOC 属性が必要な場合がある ) X-Ref Target - Figure 3 DAC Clock In FPGA _in FB_in DAC Clock Out Clock Ctrl MMCM FB_out Data_A [13:0] Data_B [13:0] Data MUX DAC DAC Feedback Loop Data Clock X594_03_ 図 3 : 基本的な DAC インターフェイスのセットアップ 大半の DAC インターフェイスには 外部フィードバックループは不要です DAC は 位相が揃えられた または 90 シフトされたビットクロックを持つデータを FPGA から取得します このフィードバックループは コンポーネントを使用して設計できます (7 ページの DAC へのビットクロック を参照 ) 一部の DAC はデータのみを取得します このデータは DAC のピンまでインターフェイスデザインでモニタリングする必要があります この場合は PCB 上の MMCM フィードバックループが必要です ( 図 4) これは LVDS 用に構成された I/O を最善の位置となる両方の I/O バンクの中間 ( 上のバンクの一番下の I/O または下のバンクの一番上の I/O) に配置することで実現できます PCB 上のフィードバックパスは 使用する DAC に応じて FPGA 出力ピンから DAC 入力ピンまでのデータ接続と同じ長さまたは 2 倍の長さにする必要があります フィードバック信号は LVDS 用に構成されたクロック兼用 I/O を介して FPGA に戻されます XAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 3

4 DAC LVDS インターフェイス X-Ref Target - Figure 4 DAC Clock In FPGA _in DAC Clock Out Clock Ctrl FB_in MMCM FB_out Data_A [13:0] A Data_B [13:0] Data MUX DAC DAC ODELAYE2 Data Clock Feedback Loop IDELAYE2 B (See Note) Length A = Length B X594_04_ 図 4 : 外部フィードバックループを使用する MMCM を備えた基本的な DAC インターフェイス 注記 : 一部の DAC デバイスには DAC 入力ピン上のクロックに基づいてデータの到達を調整するクロック調整機能がさらに追加されています クロック入力および出力を持つ DAC 内の遅延バッファーは SPI ポートを介して調整可能です このバッファーは クロックフィードバックループ内で ODELAYE2 または IDELAYE2 コンポーネント あるいはその両方の代わりに使用できます 動的遅延調整などの機能も FPGA に追加できます 図 5 に クロックフィードバックの出力パス内の ODELAYE2 と入力パス内の IDELAYE2 を示します 両方のコンポーネント またはいずれか一方のコンポーネントを使用できます いずれの遅延ラインも PicoBlaze プロセッサ ( またはほかのプロセッサ ) あるいはステートマシンで制御できます MMCM フィードバックループのキャリブレーションが必要な場合は FPGA と DAC 間の接続の初期化段階で行う必要があります X-Ref Target - Figure 5 IN1 IBUFDS FBIN MMCM + ODATAIN DATAOUT CNTVALUEIN[4:0] CNTVALUEOUT[4:0] OBUFDS FBOUT BUFG SIGNAL_PATTERN=CLOCK ODELAYE2_varload C CE INC RST DATAOUT IDATAIN CNTVALUEOUT[4:0] CNTVALUEIN[4:0] IBUFDS SIGNAL_PATTERN=CLOCK IDELAYE2_varload 2*Picoblaze_DataIn 2*Picoblaze_DataOut RefClk C CE INC RST X594_05_ 図 5 : 外部フィードバック遅延の制御 XAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 4

5 DAC LVDS インターフェイス 注記 : MMCM の外部フィードバックループには 3ns または 1 IN の要件があります (MMCM_T FBDELAY ) ODELAYE2 は HR I/O バンクでのみ使用可能です IDELAYE2 と ODELAYE2 のタップ値は 適用される基準周波数 環境条件 ( 電圧と温度 ) 遅延チェーン内の位置によって決まります DAC からのビットクロック DAC は高速ビットレートのデジタルクロックを FPGA に供給します このクロックは のシリアル出力の基準となるため ビットレートクロックと呼ばれます 多くの DAC デバイスは 接続されるインターフェイス FPGA からのインターリーブデータを要求します これには FPGA インターフェイスが DAC の分解能と同じ幅の 2 つのバスを備えている必要があります インターリーブデータを必要とする分解能 16 ビットの DAC は FPGA の 2 つの 16 ビット差動バスを必要とするため 2 つの I/O バンクが使用されます 1 つのバンクには DAC と 16 ビットデータバスからのクロック入力が含まれ もう 1 つのバンクには DAC と 2 つ目の 16 ビットデータバスのクロックが含まれます ビットクロックは DAC がアナログ出力の生成に使用するクロックのデジタルバージョンです したがって ビットクロックは非常に低ジッターの特性を持ちます PCB デザインの最も簡単な方法は FPGA のクロック兼用 I/O にビットクロックを供給することです この方法では DAC と FPGA 間のすべての接続はほぼ直線の接続になり すべてのトレース長の調整が簡単に行えます ビットクロックは FPGA 内で次の異なる方法で使用できます クロック管理を使用しない場合 BUFMR BUFIO および BUFR クロックバッファーを介して 受信した DAC クロックを MMCM への入力として使用し コンポーネントまたは FPGA 内のアプリケーション あるいはその両方に必要なクロックを生成する クロック管理を使用しない場合 この場合 DAC から入力されるクロックは クロック兼用 I/O 入力から BUFMR クロックバッファーに配線されます ここから 使用される各 I/O バンク内の BUFIO と BUFR が制御されます シングルリージョナルクロック入力のクロック兼用 I/O から複数の I/O バンクを制御する必要がある場合は BUFMR ( マルチ領域クロックバッファー ) が必要です BUFMR の出力は BUFMR が配置される I/O バンク内の BUFIO および BUFR バッファーと 隣接 I/O バンクの一方または両方 ( 上または下 ) にある BUFIO および BUFR バッファーに接続されます ( 図 6 を参照 ) XAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 5

6 DAC LVDS インターフェイス X-Ref Target - Figure 6 Clock Area Up BUFIO BUFR MRCC BUFMR IBUFDS Clock Area BUFIO BUFR Clock Area Low BUFIO リファレンスデザインファイルには 3 つの I/O バンク内の と ISERDESE2 を供給先とする BUFMR の使用例が含まれます ( 図 6 を参照 ) 注記 : BUFMR BUFR および BUFIO のセットを使用する場合は 必ず FPGA 内のすべてのコンポーネントに LOC 制約を適用します FPGA と DAC 間のすべての出力 ( クロックおよびデータ ) にロック (LOC) 制約を適切に使用します の使用に関するすべてのガイドライン (11 ページの を参照 ) を適用します BUFMR BUFR または BUFIO からクロックを供給される とアプリケーションの間に 小型のエラスティックバッファー FIFO またはデータバッファーを配置します このデータバッファーにより アプリケーションクロックと のクロック間のクロックドメインを簡単に切り替えできます.DIV とアプリケーションクロックが同じ周波数を持つ場合でも 位相の違いのためにデータバッファーがなければ簡単には処理できないことがあります ( 図 6 を参照 ) MMCM を使用する場合のクロックの使用 図 6 : BUFMR クロッキングの使用 BUFR X594_06_ DAC からのクロックがクロック兼用 I/O に接続され MMCM が使用される場合 ( 図 7) クロックは BUFR を介して MMCM に到達する必要があります この場合 DAC からのクロックは通常 BUFR より後のクロックネットワークには高速すぎます ( データシート DS181 Artix-7 FPGA データシート : DC 特性およびスイッチ特性 [ 参照 2] データシート DS182 Kintex-7 FPGA データシート : DC および AC スイッチ特性 [ 参照 3] またはデータシート DS183 Virtex-7 T XT FPGA データシート : DC 特性およびスイッチ特性 [ 参照 4] を参照 ) XAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 6

7 DAC LVDS インターフェイス このため BUFR は入力される高速クロックを 2 つに分周します これで MMCM はこのクロックを必須ビット. とワードクロック.DIV に供給できます X-Ref Target - Figure 7 Divide by 2 IN1 FBIN RST BUFR IBUFDS RstIn BUFG DIV MMCM BUFG AppsClk LOCKED FBOUT Feedback Loop BUFG BUFG RST and Ena X594_07_ 図 7 : 有効な MMCM のセットアップ 注記 : MMCM の 2 つの出力を の専用ビットクロックおよびワードクロック ( および DIV) として使用します MMCM から出力される DIV ワードクロックは または を出力先とするデータバッファーの読み出し側の前にあるレジスタにクロックを供給する必要があります DAC インターフェイスは通常は複数の I/O バンクに渡るため BUFG クロックバッファーを介して へのクロックを配線します I/O バンク内で MMCM を使用し クロック兼用 I/O を介して DAC からのクロックをキャプチャします これには LOC 制約が必要となる可能性があります DAC へのビットクロック DAC は が DAC 用のデータを生成するレートと同じビットレートで動作するクロックを接続インターフェイスに要求します DAC 用のクロックを生成する最も効率的な方法は をクロックジェネレーターとして使用することです この方法により FPGA で生成されるクロックとデータの同期が保証されます 各 は まったく同じ方法 同じタイミングで FPGA 出力パッドに接続します FPGA 内の複数の I/O バンクは それぞれ同じ および I/O コンポーネントで構成されているため 同じ方法とタイミングで接続します 1 つのファミリの異なる FPGA コンポーネント内にある は 同じスピードグレードパラメーターと動作パラメーターを使用している限り 同じ ( タイミング ) 特性を持ちます は DIV クロックの立ち上がりエッジで動作する入力レジスタと クロックの立ち上がりエッジで動作するロード可能なパラレル / シリアルレジスタを備えたデバイスです セットポイントとして DATA_WIDTH パラメーターを持つ内部ステートマシンは パラレル入力レジスタからのデータが適切なタイミングでパラレル / シリアルレジスタに確実に転送されるようにします したがって に常に同じデータがロードされる場合 シリアル出力はクロックと全く同じような繰り返しデータストリームになります XAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 7

8 DAC LVDS インターフェイス 入力データの形式によって シリアル出力の形式が決まります 8 ビット入力の へのパラレル入力が [7:0] である場合 シリアル出力 (DDR モード ) は 50/50 のクロック信号になります このクロック信号のレートは に供給する のレートに直接関連付けられます たとえば が 625MHz であり が DDR モードで動作する場合 出力は 625MHz のクロックになります 図 8 に 固定レートの クロックジェネレーターを示します 図 9 に プログラマブルレートの クロックジェネレーターを示します X-Ref Target - Figure 8 CLOCK [PATTERN] ODELAYE2 Data Clock REGISTER SyncEna SyncRst DIV OCE RST in: Master, DDR Configuration X594_08_ 図 8 : 固定レートのクロックジェネレーター X-Ref Target - Figure 9 ODELAYE2 Din WrAddr Wrt Wen Wclk LUT FF Dout RdAddr Rst Ren Rclk Data Clock Address Count, Enable and Load State Machine SyncEna SyncRst DIV OCE RST in: Master, DDR Configuration X594_09_ リファレンスデザインファイルには をクロックジェネレーターとして使用するプロジェクトが含まれています DAC が 供給されるデータに対して位相シフトされたクロックを必要とする場合 この位相シフトは 固定モードまたは可変モードの ODELAYE2 を使用して実現できます 図 8 と図 9 に このセットアップをグレー表示します 注記 : 図 9 : プログラマブルクロックジェネレーター の使用に関するすべてのガイドライン (11 ページの を参照 ) を適用します XAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 8

9 データ は HP I/O バンクでのみ使用可能です データ DAC はパラレルデータを要求します したがって 少なくとも 1 つのデータバスを DAC の分解能と同じ幅で構成する必要があります バスの各ビットは 1 つの コンポーネントで表されます 各 の入力は 1 ニブル (4 ビット ) または 1 バイト (8 ビット ) です したがって 各 は DAC に接続するパラレルバス内のいくつかのビットを表す 1 ニブルまたは 1 バイトをロードする必要があります このため 図 10 に示すように にロードされるニブルまたはバイトは DAC に接続するバスの 1 ビットに対応する一連のビットを表します X-Ref Target - Figure 10 Bit(N) Bit(N) Bit(N) Bit(N) Bit(N) Bit(N) Bit(N) Bit(N) ClkDiv Clk D1 D2 D3 OQ D4 D5 D6 D7 D8 DIV Eight N-bits flowing out serially to make DacData(N) DacData(N) DacData[1:N 1] ClkDiv Clk D1 D2 D3 OQ D4 D5 D6 D7 D8 DIV DacData(0) X594_10_ 図 10 : DAC 入力バス用の のビット配列 1.2GSPS (gigasample per second) の 14 ビット DAC が 600MHz クロックを FPGA に供給する場合 次のことを前提にできます は必ず DDR モードで使用します が 8 ビット DDR モードで使用される場合 MMCM を用いて 600MHz の と 150MHz の DIV を生成できます FPGA 内のアプリケーションは 150MHz のレートで 14 8 ビット =112 ビットを供給する必要があります 一時的なストレージとして分散型メモリ内でクロックドメイン切り替えデータバッファーを使用する場合 この要件を満たすことは難しくありません 図 11 と図 12 に示すように メモリからの出力ビットは FPGA の配線ネットワークで の入力に分配されます 図 11 に アプリケーションが 16 ビットバス形式でデータを供給する 16 ビット分解能の DAC の例を示します 図 12 に示す例では DAC の分解能は 14 ビットで バックエンドデザインは 32 ビット形式でデータを送信します XAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 9

10 データ X-Ref Target - Figure 11 Bus_7[15:0] Bus_6[15:0] Bus_5[15:0] Bus_4[15:0] Data Organization and Routing 8 buses of 16 bits are routed and registered to 15 buses of 8 bits. The bit routing order must be done as shown here. Bit(15) Bit(15) Bit(15) Bit(15) Bit(15) Bit(15) Bit(15) Bit(15) D1 D2 D3 OQ D4 D5 D6 D7 D8 DIV DacData(15) Bus_3[15:0] Bus_2[15:0] Bus_1[15:0] Bus_0[15:0] Example of bit organization: Bit[5] of Bus_7 Bit[7] of OSRDS for DAC bit 5 Bit[5] of Bus_6 Bit[6] of OSRDS for DAC bit 5 Bit[5] of Bus_5 Bit[5] of OSRDS for DAC bit 5 Bit[5] of Bus_4 Bit[4] of OSRDS for DAC bit 5 Bit[5] of Bus_3 Bit[3] of OSRDS for DAC bit 5 Bit[5] of Bus_2 Bit[2] of OSRDS for DAC bit 5 Bit[5] of Bus_1 Bit[1] of OSRDS for DAC bit 5 Bit[5] of Bus_0 Bit[0] of OSRDS for DAC bit 5 D1 D2 D3 OQ D4 D5 D6 D7 D8 DIV DacData[1:14] DacData(0) X594_11_ 図 11 : 入力へのデータの分配 ( 例 1) X-Ref Target - Figure 12 Data[111:96] Data[95:64] Data(111) Data(110) Data(109) Data(108) Data(107) Data(106) Data(105) Data(104) Bit(13) Bit(13) Bit(13) Bit(13) Bit(13) Bit(13) Bit(13) Bit(13) ClkDiv Clk D1 D2 D3 OQ D4 D5 D6 D7 D8 DIV DacData(13) DacData[1:12] Data[63:32] Data[31:0] Data(7) Data(6) Data(5) Data(4) Data(3) Data(2) Data(1) Data(0) ClkDiv Clk D1 D2 D3 OQ D4 D5 D6 D7 D8 DIV DacData(0) X594_12_ 図 12 : 入力へのデータの分配 ( 例 2) XAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 10

11 図 11 では 8 つの 16 ビットデータバスが配線され 最終的に 16 個の 8 ビットバスへ出力されます この例では DAC の分解能が 16 ビットであるため 16 個のバス の入力幅が 8 ビットであるため 8 ビットのバス この例では ビットの配線順序は次のようになります データバス Y ここで Y は 16 ビットデータ入力バスのうち 1 つ ビット n ここで n は Y バスのうち 1 つの 1 ビット 入力バス X ここで X は の 8 ビット入力 ビット m ここで m は X バスのうち 1 つの 1 ビット バス Y のビット n は 入力 m のビット Y として現れます たとえば 次のようになります Bus_7 のビット (5) DAC ビット (5) に対応する 入力のビット (7) Bus_6 のビット (5) DAC ビット (5) に対応する 入力のビット (6) Bus_5 のビット (5) DAC ビット (5) に対応する 入力のビット (5) Bus_4 のビット (5) DAC ビット (5) に対応する 入力のビット (4) Bus_3 のビット (5) DAC ビット (5) に対応する 入力のビット (3) Bus_2 のビット (5) DAC ビット (5) に対応する 入力のビット (2) Bus_1 のビット (5) DAC ビット (5) に対応する 入力のビット (1) Bus_0 のビット (5) DAC ビット (5) に対応する 入力のビット (0) 図 12 に DAC 用のデータを 32 ビットバス形式で供給する 2 番目のアプリケーション例を示します 使用されている DAC の分解能は 14 ビットであるため MSB バスの下位 16 ビットが用いられます の入力に対するアプリケーションバスの接続は アプリケーションバスの順序でリニアに接続することも (DataBus_13[111:96] = の 13 および 12 から DataBus_0[31:0] = の および 0 入力まで ) カスタム順序で接続することもできます すべての場合でロジックは不要であり FPGA の配線リソースによって適切な接続の実装が保証されます アプリケーションと 入力の間のほかの接続方式には 図 11 と図 12 に示したのと同様の方法またはまったく異なる方法を使用できます をほかのデータ幅で使用する場合 アプリケーションへの接続は 例 1 ( 図 11) および例 2 ( 図 12) とはまったく異なるものになる可能性があります ( 図 1) はパラレル入力レジスタであり ロード可能なパラレル / シリアルシフトレジスタが後に続きます データは DIV の立ち上がりエッジでパラレルレジスタにロードされ の立ち上がりエッジでパラレル / シリアルレジスタにシフトアウトされます 2 つのレジスタ間の接続は内部ステートマシンが制御します ステートマシンは DIV および DATA_WITDH 属性を制限し データが常に正しいタイミングでパラレル入力レジスタからパラレル / シリアルレジスタに転送されるようにします は次のようにセットアップできます マスター専用またはマスター / スレーブ DDR モードでは または 14 ビット入力 (10 ビット入力と 14 ビット入力は マスター / スレーブ構成でのみ使用可能 ) SDR モードでは または 14 ビット入力 XAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 11

12 DAC インターフェイスでは はマスター 4 ビット または 8 ビットの DDR モードで使用されます DIV レートは レートの 2 分の 1 (4 ビット ) または 4 分の 1 (8 ビット ) に設定する必要があります を使用する場合は 次の点に注意してください パラレル入力レジスタには イネーブル (OCE) やリセット (RST) はありません つまり DIV の立ち上がりエッジが印加されると の入力ピン上で使用可能なすべてのデータはただちにパラレル入力レジスタにロードされます OCE ピンは シフトレジスタのシリアル MSB 出力レジスタにのみ接続されます がリセットのリリース直後に未知のデータの生成を開始しないように LUT をプログラマブルシフトレジスタ (SRL32) として使用し DIV クロックの数サイクル間イネーブル入力をディアサートしてください リセットのリリース後にイネーブル入力をディアサートしておくクロックサイクル数は 現在 SRL32 のアドレス入力でプログラム可能です のリセットのリリース後 任意の信号が変化する前に DIV の立ち上がりエッジに続いて の立ち上がりエッジが必要です ( 図 13 を参照 ) X-Ref Target - Figure 13 Depending on the position of the DIV edge, the first or second edge of the is taken into account. Shift register load state machine starts running here. DIV RESET The edges are needed to take the out of reset. At this DIV edge, data is or can be loaded into the input parallel register of the. X594_13_ のリセットのリリースを DIV に同期させて リセットのリリースから DIV の数サイクル後にイネーブルを設定することを推奨します タイミング制約を使用してリセットとイネーブルを制御することで インターフェイスのすべての が同時に有効になり 同じタイミングでデータの生成を開始することが保証されます データは ISERDESE2 にロードされた後 ただちにそこから出力されることはありません リセットのリリース後 DIV の立ち上がりエッジでパラレル入力レジスタにデータがロードされ 同時に内部ステートマシンがリセットから解放されます 前回の DIV の立ち上がりエッジに続く の立ち上がりエッジで 内部ステートマシンがスタートします パラレル入力レジスタからパラレル / シリアルレジスタにデータを転送する内部ステートマシンは DATA_WIDTH 属性によって決まります DIV の立ち上がりエッジに続く の立ち上がりエッジの後 の内部ステートマシンはパラレル / シリアルレジスタをフラッシュします ビット数は の DATA_WIDTH および DATA_RATE 属性によって決まります この処理はリセットのリリース直後に行われるため はロードパルスが発生するまですべて 0 を送信します 内部ステートマシンはパルスを生成し パラレル入力レジスタの内容をパラレル / シリアルシフトレジスタにロードします パラレル入力レジスタに最初にロードされた内容を処理しない場合 から出力される最初のシリアルデータは不要なデータと考えられます OSERDES2 が未知のデータを出力しないように OSERDES2 入力の前にレジスタを配置し ( 図 14 を参照 ) 次の対策を講じることを推奨します DIV でレジスタにクロック供給する 図 13 : のリセットからの解放 XAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 12

13 レジスタのリセットとイネーブルを OSERDES2 のリセットとイネーブルに接続する 同期フリップフロップからレジスタおよび OSERDES2 までのリセットおよびイネーブルネット上にタイミング制御制約をインプリメントする これで の入力の前に置かれたレジスタは次のように動作します リセットがアクティブの間は DIV のエッジで 入力レジスタに 0 がロードされる リセットのリリース後の最初の DIV の立ち上がりエッジでも に 0 がロードされる この立ち上がりエッジで の前に置かれたレジスタに有効なデータがロードされる 次の DIV の立ち上がりエッジで に意味のあるデータがロードされ はシリアル形式でデータの生成を開始する はシリアル出力上に未知のデータを生成することはない 複数の は出力ピン上に同期データを供給する X-Ref Target - Figure 14 DataIn Data Clock REGISTER SyncEna SyncRst DIV OCE RST in: Master, DDR Configuration 図 14 : アクティベーション制御レジスタと組み合わせた X594_14_ リセットに続く最初のロードの後 データがロードされ 規則的なパターンでシフトされます 図 15 に 8 ビット DDR モードの を示します リセットがリリースされ DIV の立ち上がりエッジでデータが にロードされた後 4 サイクルでそのデータは出力に現れます 4 サイクルかかるのは 8 ビットがロードされ コントローラーがまず以前の 8 ビット ( すべて 0) を DDR レートでシフトアウトするためです XAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 13

14 X-Ref Target - Figure 15 Mstr/Slv oce_dly 1 rst_dly clk_dly clkdiv_dly DataIn load_int oq_zd Data is loaded in the parallel register. Reset internally released after rising DIV and edge. state machine starts here. New data loaded in parallel-to-serial register and output flushed Data loaded in parallel-to-serial register. First bit appears at the output. Because no new data is loaded in the parallel input register, the old data is transmitted again. X594_15_ 図 15 : リセットのリリース後の最初のデータ出力 にはいつでも新しいデータをロードできます ただし ロードされたすべてのデータが常に出力に現れるわけではありません ステートマシンは 実行中のシフト操作の完了後にのみ入力パラレルレジスタから出力シフトレジスタにデータを転送します ( 図 16 を参照 ) X-Ref Target - Figure 16 This value is loaded in the parallel register but is never loaded in the serial register. This value is loaded in the parallel register and in the serial register for transmission from the. oce_dly rst_dly clk_dly clkdiv_dly DataIn load_int oq_zd Data loaded in input parallel register. State machine started and flushed. First loaded pattern transmitted from the. X594_16_ 図 16 : 継続的な操作でのデータの流れ ステートマシンは DATA_WIDTH および DATA_RATE 属性に基づく レートでシフトレジスタロードパルスを生成します データは パラレル / シリアル出力レジスタにロードされた後も 入力パラレルレジスタから消去されません したがって それ以降のロードパルスでパラレルレジスタに新しいデータがロードされない場合 パラレル / シリアルレジスタには同じデータがロードされます ( 図 16 を参照 ) XAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 14

15 リファレンスデザイン リファレンスデザイン このアプリケーションノートのリファレンスデザインファイルは次のサイトからダウンロードできるため デバイスのリソース使用率の表は掲載していません 表 1 に リファレンスデザインの詳細を示します 表 1 : リファレンスデザインの詳細 パラメーター全般開発者ターゲットデバイスソースコードの提供ソースコードの形式使用した IP 説明 Marc Defossez XC7K325T-2FFG900 あり VHDL なし シミュレーション 機能シミュレーションの実施 あり タイミングシミュレーションの実施 なし テストベンチの形式 VHDL シミュレータのツールとバージョン ISE Design Suite 13.4 SPICE/IBIS シミュレーションの実施 なし インプリメンテーション 合成ツール / バージョン ISE Design Suite 13.4 XST 13.4 インプリメンテーションツール / バージョン ISE Design Suite 13.4 スタティックタイミング解析の実施 あり ハードウェア検証ハードウェア検証の実施あり 検証に使用したハードウェアプラットフォーム KC705 ボード リファレンスデザインは 4 つの小さなデザインで構成されています これらのデザインの一部またはすべてを使用して DAC インターフェイスを作成できます したがって FPGA リソースの使用は 使用する DAC のブランドとタイプで決まります インターリーブデータを必要とする DAC を選択した場合は 2 倍の数の データコンポーネントが必要です DAC の分解能によっては 2 つの I/O バンクが必要になる可能性があります ユーザーが面積の推定値を希望する場合は 選択した I/O バンク内のすべての が使用されるとすると 面積は 8 50 スライスに相当します これは ISERDESE2/ コンポーネントと最初のブロック RAM コンポーネントセットの間の面積です ( 図 17 を参照 ) XAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 15

16 リファレンスデザイン X-Ref Target - Figure Single-Ended I/O or 24 Differential I/O + 1 Single-Ended I/O ISERDESE2 IDELAYE2 ODELAYE2 Upper Half I/O Bank Lower Half I/O Bank 4 BUFIO 4 BUFR INFIFO PLL INFIFO INFIFO MMCM INFIFO OUTFIFO OUTFIFO OUTFIFO OUTFIFO 2 BUFMR 8 by 25 Slices IDELAYCTRL 8 by 25 Slices Slices Slices Block RAM RAMB36E1 Block RAM RAMB36E1 X594_17_ 図 17 : 有効なデバイスのリソース使用エリア XAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 16

17 参考資料 リファレンスデザインのディレクトリセットアップ 図 18 に リファレンスデザインのディレクトリ構造を示します X-Ref Target - Figure 18 X594_18_ 図 18 : リファレンスデザインのディレクトリ構造 参考資料 この文書では 次の参考資料を使用しています 1. UG471 : 7 シリーズ FPGA SelectIO リソースユーザーガイド 2. DS181 : Artix-7 FPGA データシート : DC 特性およびスイッチ特性 3. DS182 : Kintex-7 FPGA データシート : DC および AC スイッチ特性 4. DS183 : Virtex-7 T XT FPGA データシート : DC 特性およびスイッチ特性 まとめ 7 シリーズ FPGA インターフェイスは の機能を使用して 市販のあらゆる最新 DAC デバイスに対応する高速 LVDS インターフェイス開発用の柔軟性の高い汎用プラットフォームを提供します XAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 17

18 改訂履歴 改訂履歴 次の表に この文書の改訂履歴を示します 日付バージョン内容 2012 年 8 月 22 日 1.0 初版リリース Notice of Disclaimer The information disclosed to you hereunder (the "Materials") is provided solely for the selection and use of Xilinx products. To the maximum extent permitted by applicable law: (1) Materials are made available "AS IS" and with all faults, Xilinx hereby DISCLAIMS ALL WARRANTIES AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and (2) Xilinx shall not be liable (whether in contract or tort, including negligence, or under any other theory of liability) for any loss or damage of any kind or nature related to, arising under, or in connection with, the Materials (including your use of the Materials), including for any direct, indirect, special, incidental, or consequential loss or damage (including loss of data, profits, goodwill, or any type of loss or damage suffered as a result of any action brought by a third party) even if such damage or loss was reasonably foreseeable or Xilinx had been advised of the possibility of the same. Xilinx assumes no obligation to correct any errors contained in the Materials or to notify you of updates to the Materials or to product specifications. You may not reproduce, modify, distribute, or publicly display the Materials without prior written consent. Certain products are subject to the terms and conditions of the Limited Warranties which can be viewed at IP cores may be subject to warranty and support terms contained in a license issued to you by Xilinx. Xilinx products are not designed or intended to be fail-safe or for use in any application requiring fail-safe performance; you assume sole risk and liability for use of Xilinx products in Critical Applications: 本資料は英語版 (v1.0) を翻訳したもので 内容に相違が生じる場合には原文を優先します 資料によっては英語版の更新に対応していないものがあります 日本語版は参考用としてご使用の上 最新情報につきましては 必ず最新英語版をご参照ください この資料に関するフィードバックおよびリンクなどの問題につきましては jpn_trans_feedback@xilinx.com までお知らせください いただきましたご意見を参考に早急に対応させていただきます なお このメールアドレスへのお問い合わせは受け付けておりません あらかじめご了承ください XAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 18

ScanFront300/300P セットアップガイド

ScanFront300/300P セットアップガイド libtiff Copyright (c) 1988-1996 Sam Leffler Copyright (c) 1991-1996 Silicon Graphics, Inc. Permission to use, copy, modify, distribute, and sell this software and its documentation for any purpose is hereby

More information

エレクトーンのお客様向けiPhone/iPad接続マニュアル

エレクトーンのお客様向けiPhone/iPad接続マニュアル / JA 1 2 3 4 USB TO DEVICE USB TO DEVICE USB TO DEVICE 5 USB TO HOST USB TO HOST USB TO HOST i-ux1 6 7 i-ux1 USB TO HOST i-mx1 OUT IN IN OUT OUT IN OUT IN i-mx1 OUT IN IN OUT OUT IN OUT IN USB TO DEVICE

More information

ザイリンクス XCN 製造中止製品の通知 : CPLD、コンフィギュレーション PROM、Spartan および Virtex FPGA 製品のリビジョン制御 SCD 製品番号

ザイリンクス XCN 製造中止製品の通知 : CPLD、コンフィギュレーション PROM、Spartan および Virtex FPGA 製品のリビジョン制御 SCD 製品番号 XCN12011 (v1.0) 2012 年 12 月 3 日 製造中止製品の通知 : CPLD コンフィギュレーション PROM Spartan および Virtex FPGA 製品のリビジョン制御 SCD 製品番号 製造中止製品の通知 概要 この通知は一部の SCD (Specification Control Document) 製品が製造中止となることをお知らせするものです これらの SCD

More information

インターネット接続ガイド v110

インターネット接続ガイド v110 1 2 1 2 3 3 4 5 6 4 7 8 5 1 2 3 6 4 5 6 7 7 8 8 9 9 10 11 12 10 13 14 11 1 2 12 3 4 13 5 6 7 8 14 1 2 3 4 < > 15 5 6 16 7 8 9 10 17 18 1 2 3 19 1 2 3 4 20 U.R.G., Pro Audio & Digital Musical Instrument

More information

Virtex-6 FPGA で LVDS を使用した、1.25Gb/s での 4X 非同期オーバーサンプリング, アプリケーション ノート (XAPP881)

Virtex-6 FPGA で LVDS を使用した、1.25Gb/s での 4X 非同期オーバーサンプリング, アプリケーション ノート (XAPP881) アプリケーションノート : Virtex-6 FPGA XAPP881 (v1.0.1) 2010 年 7 月 25 日 Virtex-6 FPGA で LVDS を使用した 1.25Gb/s での 4X 非同期オーバーサンプリング著者 : Catalin Baetoniu Brandon Day 概要 Virtex -6 FPGA の SelectIO テクノロジによって 1.25Gb/s で 4X

More information

2

2 NSCP-W61 08545-00U60 2 3 4 5 6 7 8 9 10 11 12 1 2 13 7 3 4 8 9 5 6 10 7 14 11 15 12 13 16 17 14 15 1 5 2 3 6 4 16 17 18 19 2 1 20 1 21 2 1 2 1 22 23 1 2 3 24 1 2 1 2 3 3 25 1 2 3 4 1 2 26 3 4 27 1 1 28

More information

ScanFront 220/220P 取扱説明書

ScanFront 220/220P 取扱説明書 libtiff Copyright (c) 1988-1996 Sam Leffler Copyright (c) 1991-1996 Silicon Graphics, Inc. Permission to use, copy, modify, distribute, and sell this software and its documentation for any purpose is hereby

More information

ScanFront 220/220P セットアップガイド

ScanFront 220/220P セットアップガイド libtiff Copyright (c) 1988-1996 Sam Leffler Copyright (c) 1991-1996 Silicon Graphics, Inc. Permission to use, copy, modify, distribute, and sell this software and its documentation for any purpose is hereby

More information

2011 Shinano Kenshi Co.,Ltd. ... 2... 12... 12... 18... 19... 21... 21... 23 1.2.1....23 1.2.2....24 1.2.3....26 1.2.4....27... 29... 30... 30... 31... 38... 39... 40 2 ...41...42...43...43 3.1.1... 44

More information

iPhone/iPad接続マニュアル

iPhone/iPad接続マニュアル / JA 2 3 USB 4 USB USB i-ux1 USB i-ux1 5 6 i-mx1 THRU i-mx1 THRU 7 USB THRU 1 2 3 4 1 2 3 4 5 8 1 1 9 2 1 2 10 1 2 2 6 7 11 1 2 3 4 5 6 7 8 12 1 2 3 4 5 6 13 14 15 WPA Supplicant Copyright 2003-2009, Jouni

More information

TH-47LFX60 / TH-47LFX6N

TH-47LFX60 / TH-47LFX6N TH-47LFX60J TH-47LFX6NJ 1 2 3 4 - + - + DVI-D IN PC IN SERIAL IN AUDIO IN (DVI-D / PC) LAN, DIGITAL LINK AV IN AUDIO OUT 1 11 2 12 3 13 4 14 5 6 15 7 16 8 17 9 18 10 19 19 3 1 18 4 2 HDMI AV OUT

More information

Chapter 1 1-1 2

Chapter 1 1-1 2 Chapter 1 1-1 2 create table ( date, weather ); create table ( date, ); 1 weather, 2 weather, 3 weather, : : 31 weather -- 1 -- 2 -- 3 -- 31 create table ( date, ); weather[] -- 3 Chapter 1 weather[] create

More information

WQD770W WQD770W WQD770W WQD770W WQD770W 5 2 1 4 3 WQD8438 WQD770W 1 2 3 5 4 6 7 8 10 12 11 14 13 9 15 16 17 19 20 20 18 21 22 22 24 25 23 2 1 3 1 2 2 3 1 4 1 2 3 2 1 1 2 5 6 3 4 1 2 5 4 6 3 7 8 10 11

More information

WYE771W取扱説明書

WYE771W取扱説明書 WYE771W WYE771W 2 3 4 5 6 MEMO 7 8 9 10 UNLOCK RESET/ STOPALARM EMERG. TALK FIRE CONFIRM MENU OFF POWER 11 UNLOCK RESET/ STOPALARM EMERG. TALK FIRE CONFIRM MENU OFF POWER 12 POWER EMERG. RESET/ STOPALARM

More information

TH-65LFE7J TH-50LFE7J TH-42LFE7J - + - + PC IN DVI-D IN IR IN/OUT CHARGE OUT SERIAL IN LAN AUDIO IN (DVI-D / PC) AUDIO OUT AV IN (HDMI 1 HDMI 2) 19 3 1 1 11 2 12 3 13 4 14 5 6 15 7 16 8 17 9 18 10

More information

Virtex-6 Clocking

Virtex-6 Clocking Spartan-6 クロックリソース Proprietary to PALTEK CORPORATION 1 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 2 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 3 高速なクロッキング 新型アプリケーションには複雑なクロック要件が必要 : 高速クロック信号

More information

外部SQLソース入門

外部SQLソース入門 Introduction to External SQL Sources 外部 SQL ソース入門 3 ESS 3 ESS : 4 ESS : 4 5 ESS 5 Step 1:... 6 Step 2: DSN... 6 Step 3: FileMaker Pro... 6 Step 4: FileMaker Pro 1. 6 Step 5:... 6 Step 6: FileMaker Pro...

More information

PLL ダイナミック リコンフィギュレーション, アプリケーション ノート (XAPP879)

PLL ダイナミック リコンフィギュレーション, アプリケーション ノート (XAPP879) アプリケーションノート : Spartan-6 ファミリ XAPP7 (v1.1) 11 年 1 月 6 日 PLL ダイナミックリコンフィギュレーション著者 : Karl Kurbjun Carl Ribbing 概要 このアプリケーションノートは ダイナミックリコンフィギュレーションポート (DRP) を介して Spartan - 6 FPGA の位相ロックループ (PLL) のクロック出力の周波数

More information

NetVehicle GX5取扱説明書 基本編

NetVehicle GX5取扱説明書 基本編 -GX5 1 2 3 4 5 6 7 8 # @(#)COPYRIGHT 8.2 (Berkeley) 3/21/94 All of the documentation and software included in the 4.4BSD and 4.4BSD-Lite Releases is copyrighted by The Regents of the University of California.

More information

ザイリンクス アプリケーション ノート XAPP524 : シリアル LVDS 高速 ADC インターフェイス

ザイリンクス アプリケーション ノート XAPP524 : シリアル LVDS 高速 ADC インターフェイス アプリケーションノート : 7 シリーズ FPGA XAPP524 (v1.) 212 年 8 月 7 日 シリアル LVDS 高速 ADC インターフェイス著者 : Marc Defossez 概要 このアプリケーションノートでは 7 シリーズ FPGA が備える専用の SelectIO テクノロジデシリアライザーコンポーネント (ISERDESE2 プリミティブ ) を使用し シリアル LVDS

More information

DDK-7 取扱説明書 v1.10

DDK-7 取扱説明書 v1.10 DDK-7 v. JA 2 ()B-9 /4 ()B-9 2/4 3 4 ()B-9 3/4 ()B-9 4/4 5 6 7 "Mobile Wnn" OMRON SOFTWARE Co., Ltd. 999 All Rights Reserved. 8 CONTENTS 2 3 4 5 6 7 8 9 0 2 3 4 3 4 5 6 2 3 0 4 5 6 7 8 9 0 2 D. 2 3 4 5

More information

Xilinx XAPP523 LVDS 4x Asynchronous Oversampling Using 7 Series FPGAs, Application Note

Xilinx XAPP523 LVDS 4x Asynchronous Oversampling Using 7 Series FPGAs, Application Note アプリケーションノート : 7 シリーズ FPGA XAPP523 (v1.0) 2012 年 4 月 6 日 7 シリーズ FPGA で LVS を使用した 4x 非同期オーバーサンプリング著者 : Marc efossez 概要 このアプリケーションノートでは SelectIO インターフェイスプリミティブで LVS を使用して非同期通信でデータをキャプチャする方法について説明します この方法では

More information

TH-80LF50J TH-70LF50J

TH-80LF50J TH-70LF50J TH-80LF50J TH-70LF50J TY-ST58P20 (70V) TY-ST65P20 (80V) TY-WK70PV50 TY-FB10HD TY-PG70LF50 (70V) TY-PG80LF50 (80V) - + - + SERIAL IN, SERIAL OUT AUDIO IN (COMPOSITE) AV IN DVI-D IN/OUT PC IN AUDIO

More information

GT-X830

GT-X830 NPD5108-00 ...5... 5... 6... 8...11 EPSON Scan...11 PDF...16 OCR...16...17...17...20 /...20...20...22...23...23...24...25...25...26...27 PDF...30...31 / EPSON Scan...34 EPSON Scan...34 EPSON Scan...36

More information

DS-30

DS-30 NPD4633-00 JA ...6... 6... 6... 6... 6... 7... 7... 7... 7... 8... 8...9...10...11...11...13 Document Capture Pro Windows...13 EPSON Scan Mac OS X...14 SharePoint Windows...16 Windows...16...17 Document

More information

基本操作ガイド

基本操作ガイド HT7-0199-000-V.5.0 1. 2. 3. 4. 5. 6. 7. 8. 9. Copyright 2004 CANON INC. ALL RIGHTS RESERVED 1 2 3 1 1 2 3 4 1 2 1 2 3 1 2 3 1 2 3 1 2 3 4 1 2 3 4 1 2 3 4 5 AB AB Step 1 Step

More information

操作ガイド(本体操作編)

操作ガイド(本体操作編) J QT5-0571-V03 1 ...5...10...11...11...11...12...12...15...21...21...22...25...27...28...33...37...40...47...48...54...60...64...64...68...69...70...70...71...72...73...74...75...76...77 2 ...79...79...80...81...82...83...95...98

More information

GT-X980

GT-X980 NPD5061-00 JA ...6...10...10...11...13...15...20...21...21...22 /...23 PDF...27 PDF...31 /...35...38...43...46 EPSON Scan...49...49...49...50 EPSON Scan...51...51...52...52...53 2 Windows...53 Mac OS X...53...53...53...54...56...56...58...59...60...60...61...62...63

More information

MusicSoft Manager

MusicSoft Manager MusicSoft Manager( ミュージックソフトマネージャー ) は 電子楽器で扱うファイル ( ソングやスタイルデータ ) を iphone/ipod touch/ipad 上で管理するアプリケーションです 本アプリケーションにより以下のことができます データのダウンロード購入 データをアプリと楽器 コンピューター オンラインストレージサービス Dropbox ( ドロップボックス ) 間で転送

More information

操作ガイド(本体操作編)

操作ガイド(本体操作編) J-1 QT5-0681-V02 1 m a b c d e f l kj i h g a b c d e f g h i j k l m n n o o s p q r p q r s w t u v x y z t u v w x y z a bc d e f g q p o n m l k j i h a b c d e f g h i j k l {}[] {}[] m n

More information

ES-D400/ES-D200

ES-D400/ES-D200 NPD4564-00 ...4...7 EPSON Scan... 7...11 PDF...12 / EPSON Scan...14 EPSON Scan...14 EPSON Scan...15 EPSON Scan...15 EPSON Scan...16 Epson Event Manager...17 Epson Event Manager...17 Epson Event Manager...17

More information

EPSON ES-D200 パソコンでのスキャンガイド

EPSON ES-D200 パソコンでのスキャンガイド NPD4271-00 ...4...7 EPSON Scan... 7...11 PDF...12 / EPSON Scan...13 EPSON Scan...13 EPSON Scan...14 EPSON Scan...14 EPSON Scan...15 Epson Event Manager...16 Epson Event Manager...16 Epson Event Manager...16

More information

DS-70000/DS-60000/DS-50000

DS-70000/DS-60000/DS-50000 NPD4647-02 JA ...5...7...8 ADF...9... 9 ADF...10...11...13...15 Document Capture Pro Windows...15 EPSON Scan Mac OS X...16 SharePoint Windows...18 Windows...18...19 Windows...19 Mac OS X...19...20...23...23

More information

Xilinx XAPP485 Spartan-3E FPGA における最大レート 666Mbps でのデシリアライズ、アプリケーション ノート

Xilinx XAPP485 Spartan-3E FPGA における最大レート 666Mbps でのデシリアライズ、アプリケーション ノート XAPP485 (v1.1) 2006 11 10 R : Spartan-3E FPGA Spartan-3E FPGA 666Mbps 1:7 : Nick Sawyer (v1.1) Spartan -3E 666 / (Mbps) 1:7 Spartan-3E 4 5 666Mbps 1/7 Spartan-3E FPGA DCM ( ) DFS ( ) 3.5 DDR ( ) 1:7 DDR

More information

DS-860

DS-860 NPD4958-00 JA 2013 Seiko Epson Corporation. All rights reserved. EPSON EXCEED YOUR VISION Microsoft Windows Windows Server Windows Vista SharePoint Microsoft Corporation Intel Intel Core Intel Corporation

More information

2

2 SXSXD 2 3 4 5 6 7 8 9 10 11 12 13 DC12V EIAJ RC5320A Class4 14 15 16 17 18 19 20 21 22 23 24 25 26 SCOPE CHART SCOPE CHART CHART SCOPE SCOPE SCOPE CHART CHART 27 SCOPE MODE CHART MODE 28 29 CHART MODE

More information

GT-F740/GT-S640

GT-F740/GT-S640 NPD4743-00 JA ...5 EPSON Scan... 5 Document Capture Pro / Document Capture...11...14 EPSON Scan...14 PDF...18 OCR...18...19...19...21 /...21...22...23 GT-F740...24...24...25...26...26...26...27 PDF...28...30

More information

基本操作ガイド

基本操作ガイド HT7-0022-000-V.4.0 Copyright 2004 CANON INC. ALL RIGHTS RESERVED 1 2 3 1 2 3 1 2 3 1 2 3 1 2 3 4 1 1 2 3 4 5 1 2 1 2 3 1 2 3 1 2 3 1 2 3 4 1 2 3 4 1 2 3 4 5 6 1 2 3 4 5 6 7 1 2 3 4

More information

デザインパフォーマンス向上のためのHDLコーディング法

デザインパフォーマンス向上のためのHDLコーディング法 WP231 (1.1) 2006 1 6 HDL FPGA TL TL 100MHz 400MHz HDL FPGA FPGA 2005 2006 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx,

More information

ES-D400/ES-D350

ES-D400/ES-D350 NPD4650-00 ...4 EPSON Scan... 4 Document Capture Pro Windows... 7 EPSON Scan...10 EPSON Scan...10...14 PDF...15 / EPSON Scan...17 EPSON Scan...17 EPSON Scan...18 EPSON Scan...18 Document Capture Pro Windows...19

More information

License

License 第三者のソフトウェアについて お客様がご購入のキヤノン製品 ( 以下 本製品 ) には 第三者のソフトウェア モジュール ( その更新されたものを含み以下 第三者ソフトウェア ) が含まれており かかる 第三者ソフトウェア には 以下 1~8 の条件が適用されます 1. お客様が 第三者ソフトウェア の含まれる 本製品 を 輸出または海外に持ち出す場合は 日本国及び関連する諸外国の規制に基づく関連法規を遵守してください

More information

PX-403A

PX-403A NPD4403-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.x...15...18...19...19...21...22!ex...22 /...23 P.I.F. PRINT Image Framer...23...24...27...27...28...28...28...32 Web...32...32...35...35...35...37...37...37...39...39...40...43...46

More information

Appendix

Appendix Appendix Appendix-A PHP 392 Appendix-B -> cd ext/pgsql -> phpize ->./configure --with-pgsql -> make -> make EXTENSION_DIR=/usr/local/lib/php/extensions install extension_dir = "/usr/local/lib/php/extensions/"

More information

ISim ハードウェア協調シミュレーション チュートリアル : 浮動小数点高速フーリエ変換(FFT) のシミュレーションの高速化

ISim ハードウェア協調シミュレーション チュートリアル : 浮動小数点高速フーリエ変換(FFT) のシミュレーションの高速化 ISim ハードウェア協調シミュレーションチュートリアル : 浮動小数点高速フーリエ変換 (FFT) のシミュレーションの高速化 UG817 (v 14.1) 2012 年 4 月 24 日 Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the

More information

EPSON PX-503A ユーザーズガイド

EPSON PX-503A ユーザーズガイド NPD4296-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.x...15...18...19...19...21...22...23!ex...23 /...24 P.I.F. PRINT Image Framer...24...25...28...28...29...29...30...33

More information

1 2 3 4 5 6 7 2.4 DSOF 4 1 1 1 1 1 1 1 1 1 1 1 1 2 3 4 5 6 7 8 1 2 3 4 5 1 6 7 1 2 3 4 1 5 6 7 8 1 1 2 2 2 2 1 2 3 4 5 6 7 8 9 10 2 11 12 2 2 2 2 1 2 3 2 4 5 6 7 8 II II 2 \ \ 9

More information

Dolphin 6110 Quick Start Guide

Dolphin 6110 Quick Start Guide Dolphin TM 6110 モバイルコンピュータ クイックスタートガイド Dolphin 6110 モバイルコンピュータ ªªªªª v t ª ª ªªª v Dolphin 6110 ªª ª ªªªªªª ( ) ª ª ªªªªª ªªª (3.7 V ªª ª ª ) AC «KSAS0100500200D5 :100-240V AC 50/60Hz 0.4 A : 5 V DC 2.0

More information

XAPP1064 : ソース同期のシリアライズおよびデシリアライズ (最大 1050 Mb/s)

XAPP1064 : ソース同期のシリアライズおよびデシリアライズ (最大 1050 Mb/s) アプリケーションノート : Spartan-6 FPGA XAPP1064 (v1.1) 2010 年 6 月 3 日 ソース同期のシリアライズおよびデシリアライズ ( 最大 1050Mb/s) 著者 : Nick Sawyer 概要 Spartan -6 は 入力 SerDes (ISERDES) および出力 SerDes () ブロックを備えています これらのプリミティブによって より高速な動作が実現されると同時に

More information

PX-504A

PX-504A NPD4537-00 ...6... 6... 9 Mac OS X...10 Mac OS X v10.5.x v10.6.x...10 Mac OS X v10.4.11...13...15...16...16...18...19...20!ex...20 /...21 P.I.F. PRINT Image Framer...21...22...26...26...27...27...27...31

More information

PX-434A/PX-404A

PX-434A/PX-404A NPD4534-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.11...15...18...19...19...21...22!ex...22 /...23 P.I.F. PRINT Image Framer...23...24...26...27...27...28...28...31 Web...31...31...35...35...35...37...37...37...39...39...40...43...48

More information

準備と設定

準備と設定 ii iii iv v vi 1 2 3 4 vii 5 6 7 8 9 viii This product (including software) is designed under Japanese domestic specifications and does not conform to overseas standards. NEC* 1 will not be held responsible

More information

準備と設定

準備と設定 ii iii iv v vi 1 2 3 vii 4 5 6 7 8 viii 9 ix This product (including software) is designed under Japanese domestic specifications and does not conform to overseas standards. NEC* 1 will not be held responsible

More information

準備と設定

準備と設定 ii iii iv v vi 1 2 3 vii 4 5 6 7 8 viii 9 ix This product (including software) is designed under Japanese domestic specifications and does not conform to overseas standards. NEC* 1 will not be held responsible

More information

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用 WP-01034-1.0/JP DLL (PVT compensation) 90 PLL PVT compensated FPGA fabric 90 Stratix III I/O block Read Dynamic OC T FPGA Write Memory Run Time Configurable Run Time Configurable Set at Compile dq0 dq1

More information

EPSON EP-803A/EP-803AW ユーザーズガイド

EPSON EP-803A/EP-803AW ユーザーズガイド NPD4293-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.x...15...18...19...19...22...23...24!ex...24 /...25 P.I.F. PRINT Image Framer...25...26...30...30...31...31...31...35

More information

EPSON EP-703A ユーザーズガイド

EPSON EP-703A ユーザーズガイド NPD4295-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.x...15...18...19...19...22...23...24!ex...24 /...25 P.I.F. PRINT Image Framer...25...26...29...30...30...31...31...34

More information

EP-704A

EP-704A NPD4533-01 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.11...15...18...19...19...22...23...24!ex...24 /...25 P.I.F. PRINT Image Framer...25...26...29...29...30...30...31...34

More information

Title Slide with Name

Title Slide with Name 自習 & ハンズオントレーニング資料 System Recovery 2013 R2 SR13R2-06 System Recovery Monitor ベリタステクノロジーズ合同会社 テクノロジーセールス & サービス統括本部セールスエンジニアリング本部パートナー SE 部 免責事項 ベリタステクノロジーズ合同会社は この文書の著作権を留保します また 記載された内容の無謬性を保証しません VERITAS

More information

IM 21B04C50-01

IM 21B04C50-01 User s Manual Blank Page Media No. (CD) 5th Edition : Sep. 2009 (YK) All Rights Reserved. Copyright 2001, Yokogawa Electric Corporation Yokogawa Electric Corporation Software License Agreement This

More information

2.4 DSOF 4 1 2 3 4 1 2 3 4 5 6 7 8 9 10 11 12 1 2 SET RESET POWER PPP PPP 3 POWER DATA 4 SET RESET WAN PC1 PC2 5 POWER PPP DATA AIR 6 1 2 3 4 5 6 7 II II II 8 1 2 3 4 5 6 7 8 9 10 II

More information

2.4 DSOF 4 RESET WAN LAN1 LAN2 LAN3 LAN4 DC-IN 12V 1 2 3 4 ON 1 2 3 4 ON 1 2 3 4 5 6 7 8 1 2 3 4 5 1 2 3 4 5 1 2 3 4 5 6 7 8 9 10 1 2 3 4 5 6 1 2 3 4 5 3 1 2 1 2 3 4

More information

PX-673F

PX-673F NPD4385-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.x...15...18...19...19...21...22...23!ex...23 /...24 P.I.F. PRINT Image Framer...24...25...28...29...29...30...30...33

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

目次 1. 珠肌 Photoshop プラグインについて はじめに 必要システム構成 インストールとアクティベーション 珠肌 for Photoshop をインストールする アクティベーションする...

目次 1. 珠肌 Photoshop プラグインについて はじめに 必要システム構成 インストールとアクティベーション 珠肌 for Photoshop をインストールする アクティベーションする... 写真用美肌フィルタープラグイン ユーザーマニュアル Ver.1.0 目次 1. 珠肌 Photoshop プラグインについて... 1 1.1. はじめに... 1 1.2. 必要システム構成... 1 2. インストールとアクティベーション... 2 2.1. 珠肌 for Photoshop をインストールする... 2 2.2. アクティベーションする... 4 2.3. インストールの確認...

More information

PSP-1000

PSP-1000 PSP-1000 PSP PSP 3-097-555-01(1) 5 VCCI PSP-1000 2.4GHz2.400GHz 2.497GHz 2.4GHz 1. 2. 2.4GHz DS-SS 40m 2 PSP DNAS Dynamic Network Authentication System DNAS PSP PSP ID http://www.scei.co.jp/psp-eula 3

More information

1 2 3 4 5 6 7 2.4 DSOF 4 1 1 1 1 1 1 1 1 1 DC-IN SET RESET WAN PC1 PC2 PC3 PC4 1 POWER LAN 1 LAN 2 AIR 1 LAN1 LAN2 RESET 1 1 1 1 2 3 4 5 6 7 1 2 3 4 1 5 6 7 1 2 3 > 4 5 6 7 8 1 1

More information

POWER LINK AIR 2.4 DS/OF 4 1 1 LINK AIR POWER LINK AIR 1-1 POWER 1-2 POWER LINK AIR 1 1-3 POWER LINK AIR 1 POWER LINK AIR PC1 PC2 PC3 PC4 DC-IN DC5V 1-4 1 1 2 3 4 1 5 6 7 8 1 2 3 4 5 1 1 2

More information

2.4 DSOF 4 RESET MO DE AP RT 1 2 3 4 5 6 7 8 1 2 3 4 5 1 2 3 4 5 1 2 3 4 5 6 7 8 9 10 1 2 3 4 5 6 1 2 3 4 5 3 1 2 1 2 3 4 5 6 7 8 1 2 3 4 5 1 2 3 1 2 3 1 2 3 4 5 6

More information

DS-510

DS-510 NPD4887-01 JA 2013 Seiko Epson Corporation. All rights reserved. EPSON EXCEED YOUR VISION Microsoft Windows Windows Vista SharePoint Microsoft Corporation Macintosh Mac OS OS X Apple Inc. Intel Intel Core

More information

Operating Instructions

Operating Instructions 1 2 function L L L L L L L L L L L L L L L L L L L L L L L L L L L 1 1 L L 1. 2. 3. L 1. 2. L 1 2 3 4 6 5 7 8 9 L L L L L L L L L L A B C D EFG H I J K L M NO P Q R A { } L B {} L C {} L D {} L E { }

More information

SonicWALL SSL-VPN 4000 導入ガイド

SonicWALL SSL-VPN 4000 導入ガイド COMPREHENSIVE INTERNET SECURITY SonicWALL セキュリティ装置 SonicWALL SSL-VPN 4000 導入ガイド 1 2 3 4 5 6 7 8 9-1 2 - 3 1 4 - 5 2 1. 2. 3 6 3 1. 2. 3. 4. 5. - 7 4 4 8 1. 2. 3. 4. 1. 2. 3. 4. 5. - 9 6. 7. 1. 2. 3. 1.

More information

ソケット電気プラグとソケットコネクター 圧力スイッチ レベルスイッチ フローセンサー 方向制御弁 フィルター用

ソケット電気プラグとソケットコネクター 圧力スイッチ レベルスイッチ フローセンサー 方向制御弁 フィルター用 ソケット電気プラグとソケットコネクター 圧力スイッチ レベルスイッチ フローセンサー 方向制御弁 フィルター用 電気プラグとソケットコネクター 電気プラグとソケットは動作信号をモニタリングするために集中潤滑テクノロジにおいて多種の油圧設備に使用されます 製品概要 ソケットカプラーの概要 アプリケーション 注文番号 標準タイプ 圧力スイッチ フローセンサー フルターモニター レベルスイッチ ピストン分配器

More information

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices XAPP858 (v1.1) 2007 1 9 : Virtex-5 FPGA Virtex-5 DDR2 SDRAM : Karthi Palanisamy Maria George (v1.1) DDR2 SDRAM Virtex -5 I/O ISERDES (Input Serializer/Deserializer) ODDR (Output Double Data Rate) DDR2

More information

Xilinx XAPP721 High-Performance DDR2 SDRAM Interface Data Capture Using ISERDES and OSERDES, Application Note

Xilinx XAPP721 High-Performance DDR2 SDRAM Interface Data Capture Using ISERDES and OSERDES, Application Note XAPP721 (v2.1) 2007 年 10 月 12 日 アプリケーションノート : Virtex-4 FPGA ISERDES と OSERDES を使用した高性能 DDR2 SDRAM インターフェイスのデータキャプチャ著者 : Maria George 本資料は英語版 (v2.1) を翻訳したものです 英語の更新バージョンがリリースされている場合には 最新の英語版を必ずご参照ください 概要

More information

Microsoft Word - quick_start_guide_16 1_ja.docx

Microsoft Word - quick_start_guide_16 1_ja.docx Quartus Prime ソフトウェア ダウンロードおよびインストール クイック スタート ガイド 2016 Intel Corporation. All rights reserved. Intel, the Intel logo, Intel FPGA, Arria, Cyclone, Enpirion, MAX, Megacore, NIOS, Quartus and Stratix words

More information

PSP-3000 MHB

PSP-3000 MHB PSP-3000 MHB PSP PSP 4-261-883-01(1) 5 VCCI PSP-3000 2 2.4GHz2.400GHz 2.497GHz 2.4GHz 1. 2. 2.4GHz DS-SS 40m http://www.scei.co.jp/psp-eula/ 3 PSP................4...........................5 x........................12.....................15

More information

untitled

untitled SUBJECT: Applied Biosystems Data Collection Software v2.0 v3.0 Windows 2000 OS : 30 45 Cancel Data Collection - Applied Biosystems Sequencing Analysis Software v5.2 - Applied Biosystems SeqScape Software

More information

1 2 3 4 5 6 7 2.4 DSOF 4 1 1 POWER LINK AIR 1 1 1 1 1 1 POWER LINK AIR 1 1 DC-IN SET RESET WAN PC1 PC2 PC3 PC4 1 POWER LINK AIR 1 POWER PC1 PC2 PC3 PC4 DC-IN DC5V LINK AIR 1 1 1

More information

Readme

Readme ---------------------------------------------------- PaperStream Capture Lite 1.0.1 README ---------------------------------------------------- Copyright PFU LIMITED 2016 このファイルには 本製品をお使いになる前にお読みいただきたい注意事項や

More information

NOTICE The information contained in this document is believed to be accurate in all respects but is not warranted by Mitel Networks Corporation (MITEL

NOTICE The information contained in this document is believed to be accurate in all respects but is not warranted by Mitel Networks Corporation (MITEL MiVoice 6725ip Microsoft Lync Phone 41-001367-06 REV02 クイックスタートガイド NOTICE The information contained in this document is believed to be accurate in all respects but is not warranted by Mitel Networks Corporation

More information

1 2 3 4 5 6 7 2.4 DSOF 4 POWER LINK AIR 1 1 1 1 1 1 POWER LINK AIR 1 1 DC-IN SET RESET WAN PC1 PC2 PC3 PC4 1 1 POWER LINK AIR DC-IN DC5V PC1 PC2 PC3 PC4 1 POWER LINK AIR 1 1 1 2 3 4

More information

SketchBook Express V6.0.1

SketchBook Express V6.0.1 SketchBook Express V6.0.1 Copyrights and Trademarks Autodesk SketchBook Express v6.0.1 2012 Autodesk, Inc. All Rights Reserved. Except as otherwise permitted by Autodesk, Inc., this publication, or parts

More information

Xpand! Plug-In Guide

Xpand! Plug-In Guide Xpand! Version 1.0 Copyright 2006 Digidesign, a division of Avid Technology, Inc. All rights reserved. This guide may not be duplicated in whole or in part without the express written consent of Digidesign.

More information

X-Form Plug-in Guide

X-Form Plug-in Guide X-Form Plug-in Version 7.2 Copyright 2006 Digidesign, a division of Avid Technology, Inc. All rights reserved. This guide may not be duplicated in whole or in part without the express written consent of

More information

Software Tag Implementation in Adobe Products

Software Tag Implementation in Adobe Products 2011 Adobe Systems Incorporated. All rights reserved. Software Tagging in Adobe Products Tech Note Adobe, the Adobe logo, and Creative Suite are either registered trademarks or trademarks of Adobe Systems

More information

珠肌 for Video ユーザーマニュアル

珠肌 for Video ユーザーマニュアル 動画用美肌フィルタープラグイン ユーザーマニュアル Ver.1.0 目次 1. 珠肌 for Video について... 1 1.1. はじめに... 1 1.2. 必要システム構成... 1 2. インストールとアクティベーション... 2 2.1. 珠肌 for Video をインストールする... 2 2.2. アクティベーションする... 4 2.3. インストールの確認... 5 2.4.

More information

XAPP1185, ARM® DS-5 ツールチェーンを使用した Zynq-7000 プラットフォーム ソフトウェアの開発, アプリケーション ノート

XAPP1185, ARM® DS-5 ツールチェーンを使用した Zynq-7000 プラットフォーム ソフトウェアの開発, アプリケーション ノート アプリケーションノート : Zynq-7000 All Programmable SoC XAPP1185 (v1.0) 2013 年 11 月 18 日 ARM DS-5 ツールチェーンを使用した Zynq-7000 プラットフォームソフトウェアの開発著者 : Simon George Prushothaman Palanichamy 概要 この文書では ARM Development Studio

More information

PX-B750F

PX-B750F NPD4539-00 ...6... 6... 9 Mac OS X...10 Mac OS X v10.5.x v10.6.x...10 Mac OS X v10.4.11...13...16...16...17...18...20...22!ex...22...23...26...27...27...28...28...30 Web...30...30...34...34...34...35...36...36...38...40...40...44...46...51

More information

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装 LIM Corp. Nios II - PIO を使用した I 2 C-Bus (2 ワイヤ ) マスタの実装 ver.1.0 2010 年 6 月 ELSEN,Inc. 目次 1. はじめに... 3 2. 適用条件... 3 3. システムの構成... 3 3-1. SOPC Builder の設定... 3 3-2. PIO の設定... 4 3-2-1. シリアル クロック ライン用 PIO

More information

PSP-3000

PSP-3000 PSP-3000 PSP PSP 4-115-361-02(1) 5 VCCI PSP-3000 2.4GHz2.400GHz 2.497GHz 2.4GHz 1. 2. 2.4GHz DS-SS 40m 2 PSP DNAS Dynamic Network Authentication System DNAS PSP PSP ID http://www.scei.co.jp/psp-eula/ 3

More information

ベース0516.indd

ベース0516.indd QlikView QlikView 2012 2 qlikview.com Business Discovery QlikTech QlikView QlikView QlikView QlikView 1 QlikView Server QlikTech QlikView Scaling Up vs. Scaling Out in a QlikView Environment 2 QlikView

More information

PPTフォーム(white)

PPTフォーム(white) Spartan-6 概要 株式会社 PALTEK Engineering Group Proprietary to PALTEK CORPORATION 1 アジェンダ Spartan-6 導入 概要 Spartan-6 アーキテクチャ CLB ブロック RAM SelectIO クロック DSP メモリコントローラブロック (MCB) GTP 2 概要 ( ファミリ ) Virtex-6 LXT

More information

XCN Product Discontinuation Notice For Development Systems Products

XCN Product Discontinuation Notice For Development Systems Products 開発システム製品の製造中止通知 XCN10002 (v1.0) 2010 年 1 月 11 日 製造中止製品の通知 概要 この通知は 一部の開発システム製品が製造中止となることをお知らせするものです 内容 ザイリンクスは定期的に製品の見直しを実施しており その結果 次の製品の製造を中止することを決定いたしました この通知と併せて これまでに発行された製造中止通知もご一読下さい 過去の通知は次のサイトから入手できます

More information

スライド 1

スライド 1 IBM Bluemix www.bluemix.net IBM Bluemix オンラインセミナー 今からはじめる Bluemix シリーズ 第 13 回 Bluemix アカウント管理 料金 日本アイ ビー エム株式会社クラウド ソフトウェア事業部 テクニカル セールス李展飛 目次 IBM Bluemix のアカウント管理機能 組織 スペース ユーザー ドメイン 割り当て量 料金 契約形態 利用状況の確認

More information

LB IC Semiconductor Components Industries, LLC, 2013 August, 2013

LB IC Semiconductor Components Industries, LLC, 2013 August, 2013 http://onsemi.jp IC Semiconductor Components Industries, LLC, 2013 August, 2013 Δ Δ Δ μ μ μ Δ μ Δ μ μ μ μ μ μ μ μ μ Δ Δ μ μ μ μ μ μ μ μ μ μ μ 36 19 0.5 5.6 7.6 1 0.3 18 0.2 15.0 1.5 1.7max (0.7) 0.8 0.1

More information

AXI USB 2.0 デバイス : バルク転送およびアイソクロナス転送における

AXI USB 2.0 デバイス : バルク転送およびアイソクロナス転送における XAPP891 (v1.0) 2012 年 12 月 19 日 アプリケーションノート : Kintex- 7 ファミリ AXI USB 2.0 デバイス : バルク転送およびアイソクロナス転送におけるパフォーマンスの検証著者 : Ravi Kiran Boddu Dinesh Kumar 概要 このアプリケーションノートでは バルクおよびアイソクロナストランザクションにおいて AMBA (Advanced

More information

操作ガイド(本体操作編)

操作ガイド(本体操作編) J QT7-0030-V04 1 ...5...10...11...11...11...12...12...15...21...23...25...29...32...38...43...44...50...52...55...55...59...60...61...61...62...63...64...65...66...67...69...69...70...71...72...73...84

More information