XAPP1064 : ソース同期のシリアライズおよびデシリアライズ (最大 1050 Mb/s)

Size: px
Start display at page:

Download "XAPP1064 : ソース同期のシリアライズおよびデシリアライズ (最大 1050 Mb/s)"

Transcription

1 アプリケーションノート : Spartan-6 FPGA XAPP1064 (v1.1) 2010 年 6 月 3 日 ソース同期のシリアライズおよびデシリアライズ ( 最大 1050Mb/s) 著者 : Nick Sawyer 概要 Spartan -6 は 入力 SerDes (ISERDES) および出力 SerDes () ブロックを備えています これらのプリミティブによって より高速な動作が実現されると同時に シリアライズ回路およびデシリアライズ回路の設計が簡単になります このアプリケーションノートでは これらのプリミティブを入力遅延ブロックや位相検出回路と併用しながら 効率的に使用する方法について説明します ISERDES および のガイドライン Spartan-6 Spartan-6 FPGA の各入力 / 出力ブロック (IOB) には 4 ビットの入力 SerDes と 4 ビットの出力 SerDes が 1 つずつあります 隣接する 2 つの SerDes ブロック ( マスターとスレーブ ) をカスケード接続すると 8 ビットのブロックを構成できます これにより I/O クロックがシングルレートとダブルデータレートのいずれの場合でも 出力と入力の両方で 2:1 ~ 8:1 の SerDes 機能が可能になります 差動信号規格は 2 つの SerDes レジスタと関連する 2 つの IOB ( マスターとスレーブ ) を使用するため この規格の信号を使用しているときは ISERDES ブロックをカスケード接続しても問題になりません つまり 2 つの ISERDES を効果的に使用することでデザインコストを削減できます ただし シングルエンド信号規格を使用する場合は 5 以上の SerDes 比または位相検出モードを必要とするデザインで注意が必要です カスケード接続された SerDes を使用する場合 マスター IOB とスレーブ IOB が隣接しているデバイスに 2 つのデータラインは入力できません この制限は SerDes 比が 4 以下で位相検出モードを使用しない場合には SerDes がカスケード接続されないため 該当しません 一方 位相検出モードを使用しないときは キャリブレーション中にデータ損失が生じるため アプリケーションでこの損失を考慮する必要があります デシリアライズとデータ受信 デシリアライザーのデザインと関連するクロッキングプリミティブは 入力される受信データストリームのフォーマットによって異なります このデータは 通常 3 つに分類されます ケース 1 データストリームは入力クロックレートの倍数で クロック信号は受信データのフレーミング信号として使用されます データラインのステートはクロックの 1 周期間に複数回変化します 代表的な例として カメラ フラットパネル TV モニターなどで使用される 7:1 インターフェイスがあります これ以外にも Spartan-6 FPGA の ISERDES は 2:1 3:1 4:1 をサポートし カスケード時には 5:1 6:1 7:1 8:1 も可能です この例では 受信クロックは PLL で逓倍され 生成された高速キャプチャクロックは BUFPLL プリミティブを介して入力ロジックへ供給されます BUFPLL キャプチャクロックは 入力データに対して常にシングルデータレート (SDR) モードで使用されるように設計されています たとえば 7:1 データに伴う 150MHz の入力クロックは 1050MHz (150 x 7) で動作するために PLL と BUFPLL を必要とします この高速キャプチャクロックを使用して受信データを入力デシリアライザーへ送り デバイスの全体を駆動できます その後 元の入力クロック速度で FPGA ロジックにパラレルデータが現れます 図 1 に 7:1 のデータフォーマットの例を示しています Xilinx, Inc. XILINX, the Xilinx logo, Virtex, Spartan, ISE, and other designated brands included herein are trademarks of Xilinx in the United States and other countries. All other trademarks are the property of their respective owners. XAPP1064 (v1.1) 2010 年 6 月 3 日 japan.xilinx.com 1

2 デシリアライズとデータ受信 X-Ref Target - Figure 1 Receive 7 to 1 Data D0 D1 D2 D3 D4 D5 D6 Receive Clock x1064_01_ 図 1 : 低速クロックを使用する 7:1 データストリーム ケース 2 データストリームは入力クロックの 2 倍で これは一般にダブルデータレート (DDR) 受信として知られています 図 2 に DDR データストリームを示します クロックの各遷移は データラインのステートの変化を示しています このようなデータの受信には 2 つの方法があります 1 つは PLL および BUFPLL を使用する方法です ( ケース 1 参照 ) この場合 入力クロックを PLL で 2 逓倍し BUFPLL を介することでデバイス全体が使用可能になります もう 1 つは プリミティブを使用する方法で 2 つの を用いて DDR データを受信します が駆動できるのは クロック入力が位置しているデバイスの片側半分のみです デシリアライズ係数 ( 比 ) は ユーザーが選択できます (2:1 4:1 6:1 8:1) パラレルデータに必要な分周クロックは いずれか一方の プリミティブを介して生成されます SDR のキャプチャクロックを生成するには プリミティブを 2 つ使用して 入力される DDR クロックを 2 逓倍する必要があります X-Ref Target - Figure 2 Receiver 8:1 Data D0 D1 D2 D3 D4 D5 D6 D7 Received Clock (Clock polarity is not important for DDR) x1064_02_ 図 2 : DDR を使用する 8:1 データストリーム ケース 3 データストリームのレートはレシーバークロックと同じ (SDR) です 図 3 に SDR データストリームを示します クロック遷移が 2 回生じるごとにデータビットが 1 回 通常はクロックの立ち上がりエッジで変化します このようなデータストリームの受信には 2 つの方法があります 1 つは受信クロックを PLL で 1 逓倍し BUFPLL を使用してデバイス全体でのデータを受信します あるいは または PLL を 1 つ使用して クロック入力が位置しているデバイスの片側半分の入力を駆動します は デシリアライズされたパラレルデータで使用できるように 受信クロックを分周する場合にも使用されます SDR では 2:1 3:1 4:1 5:1 6:1 7:1 および 8:1 が可能です X-Ref Target - Figure 3 Receiver 8:1 Data D0 D1 D2 D3 D4 D5 D6 D7 Received Clock (Clock rising edge indicates the start of a data bit) x1064_03_ 図 3 : SDR を使用する 8:1 データストリーム XAPP1064 (v1.1) 2010 年 6 月 3 日 japan.xilinx.com 2

3 デシリアライズとデータ受信 より大きなデシリアライズ係数 データ受信では 8:1 より大きな比率のデシリアライズが可能です PLL を使用し 高速 I/O キャプチャクロックと低速パラレルデータクロックの中間速度を持つ 3 つ目のクロックを生成できます リファレンスデザインファイル には 10:1 12:1 14:1 および 16:1 の SerDes を使用するサンプルデザインが含まれています この場合も基本的に 入力 SerDes プリミティブを 5:1 6:1 7:1 および 8:1 モードで使用し BUFPLL を介した PLL からの高速キャプチャクロックでデータを受信します 受信したパラレルデータは 中間クロックドメインで FPGA ロジックへ転送され その後 同じく FPGA ロジックにある 2:1 ギアボックスを用いてメインクロックドメインへ転送されます 図 4 に この構造を示します これら例のように大きなデシリアライズ比で PLL を使用する場合 レシーバークロックは SDR DDR または分周クロックが可能です X-Ref Target - Figure 4 serdes_1_to_n_clk_pll_s16_diff.v/vhd Differential Clock IOB LVDS Clock P ISERDES BITSLIP Calibration and Bitslip State Machine BITSLIP LVDS Clock N PLLX = PLL multiplier CLKIN_PERIOD = Input clock period BS = TRUE/FALSE to use Bitslip (or not) Feedback FB (0) PLL ISERDES BUFPLL BUFG BUFG Feedback x1 Clock x2 Clock SerDes Strobe I/O Clock serdes_1_to_n_data_s16_diff.v/vhd D Differential Data IOB LVDS Data P LVDS Data N D = Number of data lines ISERDES ISERDES DxS Calibration and Phase Detection State Machine Gearbox BITSLIP D x S x1064_04_ 図 4 : デシリアライズ係数が大きい場合のデータ受信 PLL および BUFPLL を使用するデータ受信 PLL および BUFPLL を使用するデータ受信のトポロジはシンプルです 必要に応じてレシーバークロックを PLL で逓倍し シングルデータレートの内部キャプチャクロックを生成します 入力クロック信号は 入力遅延ブロック ( データパス遅延のバランスをとるため ) と プリミティブを通って PLL へ入力される必要があります たとえば 7:1 のビデオデータの場合 受信したピクセルクロックを 7 で逓倍します クロック信号は PLL から BUFPLL プリミティブへ配線され デバイス全体を駆動します BUFPLL へ高速キャプチャクロックを駆動できる出力は PLL の CLKOUT0 と CLKOUT1 のみです BUFPLL には 逓倍されていない元のソースクロックと同等のグローバルクロック信号 ( グローバルバッファー (BUFG) を介する任意の PLL 出力から駆動可能 ) と PLL からの LOCKED 信号 (BUFPLL 内での同期に必要 ) が必要です XAPP1064 (v1.1) 2010 年 6 月 3 日 japan.xilinx.com 3

4 デシリアライズとデータ受信 BUFPLL へのこれら 3 つの入力信号によって デバイスの同じ片側にある入力遅延ブロックおよび SerDes プリミティブへ高速レシーバークロックを分配でき また位相が適切に揃えられた SerDes ストローブ信号を用いることで 入力 SerDes から FPGA ロジックへ低速パラレルデータを確実に転送できます データ受信に PLL を使用する場合は PLL でスキュー調整が必要です FB プリミティブを利用して クロック入力ピンがある入力 SerDes プリミティブの I/O クロックデスティネーションから PLL へ戻るようにフィードバッククロック信号を配線します これによって 逓倍したクロックと元の受信クロックの位相が一致します データのセンタリングおよびキャプチャを確実に行う構造は IODELAY2 プリミティブをベースとしており 入力遅延モードでのみ使用されます この構造については 入力データおよびクロックの遅延 で説明しています 図 5 に レシーバーのブロック図を示します X-Ref Target - Figure 5 serdes_1_to_n_clk_pll_s8_diff.v/vhd Differential Clock IOB LVDS Clock P ISERDES BITSLIP Calibration and Bitslip State Machine BITSLIP LVDS Clock N PLLX = PLL multiplier CLKIN_PERIOD = Input clock period BS = TRUE/FALSE to use Bitslip (or not) Feedback FB (0) PLL ISERDES BUFPLL BUFG Feedback x1 Clock SerDes Strobe I/O Clock serdes_1_to_n_data_s8_diff.v/vhd D Differential Data IOB LVDS Data P LVDS Data N D = Number of data lines ISERDES (SDR Mode) ISERDES (SDR Mode) Calibration and Phase Detection State Machine BITSLIP D x S x1064_05_ 図 5 : PLL および BUFPLL を使用するデータ受信 を 2 つ使用する DDR データ受信 を 2 つ使用する DDR データ受信のトポロジでは PLL を介さず 入力クロックを使用して直接データをキャプチャします 入力クロックは データとクロックの遅延のバランスをとるために入力遅延ブロックを介して供給されます 図 6 に示すような差動信号の場合 True 信号と Complement 信号がマスター入力遅延とスレーブ入力遅延 ( 共に 0 に設定 ) を通って 2 つの プリミティブに入ります 最初の は True 入力と Complement 入力を両方受信し これらを使用して適切な分周クロックおよび入力 SerDes プリミティブの SerDes ストローブ信号を生成します たとえば レシーバークロックが 311MHz (622Mb/s データ ) で 8:1 SerDes 機能を必要とするデザインでは 分周値は 8 に設定され True と Complement のレシーバークロックで駆動されている が実際に入力クロックを 4 分周して 77.75MHz のクロックを生成します 生成された I/O クロックは もう 1 つの で生成された別の ( 反転した ) I/O クロックと共に入力 SerDes プリミティブへ配線されます XAPP1064 (v1.1) 2010 年 6 月 3 日 japan.xilinx.com 4

5 デシリアライズとデータ受信 これら 2 つのクロックは入力 SerDes で 2 逓倍されて 622Mb/s データ用の 622MHz サンプリングクロックが生成されます は クロック入力が位置しているデバイスの片側半分に配置される必要があり 入力遅延を利用する場合にデバイスのもう一方側ににある別の は同時に使用できません つまり 入力遅延を利用する場合のデータバスの受信は クロック入力のある片側半分に限られます データのセンタリングおよびキャプチャを確実に行う構造は IODELAY2 プリミティブをベースとしており 入力遅延モードでのみ使用されます この構造については 入力データおよびクロックの遅延 で説明しています 図 6 に レシーバーのブロック図を示します X-Ref Target - Figure 6 serdes_1_to_n_clk_ddr_s8_diff.v/vhd BUFG Differential Clock IOB LVDS Clock P (0) _2CLK LVDS Clock N (0) N I/O Clock SerDes Strobe P I/O Clock System Clock serdes_1_to_n_data_s8_ddr_diff.v/vhd D Differential Data IOB LVDS Data P LVDS Data N D = Number of data lines (DDR) (DDR) ISERDES (DDR Mode) ISERDES (DDR Mode) Calibration and Phase Detection State Machine User BITSLIP D x S x1064_06_ 図 6 : を 2 つ使用する DDR データ受信 を使用する SDR データ受信 を使用する SDR データ受信のトポロジでは 入力クロックを使用して直接データをキャプチャします クロック信号は 遅延ブロック (0 に設定 ) を介して に供給されます は この入力クロック使用して適切な分周クロックおよび入力 SerDes プリミティブの SerDes ストローブ信号を生成します たとえば レシーバークロックが 525MHz (525Mb/s データ ) で 8:1 SerDes 機能を必要とするデザインでは 分周値が 8 に設定された が入力クロックを 8 分周して MHz のクロックを生成します 生成された I/O クロックは データキャプチャ用として入力 SerDes プリミティブへ配線されます この場合の制限要因は クロック入力ピンを通過することができる最大クロック周波数です は クロック入力が位置しているデバイスの片側半分に配置される必要があり 入力遅延を利用する場合にデバイスのもう一方側ににある別の は同時に使用できません つまり 入力遅延を利用する場合のデータバスの受信は クロック入力のある片側半分に限られます データのセンタリングおよびキャプチャを確実に行う構造は IODELAY2 プリミティブをベースとしており 入力遅延モードでのみ使用されます この構造については 入力データおよびクロックの遅延 で説明しています 図 7 に レシーバーのブロック図を示します XAPP1064 (v1.1) 2010 年 6 月 3 日 japan.xilinx.com 5

6 デシリアライズとデータ受信 X-Ref Target - Figure 7 serdes_1_to_n_clk_sdr_s8_diff.v/vhd Differential Clock IOB BUFG LVDS Clock P LVDS Clock N (0) SerDes Strobe I/O Clock System Clock serdes_1_to_n_data_s8_diff.v/vhd D Differential Data IOB LVDS Data P LVDS Data N D = Number of data lines ISERDES (SDR Mode) ISERDES (SDR Mode) Calibration and Phase Detection State Machine User BITSLIP D x S x1064_07_ 図 7 : を使用する SDR データ受信 入力データおよびクロックの遅延 Spartan-6 FPGA のデータキャプチャ構造は 入力遅延プリミティブ (IODELSY2) がベースとなっています 各遅延タップは PVT によって変動するため 定期的なキャリブレーションが必要です このセクションでは 継続的にデータをキャプチャするためにキャリブレーションを定期的に行う構造について説明します 図 8 に 入力遅延プリミティブの構造を示します このようなデータキャプチャでは キャプチャクロックと入力データの遅延が正確に一致することが重要です それには 入力クロックが へ配線される前 または を介して PLL へ配線される前に 0 に設定された入力遅延ブロックを通過する必要があります この方法によって クロックパスとデータパスの挿入遅延が等しくなり データアイの中央で確実にデータをキャプチャできるようにデータ遅延を変更できます 受信クロックとデータが到達するエッジが一致することを前提とした場合 アイの中央でデータがサンプリングされるように データ遅延をキャプチャクロック周期の半分に設定する必要があります これには 入力遅延プリミティブが備えるキャリブレーション機能が必要です キャリブレーションコマンド (CAL) が発行 ( 低速クロックの 1 サイクル分 CAL を High にアサート ) されると 入力遅延ブロックはデータを 1/2 ビット周期分遅延させるのに必要な遅延タップエレメント数を内部で判断し この半分の値となるように遅延ラインを設定します 高速キャプチャクロック自体は 周波数の基準として使用されます たとえば キャリブレーション回路が入力キャプチャクロックを判断し その時点の電圧と温度では 入力ビット周期が 24 遅延タップに相当するとします 遅延タップ数を 12 に設定すると データを 1/2 ビット周期分遅延させることができ データが適切にキャプチャされます その後 次のキャリブレーションで値がそれぞれ 26 と 13 に変更されると 入力遅延の値が自動的に更新されます 入力遅延ブロックでキャリブレーションプロセスが進行している間は受信データが失われます これは一部のプロトコルでは問題ではありませんが データが継続するプロトコルでは問題となります キャリブレーション中のデータ損失を回避するには 入力遅延ブロックにさらに位相検出モードを追加します XAPP1064 (v1.1) 2010 年 6 月 3 日 japan.xilinx.com 6

7 位相検出回路とボードのスキュー調整 位相検出モードでは 入力データ信号が 2 つの入力遅延ブロックへ同時に供給されます これらのプリミティブはマスターとスレーブとして扱われます このモードの場合 スレーブ入力遅延で それ自体とマスター入力遅延の両方の動作を制御するように設定します 受信データはマスター遅延ブロックから取得し 位相検出回路でスキューを調整する必要がない場合には スレーブ遅延ブロックの出力は通常無視されます スキュー調整機能については 位相検出回路とボードのスキュー調整 で説明しています 前の例を用いて考えると キャリブレーションコマンドが発行されると スレーブ入力遅延ブロックはキャプチャクロックの 1 周期分に相当するタップ数を計算します この場合 24 となり マスター入力遅延をこの値の半分 12 に設定します マスター入力遅延の更新は 入力データストリームと同期されるため キャリブレーション中あるいは遅延値の更新時にデータが失われることはありません DDR モードの場合 キャリブレーション用に測定および使用されるキャプチャクロックは 実際は 2 つの I/O クロック信号が結合された信号となります たとえば DDR データのキャプチャに使用される 311MHz の入力クロックは 入力 SerDes と入力遅延プリミティブで 2 逓倍されます つまり 入力ビットレートと等しい 622MHz のクロックが生成されます X-Ref Target - Figure 8 SDR I/O Sampling Clock Sample Points I/O Sampling Clock(s) or DDR I/O Sampling Clock 1 Input Data Output Data DDR I/O Sampling Clock 2 IODELAY2 RST CAL System Clock Input Data Output Data before CAL and RST Output Data following CAL and RST Calibration Period x1064_08_ 図 8 : 入力遅延プリミティブ リセット (RST) および CAL は 設計者のステートマシンに基づいて動作します CAL を 1 クロック周期間アサートするとキャリブレーションが実行され その時点の PVT での 1 ビット周期に相当する遅延タップ数が決定されます RST を 1 クロック周期間アサートすると 先ほど決定した値の半分が遅延ラインにロードされます 位相検出モードの場合 CAL ファンクションを実行してもデータのインテグリティに影響を及ぼしません RST は 最初の CAL ファンクション後にのみ High 駆動する必要があり その後の CAL ファンクションには必要ありません 位相検出回路とボードのスキュー調整 Spartan-6 FPGA の位相検出回路には 2 つの定義があります 位相検出モードは キャリブレーション中にスレーブ入力遅延がマスター入力遅延を効率的に制御して マスター遅延が ( 遅延は別として ) データを変更することなく受け渡すことができるようし データの損失を回避するために使用されます 位相検出回路は通常 関連する入力クロックから何らかの理由でスキューのあるデータを受信できるようにするために 入力 SerDes プリミティブ内の専用ロジックを使用します 外部ステートマシンから制御する必要がある位相検出ロジックの役割は 入力遅延を適切に調節し レシーバーのサンプリングクロックが受信データのアイの中央に位置するようにすることです これにより サンプリング回路のパフォーマンスが最大化し エラーのない高ビットレートのデータ受信が可能になります XAPP1064 (v1.1) 2010 年 6 月 3 日 japan.xilinx.com 7

8 位相検出回路とボードのスキュー調整 図 9 に 位相コントローラーの動作を示します I/O サンプリングクロックは BUFPLL や を 1 つ使用する場合 あるいは を 2 つ使用して 2 つのクロックが供給される場合のいずれでも常に SDR となります 入力データの初期オフセット値は 最初のトレースペアで示しています キャリブレートされたマスター入力遅延を通過するデータは 1/2 クロック周期 ( あるいは 個々の遅延ラインで可能な限り 1/2 クロック周期に近い値 ) 分の遅延が与えられます スレーブを通るデータの初期遅延値は 0 で これは結果 (VALID および INCDEC) がそれぞれパイプライン化されている限り 実際には 1 ビット周期分の遅延を与えたことと同じになります このタイミング図では わかりやすくするために スレーブを通るデータパイプラインステージは示していません 図 9 の最初のトレースペアが示すとおり サンプリングポイントはデータアイの中央に位置していません さらに マスター遅延 ( マスター入力 SerDes 内 ) からのサンプルは スレーブ遅延 ( スレーブ入力 SerDes 内 ) からのサンプルと同じです 入力データのステート変更後 位相検出回路がテストされている両方の信号が同じ値であると判断し マスター入力 SerDes の 2 つの出力ピン (VALID および INCDEC) でこれを示します 有効な遷移が検出された場合には 常に VALID が High にアサートされます INCDEC は サンプルクロックをデータアイの中央へ移動するための遅延調整の方向を示します 設計者のステートマシンはこのデータに対して作用し スレーブ入力遅延の CE ピンおよび INCDEC ピンで 入力遅延プリミティブへ適切にインクリメントまたはデクリメントするように命令します CE は 遅延調整に必要な方向が適切に設定された INCDEC と共に 1 システムクロックサイクル間 High にアサートされます 図 9 の 2 つ目のトレースペアは スレーブ入力 SerDes へデクリメントコマンドが発行された結果を示しています 遅延は 1 タップ分削減されています マスター遅延は ½ MAX 1 (MAX は 入力データおよびクロックの遅延 で説明したキャリブレーションによって求められた値であり 有効な最大遅延 256 タップのことではない ) で スレーブ入力遅延は MAX 1 となります サンプリング回路は 2 つのサンプル ( マスターとスレーブ ) が同じであると判断し スレーブ入力遅延にもう 1 つデクリメントコマンドを発行します このデクリメント後の結果が図 9 の 3 つ目のトレースペアで ここでも 2 つのサンプルが同じであるため デクリメントコマンドが 1 つスレーブ入力遅延へ発行されます 図 9 の 4 つ目のトレースペアでは マスター遅延が ½ MAX 3 で スレーブ遅延が MAX 3 となり このときに入力 SerDes プリミティブで取得した 2 つのサンプルが異なります これは スレーブ入力遅延へインクリメントコマンドを発行する必要があることを 制御ステートマシンに指示しています このコマンドによって 結果は図 9 の 3 つ目のトレースペアのステートへ戻ることになります これら 2 つの点は サンプリングクロックがデータアイの中央に位置する理想的な条件に相当するため 回路はこれら付近で移動します 実際のシリコンでは 入力クロックおよびデータにはジッターが生じますが 動作の本質は同じで サンプリングポイントは常にアイの中央付近を維持します すべてのサンプルデザインには 32 ビットのフィルターを使用するステートマシンが含まれているため ジッターがある場合でも遅延を適切に制御できます 入力遅延は 定期的に再キャリブレーションを行って電圧や温度による遅延の変化に対応する必要があります キャリブレーションコマンドは データの受信に影響を与えませんが 位相検出回路が認識するサンプリングポイントの現在の位置に影響を及ぼします 再キャリブレーションによって MAX 値は変動しますが 位相検出回路では新しい MAX 値を用いて透過的に動作を継続します したがって ½ MAX は両方の遅延プリミティブにロードされます XAPP1064 (v1.1) 2010 年 6 月 3 日 japan.xilinx.com 8

9 シリアライズとデータ送信 X-Ref Target - Figure 9 Sample Points I/O Sampling Clock MAX = Number of Taps in Bit Period PCB or Other Data to Clock Skew Offset Input Data From Delayed by 0 (= MAX) Offset Input Data From Delayed by ½MAX Decrement Delays Offset Input Data From Delayed by (MAX 1) Offset Input Data From Delayed by (½MAX 1) Decrement Delays Offset Input Data From Delayed by (MAX 2) Offset Input Data From Delayed by (½MAX 2) Decrement Delays Offset Input Data From Delayed by (MAX 3) Offset Input Data From Delayed by (½MAX 3) Increment Delays x1064_09_ 図 9 : 位相検出回路を使用した入力データのスキュー調整 シリアライズとデータ送信 データ受信と同様 シリアライズ回路およびそれに関連するクロッキングプリミティブのデザインは 送信データストリームおよび転送クロックのフォーマットによって異なります 必要な出力転送クロックとデータストリームが同時にステートを変更するかや同じ送信クロックから生成されるか あるいは必要な出力転送クロックが SDR で 各ビット遷移でステート変更が 2 回行われるかによってデザインは違ってきます LVDS など一部の出力規格は Spartan-6 デバイスの上半分または下半分でのみ使用できます ケース 4 必要な出力転送クロックとデータストリームは同じタイミングでステートを変更し 同一の送信クロックから生成されます 図 10 に このようなデータストリームの一般的な使用例を示します 転送クロックは カメラ フラットパネル TV モニターなどで使用される 7:1 インターフェイスです これ以外にも 出力 SerDes は 2:1 3:1 4:1 をサポートし カスケード時には 5:1 6:1 7:1 8:1 も可能です このケースには 図 11 に示す 6:1 SerDes の DDDR クロックの転送も含まれます SDR 内部トランスミッタークロックは 内部トランスミッタークロックの周波数ソースによって PLL と BUFPLL を 1 つ または を 2 つ使用して生成できます XAPP1064 (v1.1) 2010 年 6 月 3 日 japan.xilinx.com 9

10 シリアライズとデータ送信 X-Ref Target - Figure 10 Internal Global Clock Internal Transmitter Clock Transmitted 7-Bit Data D0 D1 D2 D3 D4 D5 D6 Forwarded Clock = Parallel data loaded into internal transmitter clock domain Parallel data loaded into internal transmitter clock domain x1064_10_ 図 10 : 低速の転送クロックを使用する 7:1 出力データストリーム X-Ref Target - Figure 11 Internal Global Clock Internal Transmitter Clock Transmitted 6-Bit Data D0 D1 D2 D3 D4 D5 Forwarded Clock = Parallel data loaded into internal transmitter clock domain Parallel data loaded into internal transmitter clock domain x1064_11_ 図 11 : DDR 転送クロックを使用する出力データストリーム ケース 5 必要な出力転送クロックは SDR で 各ビット遷移でステートが二度変更します この場合 2 つの方法があります 1 つ目の方法 ( 図 12) では 内部トランスミッタークロックを 1 つ使用し 0101 などのパターンを送信することで SDR 転送クロックを生成し 同じレートで各データビットを 2 回送信します データは 2 回のクロック遷移に対して一度しか変更していないように見えます この方法では 内部トランスミッタークロックの周波数ソースによって PLL と BUFPLL を 1 つ または を 2 つ使用できます この場合のデメリットは 有効な出力 SerDes 比がカスケード接続を使用した場合の 8 ではなく 4 であることです 一方 BUFPLL を 1 つしか使用しない点がメリットです 2 つ目の方法 ( 図 13) では PLL を 1 つと BUFPLL を 2 つを使用して 2 つのトランスミッタークロックを生成する必要があります 一方のトランスミッタークロックは SDR 転送クロックの生成に もう一方 ( 速度は最初のクロックの半分 ) は転送データの生成に使用されます この方法のメリットは 出力 SerDes をカスケード接続した場合の最大出力 SerDes 比の 8 が利用できることです ただし デバイスの各側にある 2 つの BUFPLL を共に使用する上 グローバルバッファー 1 つを追加で使用する必要があるというデメリットがあります XAPP1064 (v1.1) 2010 年 6 月 3 日 japan.xilinx.com 10

11 シリアライズとデータ送信 X-Ref Target - Figure 12 Internal Transmit Clock from or PLL :1 2 Cascade Forwarded Transmit Clock :1 2 D3 D3 D2 D2 4:1 2 Transmitted 4:1 Data Cascade D1 D1 D0 D0 4:1 2 Internal Transmitter Clock Transmitted 4:1 Data D0 D1 D2 D3 ForwardedTransmit Clock Clock rising edge indicates the start of a data bit x1064_12_ 図 12 : SDR の転送クロックを使用する出力データストリーム ( トランスミッタークロックが 1 つの場合 ) XAPP1064 (v1.1) 2010 年 6 月 3 日 japan.xilinx.com 11

12 シリアライズとデータ送信 X-Ref Target - Figure 13 Internal x2 Transmit Clock from PLL :1 2 Cascade Forwarded Transmit Clock :1 2 Internal x1 Transmit Clock from PLL D7 D6 D5 D4 4:1 2 Cascade Transmitted 8:1 Data D3 D2 D1 D0 4:1 2 Internal x2 Transmitter Clock Internal x1 Transmitter Clock Transmitted 8:1 Data D0 D1 D2 D3 D4 D5 D6 D7 ForwardedTransmit Clock Clock rising edge indicates the start of a data bit x1064_13_ 図 13 : SDR の転送クロックを使用する出力データストリーム ( トランスミッタークロックが 2 つの場合 ) より大きなシリアライズ係数 データ送信では PLL を使用し 高速送信クロックと低速パラレルデータクロックの中間速度を持つ 3 つ目のクロックを生成することで 8:1 より大きな比率のシリアライズが可能です リファレンスデザインファイル には 10:1 12:1 14:1 および 16:1 の SerDes を使用するサンプルデザインが含まれています この場合も基本的に 出力 SerDes プリミティブを 5:1 6:1 7:1 および 8:1 モードで使用し BUFPLL を介した PLL からの高速クロックでデータを送信します 送信用のパラレルデータは FPGA ロジックにある 2:1 ギアボックスを用いてメインクロックドメインから中間クロックドメインへ転送され 中間クロックドメインで FPGA ロジックから出力 SerDes へ転送されます 図 14 に この構造を示します PLL を使用する場合 外部トランスミッタークロックは SDR DDR または分周クロックが可能です XAPP1064 (v1.1) 2010 年 6 月 3 日 japan.xilinx.com 12

13 シリアライズとデータ送信 X-Ref Target - Figure 14 Differential Clock IOB clock_generator_pll_s16_diff.v/vhd LVDS Clock P LVDS Clock N PLLX = PLL multiplication factor CLKIN_PERIOD = Input clock period System Clock PLL BUFPLL BUFG BUFG SerDes Strobe X1 Clock I/O Clock serdes_n_to_1_s16_diff.v/vhd D x S-Bit Transmitter Data from FPGA Logic 2:1 Gearbox D Data Lines D = Number of data lines serdes_n_to_1_s16_diff.v/vhd Constant Data to Generate Appropriate Clock Output 2:1 Gearbox Forwarded Clock Parameters D = 1 x1064_14_ 図 14 : デシリアライズ係数が大きい場合のデータ送信 PLL および BUFPLL を使用するデータ送信 PLL および BUFPLL を使用するデータ送信のトポロジはシンプルです 必要に応じてトランスミッターのソースクロックを PLL で逓倍し SDR のトランスミッタークロックを生成します たとえば 7:1 のビデオデータの場合 内部のピクセルクロックを 7 で逓倍します このクロックは PLL から BUFPLL プリミティブへ配線され デバイス全体を駆動します LVDS の送信は デバイスの上半分または下半分でのみ可能です BUFPLL へ高速クロックを駆動できる出力は PLL の CLKOUT0 と CLKOUT1 のみです BUFPLL には 逓倍されていない元のソースクロックと同等のグローバルクロック信号 ( グローバルバッファー (BUFG) を介する任意の PLL 出力から駆動可能 ) と PLL からの LOCKED 信号 (BUFPLL 内での同期に必要 ) が必要です これら 3 つの入力信号によって デバイスの同じ片側にある出力 SerDes プリミティブへ高速送信クロックを分配でき また位相が適切に揃えられた SerDes ストローブ信号を用いることで FPGA ロジックから出力 SerDes への低速パラレルデータを確実にキャプチャできます このパラレルデータはその後 高速送信クロックを用いて出力用にシリアライズされます 転送クロック出力は クロックラインに関連している出力 SerDes へ一定値を送信することによって同じように生成されます たとえば 8:1 のデータに関連している転送 DDR クロックには パターン が必要です 7:1 ビデオアプリケーションの転送クロックには または が必要です 図 15 に 必要な回路と出力波形を示します XAPP1064 (v1.1) 2010 年 6 月 3 日 japan.xilinx.com 13

14 シリアライズとデータ送信 データ送信のためだけに PLL を使用する場合は PLL でのスキュー調整は不要です したがって PLL のフィードバック用 OUT ピンを直接 IN ピンへ接続して内部フィードバックを利用します X-Ref Target - Figure 15 Differential Clock IOB clock_generator_pll_s8_diff.v/vhd LVDS Clock P LVDS Clock N PLL BUFPLL BUFG PLLX = PLL multiplication factor CLKIN_PERIOD = Input clock period System Clock SerDes Strobe I/O Clock serdes_n_to_1_s8_diff.v/vhd D x S-Bit Transmitter Data from FPGA Logic D Data Lines D = Number of data lines serdes_n_to_1_s8_diff.v/vhd Constant Data to Generate Appropriate Clock Output Forwarded Clock D = 1 x1064_15_ 図 15 : PLL および BUFPLL を使用するデータ送信 XAPP1064 (v1.1) 2010 年 6 月 3 日 japan.xilinx.com 14

15 シリアライズとデータ送信 1 つの FPGA で同じ規格のデータ受信とデータ送信を実行している場合 送信と受信で PLL および BUFPLL を共有できます 1 つの PLL は 同じクロックで 1 つまたは 2 つの BUFPLL を駆動できます PLL はデバイスの上半分および下半分にありますが 各 PLL はそれぞれの側に関連付けられています 図 16 に 接続例を示します PLL が 4 つあるいはそれ以下のデバイスでは その位置にかかわらず どの PLL も BUFPLL を駆動可能です PLL が 5 つのデバイスでは デバイスの上半分にある真ん中の PLL は BUFPLL を駆動できません PLL の入力クロックは プリミティブを通るクロック入力から そのクロックピンおよび と同じ側 ( デバイス上部または下部 ) に配置されている PLL へ入ります スキュー調整が必要な部分にフィードバック回路を設計する場合は PLL へのクロックを駆動している の隣にある プリミティブを介す必要があります フィードバックは PLL と同じ側 ( デバイス上部または下部 ) に配置されている FB からのみ配線できます X-Ref Target - Figure 16 FB T0 T1 T2 T3 T4 T5 T6 T7 FB BUFPLL BUFPLL BUFPLL BUFPLL Can Drive Any BUFPLL Cannot Drive Any BUFPLL CLK2 PLL CLK1 CLK2 PLL CLK1 Top-half PLLs can be driven by top-half with feedback (if required) through top-half FB FB L6 L4 L7 L5 Can Drive Any BUFPLL CLK2 PLL CLK1 R1 R3 R0 R2 FB FB L2 L0 L3 L1 Can Drive Any BUFPLL CLK2 PLL CLK1 R5 R7 R4 R6 FB Cannot Drive Any BUFPLL Can Drive Any BUFPLL CLK2 PLL CLK1 CLK2 PLL CLK1 Bottom-half PLLs can be driven by top-half with feedback (if required) through bottom-half FB FB B7 B6 B5 B4 B3 B2 B1 B0 FB BUFPLL BUFPLL x1064_16_ 図 16 : PLL と BUFPLL の接続 XAPP1064 (v1.1) 2010 年 6 月 3 日 japan.xilinx.com 15

16 シリアライズとデータ送信 1 つの PLL と 2 つの BUFPLL を使用する SDR 転送クロックによるデータ送信 このデータ送信方法のトポロジはシンプルです 必要に応じてトランスミッターのソースクロックを PLL で逓倍し 2 つの SDR トランスミッタークロックを生成します 一方のクロックの周波数はもう一方の 2 倍です これらのクロックは デバイスの同じ片側にある PLL から 2 つの BUFPLL プリミティブへ配線されます それぞれは全体を駆動できますが LVDS の送信は デバイスの上半分または下半分でのみ可能です BUFPLL へ高速クロックを駆動できる出力は PLL の CLKOUT0 と CLKOUT1 のみです データ送信用の BUFPLL には 逓倍されていない元のソースクロックと同等のグローバルクロック信号 ( グローバルバッファー (BUFG) を介する任意の PLL 出力から駆動可能 ) と PLL からの LOCKED 信号 (BUFPLL 内での同期に必要 ) が必要です また クロック送信用の BUFPLL には 元のクロックソースの 2 倍の周波数で動作する BUFG が必要で これは任意の PLL 出力から生成可能です BUFPLL へのこれら 3 つの入力信号によって デバイスの同じ片側にある出力 SerDes プリミティブへ高速送信クロックを分配でき また位相が適切に揃えられた SerDes ストローブ信号を用いることで FPGA ロジックから出力 SerDes への低速パラレルデータを確実にキャプチャできます このパラレルデータはその後 高速送信クロックを用いて出力用にシリアライズされます 転送クロック出力は クロックラインに関連している出力 SerDes へ一定値を送信することによって同じように生成されますが レートは内部クロックレートの 2 倍になります たとえば 8:1 のデータに関連している転送 DDR クロックには パターン が必要です 図 17 に 必要な回路と出力波形を示します データ送信のためだけに PLL を使用する場合は PLL でのスキュー調整は不要です したがって PLL のフィードバック出力ピンを直接出力ピンへ接続して内部フィードバックを利用できます X-Ref Target - Figure 17 Differential Clock IOB LVDS Clock P LVDS Clock N PLL BUFPLL BUFPLL BUFG System Clock Internal x2 Transmit Clock and SerDes Strobe Internal x1 Transmit Clock and SerDes Strobe x1064_17_ 図 17 : PLL および BUFPLL を使用する SDR データ送信 XAPP1064 (v1.1) 2010 年 6 月 3 日 japan.xilinx.com 16

17 シリアライズとデータ送信 を 2 つ使用するデータ送信 送信に必要なビットレートの 1/2 のソーストランスミッタークロックが利用可能な場合は プリミティブを 2 つ使用して出力データと転送クロックを生成できます たとえば 311MHz の入力クロックは 622Mb/s でデータを生成し 311MHz の転送クロックを生成できます Spartan-6 FPGA の各入力クロックピンは 遅延プリミティブが使用されない限り 一方のプライマリ の非反転入力と もう一方のプライマリ の反転入力へ接続されます これにより 位相が 180 ずれた 2 つのクロックが使用可能になります これらの 2 つのクロックは 出力 SerDes とクロック入力がデバイスの同じ片側にある場合には DDR 動作用に設定された各出力 SerDes 内で 2 倍にできます さらに 入力遅延プリミティブが使用されないことを前提とすると ( 通常 データ送信では使用されない ) 入力クロックはデバイスの反対側にある サイトにも供給可能なため 4 つの を使用してデバイス全体を駆動することができます の一方を使用して 内部パラレルデータの低速クロックおよび出力 SerDes プリミティブで必要な SerDes ストリームローブキャプチャ信号を生成します 低速クロックの出力は 必要な SerDes 比で分周された後 グローバルバッファを介して分配されます たとえば 8:1 データ伝送が必要な場合は 311MHz の入力クロックが 8 分周されます 図 18 に この例の回路と波形を示します X-Ref Target - Figure 18 Differential Clock IOB clock_generator_ddr_diff.v/vhd BUFG LVDS Clock P LVDS Clock N (USE_DOUBLER=TRUE) BUFIO (I_INVERT=TRUE) System Clock N I/O Clock serdes_n_to_1_s8_ddr_diff.v/vhd SerDes Strobe P I/O Clock D x S-Bit Transmitter Data From FPGA Logic (DDR) D Data Lines Parameters D = Number of data lines (DDR) Constant Data to Generate Appropriate Clock Output serdes_n_to_1_s8_ddr_diff.v/vhd (DDR) Forwarded Clock Parameters D = 1 (DDR) x1064_18_ 図 18 : を 2 つ使用するデータ送信 XAPP1064 (v1.1) 2010 年 6 月 3 日 japan.xilinx.com 17

18 シリアライズとデータ送信 を 1 つ使用するデータ送信 送信に必要なビットレートと等しいソーストランスミッタークロックが利用可能な場合は プリミティブを 1 つ使用して出力データと DDR 転送クロックを生成できます たとえば 622MHz の入力クロックは 622Mb/s でデータを生成し 311MHz の転送クロックを生成できます 入力クロックピンは関連する へ接続され デバイスの同じ片側にある関連する出力 SerDes すべてを駆動できます 入力クロックはデバイスの反対側にある にも供給可能です さらに 入力遅延プリミティブが使用されないことを前提とすると ( 通常 データ送信では使用されない ) 2 つの を使用してデバイス全体を駆動することができます を使用して 内部パラレルデータの低速クロックおよび出力 SerDes プリミティブで必要な SerDes ストリームローブキャプチャ信号を生成します 低速クロックの出力は 必要な SerDes 比で分周された後 グローバルバッファを介して分配されます たとえば 8:1 データ伝送が必要な場合は 622MHz の入力クロックを 8 分周します 図 19 に この例の回路と波形を示します X-Ref Target - Figure 19 Differential Clock IOB clock_generator_sdr_diff.v/vhd BUFG LVDS Clock P LVDS Clock N System Clock serdes_n_to_1_s8_diff.v/vhd SerDes Strobe I/O Clock D x S-Bit Transmitter Data from FPGA Logic D Data Lines D = Number of data lines Constant Data to Generate Appropriate Clock Output serdes_n_to_1_s8_diff.v/vhd Forwarded Clock D = 1 x1064_19_ 図 19 : を 2 つ使用するデータ送信 XAPP1064 (v1.1) 2010 年 6 月 3 日 japan.xilinx.com 18

19 シリアライズとデータ送信 2 つの BUFPLL を使用する SDR 転送クロックによるデータ送信 このデータ送信のトポロジは データ送信用にシングルおよびダブルレートモードで使用される と 関連する SDR 転送クロックを組み合わせます ローカルトランスミッターの入力ソースクロックは 2 つの へ接続され これらを使用して転送クロックに関連している出力 SerDes 内で 2 倍のクロックが生成されます 一方 出力データラインに関連している出力 SerDes は 2 倍になっていないクロックを使用します たとえば 622MHz の入力クロックがある場合 これをデータ出力 SerDes プリミティブへ接続し 622Mb/s のデータを生成できます また 両方の クロックとクロック出力 SerDes で DDR モードを使用することで 一定値パターン を用いて 622MHz のクロックを再生成することも可能です は 必要な SerDes 比と等しい分周パラメーターを設定することで 分周クロックを提供するように設定されます たとえば 622MHz の入力クロックを 8 分周すると内部システムクロックが 77.75MHz の 8:1 出力 SerDes 動作が実現します 図 20 に この例の回路と波形を示します X-Ref Target - Figure 20 Differential Clock IOB LVDS Clock P LVDS Clock N clock_generator_ddr_s8_diff.v/vhd BUFG (USE_DOUBLER=TRUE) BUFIO (I_INVERT=TRUE) System Clock D x S-bit Transmitter Data from FPGA Logic SerDes Strobe I/O N I/O Clock Clock serdes_n_to_1_s8_ddr_diff.v/vhd SerDes Strobe P I/O Clock D Data Lines D = Number of data lines Constant Data to Generate Appropriate Clock Output serdes_n_to_1_s8_diff.v/vhd Forwarded Clock D = 1 x1064_20_ 図 20 : を 2 つ使用する SDR データ送信 XAPP1064 (v1.1) 2010 年 6 月 3 日 japan.xilinx.com 19

20 設計に関する考察事項 設計に関する考察事項 シングルエンドのデータおよびクロックを使用するトランスミッター トランスミッターの例すべてで 差動クロックおよびデータ信号を使用しています 送信でシングルエンドのクロックまたはデータ信号が必要な場合 SerDes 係数が 4 より大きい場合に制約が生じます デザインファイル (serdes_n_to_1_s8_se.v/vhd) に SerDes 係数が 2 ~ 8 の場合のシングルエンド出力 ( 転送クロックまたはデータのいずれか ) 用のシリアライザーロジックが含まれています SerDes 係数が 4 以下の場合 2 を 1 つのみ使用して隣接するデバイスピンで 1 つのデータバスを形成します SerDes 係数が 5 ~ 8 の場合は 2 が 2 つ必要となり アクティブな出力 ( マスター つまり _p ピン ) の隣りのピンは 同期出力として使用しないようにブロックします ( 必要なロジックはすでに使用されているため ) シングルエンドのデータおよびクロックを使用するレシーバー レシーバーの例すべてで 差動クロックおよびデータ信号を使用しています 受信したクロック / データがシングルエンド信号の場合 制約が生じます 差動データ信号と差動クロック 提供されているすべてのデザインファイルおよびテクニックが有効です シングルエンドデータ信号と差動クロック クロックは 前の例で示したように受信されます データは デザインファイル (serdes_1_to_n_data_s8_se.v/vhd) を使用して受信されます SerDes 係数が 4 以下で位相検出回路を使用しない場合 レシーバーは ISERDES2 を 1 つのみ使用して隣接するデバイスピンで 1 つのデータバスを形成します SerDes 係数が 5 ~ 8 で位相検出回路を使用する場合は ISERDES2 が 2 つ必要となり アクティブな入力 ( マスター つまり _p ピン ) の隣りのピンは 同期入力として使用しないようにブロックします ( 必要なロジックはすでに使用されているため ) 差動データ信号とシングルエンドクロック データは 前の例で示したように受信されます PLL クロッキングが必要なとき クロックは デザインファイル (serdes_1_to_n_clk_pll_s8_se.v/vhd) を使用して受信されます SerDes 係数が 4 以下の場合 ( またはまったく必要ない場合 ) クロックレシーバーは IISERDES2 を 1 つのみ使用して隣接するクロックピンを使用します SerDes 係数が 5 ~ 8 の場合は ISERDES2 が 2 つ必要となり アクティブなクロック入力 ( マスター つまり _p クロックピン ) の隣りのクロックピンは 同期入力として使用できません ( 必要なロジックはすでに使用されているため ) ただし このピンはクロック入力として使用できます SDR の データ受信が必要な場合は ファイル (serdes_1_to_n_clk_sdr_s8_se.v/vhd) を使用します SerDes 係数が 4 以下の場合 ( またはまったく必要ない場合 ) クロックレシーバーは IISERDES2 を 1 つのみ使用して隣接するクロックピンを使用します SerDes 係数が 5 ~ 8 の場合は ISERDES2 が 2 つ必要となり アクティブなクロック入力 ( マスター つまり _p クロックピン ) の隣りのクロックピンは 同期入力として使用できません ( 必要なロジックはすでに使用されているため ) ただし このピンはクロック入力として使用できます DDR の データ受信はさらに複雑です シングルエンドクロックピンは 入力遅延を介して の 1 つにしか供給できないため DDR 受信で必要となる 2 つの へのアクセスは制限されます この場合のソリューションとして 入力のシングルエンドクロックを同時に 2 つの入力ピンへ供給します マスター (_p) ピンは 入力遅延を介して 1 つ目の へ直接接続し スレーブ (_n) ピンは IOB でクロックを反転させてから 2 つ目の入力遅延を介して 2 つ目の へ接続します この例のデザインファイルは serdes_1_to_n_clk_ddr_s8_se.v/vhd です XAPP1064 (v1.1) 2010 年 6 月 3 日 japan.xilinx.com 20

21 レシーバのタイミング解析 シングルエンドデータとクロック信号 データは デザインファイル (serdes_1_to_n_data_s8_se.v/vhd) を使用して受信されます SSerDes 係数が 4 以下で位相検出回路を使用しない場合 レシーバーは ISERDES2 を 1 つのみ使用して隣接するデバイスピンで 1 つのデータバスを形成します SerDes 係数が 5 ~ 8 で位相検出回路を使用する場合は ISERDES2 が 2 つ必要となり アクティブな入力 ( マスター つまり _p ピン ) の隣りのピンは 同期入力として使用できません ( 必要なロジックはすでに使用されているため ) SDR の データ受信が必要な場合は ファイル (serdes_1_to_n_clk_sdr_s8_se.v/vhd) を使用します SerDes 係数が 4 以下の場合 ( またはまったく必要ない場合 ) クロックレシーバーは IISERDES2 を 1 つのみ使用して隣接するクロックピンを使用します SerDes 係数が 5 ~ 8 の場合は ISERDES2 が 2 つ必要となり アクティブなクロック入力 ( マスター つまり _p クロックピン ) の隣りのクロックピンは 同期入力として使用できません ( 必要なロジックはすでに使用されているため ) ただし このピンはクロック入力として使用できます DDR の データ受信はさらに複雑です シングルエンドクロックピンは 入力遅延を介して の 1 つにしか供給できないため DDR 受信で必要となる 2 つの へのアクセスは制限されます この場合のソリューションとして 入力のシングルエンドクロックを同時に 2 つの入力ピンへ供給します マスター (_p) ピンは 入力遅延を介して 1 つ目の へ直接接続し スレーブ (_n) ピンは IOB でクロックを反転させてから 2 つ目の入力遅延を介して 2 つ目の へ接続します この例のデザインファイルは serdes_1_to_n_clk_ddr_s8_se.v/vhd です レシーバのタイミング解析 レシーバーのタイミング解析では タイミングのエラーやばらつきのさまざまな要因をビット周期から差し引きます ( 単位はビットレートに相当するピコ秒 (ps)) この解析後に残った値が システムで有効なマージンとなります この値が正の場合は システムには十分なマージンがあり 正常に機能することを意味します レシーバースキューマージン (RSKM) は 同様のデシリアライズ機能を実行する ASSP やその他のデバイスのデータシートでよく見かける仕様です この値は ビット周期からレシーバーに内在するばらつきのみを差し引いて 2 で除算したものです 図 21 に RSKM を示します X-Ref Target - Figure 21 Bit Period Clock Uncertainty/2 RSKM Sample Window RSKM Clock Uncertainty/2 x1064_21_ 図 21 : レシーバースキューマージン XAPP1064 (v1.1) 2010 年 6 月 3 日 japan.xilinx.com 21

22 レシーバのタイミング解析 位相検出回路を使用しない場合のばらつき このアプリケーションノートで説明しているインターフェイスで キャリブレーション回路を使用し 位相検出回路は未使用の場合には 次のようなものがタイミングのばらつきの要因になります すべての不一致およびシリコンの特性による変動は 1 つのパラメーター (T SAMP_ ) で表されます この値は LVDS 信号を使用する Spartan-6 デバイスすべてで特性評価で 480ps 以内となっています この値には IOB フリップフロップ内で同期化されたクロックに対してデータが有効に現れるタイミングを示すデバイスのセットアップ / ホールドウィンドウが含まれます (UI ( ユニットインターバル ) 遅延の 1/2 になるように入力データラインがキャリブレートされることを前提とする ) パッケージスキュー この値は パッケージにおける入力ラインの配置によって変動し デザインを解析した際に TRACE からわかります クロックツリースキュー のクロックは 完全なクロックツリー方式で設計されているため IOB スキューは非常に小さくなります 各デバイスの正確な値および配置は FPGA Editor で得ることができます ジッターおよびタイミングのばらつき クロッキングウィザード (ISE の Core Generator ツールに含まれる ) がトランスミッター PLL で累積されたジッターの値を生成します 位相検出回路を使用する場合のばらつき このアプリケーションノートで説明しているインターフェイスで 位相検出回路を使用する場合には 次のようなものがタイミングのばらつきの要因になります 位相検出機能の精度 FPGA ロジック内の位相検出回路およびステートマシンのデザインによってサンプリングポイントが決まり これは理想的なサンプリングポイントの ±2 遅延タップ以内です PLL が生成するサンプリングクロックの位相エラーは ばらつきの要因になりません パッケージスキュー この値は パッケージにおける入力ラインの配置によって変動し デザインを解析した際に TRACE からわかります ジッターおよびタイミングのばらつき クロッキングウィザード (ISE の Core Generator ツールに含まれる ) がトランスミッター PLL で累積されたジッターの値を生成します 入力遅延ラインは アクティブな遅延ラインで最大 32 回まで使用される 8 グループのタップ遅延で構成されています ( 合計 256 タップ遅延に相当 ) DS162 : Spartan-6 FPGA データシート : DC 特性およびスイッチ特性 で 各タップの最大遅延値が指定されています 表 1 に 計算例を示します 表 1 : タップ間における最大遅延の計算例 遅延値の例計算連続する 4 タップの総遅延 T TAP1 61ps T TAP1 から T TAP5 の遅延 170ps T TAP2 77ps T TAP2 から T TAP6 の遅延 215ps T TAP3 140ps T TAP3 から T TAP7 の遅延 203ps T TAP4 166ps T TAP4 から T TAP8 の遅延 258ps T TAP5 231ps T TAP5 から T TAP1 の遅延 170ps T TAP6 292ps T TAP6 から T TAP2 の遅延 215ps XAPP1064 (v1.1) 2010 年 6 月 3 日 japan.xilinx.com 22

23 トランスミッターのタイミング解析 表 1 : タップ間における最大遅延の計算例 ( 続き ) 遅延値の例 計算 連続する 4 タップの総遅延 T TAP7 343ps T TAP7 から T TAP3 の遅延 203ps T TAP8 424ps T TAP8 から T TAP4 の遅延 258ps 表 1 の例を解析した結果 4 タップ間のワーストケース遅延値は 258ps となりました この例によると 位相検出機能を使用する場合には ±129ps の遅延値をすべての計算に用いることが推奨されます 別の RSKM 計算例 ( 表 2) では 945Mb/s でデータ転送を行うために 135MHz で動作する入力クロックを用いて PLL で 945MHz まで逓倍しています 表 2 : RSKM 計算例 945Mb/s でのビット周期パッケージスキュー ( 正確な値は TRACE を確認 ) PLL ジッター ( クロッキングウィザードより ) 位相検出機能の精度合計 RSKM = 合計 /2 1058ps -120ps -112ps -129ps 697ps 349ps トランスミッターのタイミング解析 このアプリケーションノートで説明しているインターフェイスでは 次のようなものがタイミングのばらつきの要因になります パッケージスキュー この値は パッケージにおける入力ラインの配置によって変動し デザインを解析した際に TRACE からわかります ジッターおよびタイミングのばらつき クロッキングウィザード (ISE の Core Generator ツールに含まれる ) がトランスミッター PLL で累積されたジッターの値を生成します リファレンスデザインファイル このアプリケーションノートで説明したほぼすべてのサンプルデザインは Verilog と VHDL の両方で提供されています ( それぞれのファイル名は ここで説明したアプリケーションの図中に記載されています フラットパネルディスプレイやカメラで使用される 7:1 インターフェイスなど頻繁に利用されるアプリケーション用の最上位ファイルおよびタイミング制約のサンプルも含まれています データ入力モジュールおよびデータ出力モジュールは 入力幅 ( 入力ピンの数 ) と深さ ( 必要な SerDes 係数 ) を共にパラメーター指定可能で シングルエンド I/O と差動 I/O の両方の対応します また データレシーバーモジュールには 入力バスのスキュー調整が必要なサンプルデザインに位相検出ロジックの生成が必要かどうかを示す信号が含まれています 位相検出モードを常に有効にすることで データ損失を起こさずに入力遅延のキャリブレーションを実行できます 位相検出ロジックを追加すると より高いビットレートで信頼性の高い動作が可能になり 入力データの入力クロックに対する位相関係が不明な場合にも対応します レシーバーモジュールで生成されるパラレルデータは 出力ビット幅が深さで乗算されます たとえば 7:1 の 6 ビットバスを受信した場合 各システムクロックサイクルで 42 ビットを出力します 表 3 にリファレンスデザインの概要を示し 表 4 にデバイスのリソース使用率を示します XAPP1064 (v1.1) 2010 年 6 月 3 日 japan.xilinx.com 23

24 リファレンスデザインファイル 表 3 : リファレンスデザインの詳細 一般情報 開発元 ターゲットデバイス ソースコードの提供 ソースコードの形式 既存のリファレンスデザイン アプリケーションノート サードパーティ CORE Generator ツールからデザインへのコード /IP の使用 シミュレーション 機能シミュレーションの実施 タイミングシミュレーションの実施 機能およびタイミングシミュレーションでのテストベンチの利用 テストベンチの形式 使用したシミュレータ SPICE/IBIS シミュレーション ザイリンクス Spartan-6 FPGA あり VHDL Verilog なしありなしあり VHDL Verilog MXE なし インプリメンテーション 使用した合成ソフトウェアツール XST 11.3 使用したインプリメンテーションソフトウェアツール ISE 11.3 スタティックタイミング解析の実施 あり ハードウェア検証 ハードウェア検証の実施あり 検証に使用したハードウェアプラットフォーム SP601 および FMC101 表 4 : デバイス使用率 デザインファイル IODELAY2 PLL BUFPLL BUFG スライス ISERDES2 2 クロックジェネレーターデザイン clock_generator_ddr_s8_diff または clock_generator_pll_s16_diff clock_generator_pll_s8_diff clock_generator_sdr_s8_diff クロックレシーバー serdes_1_to_n_clk_ddr_s8_diff < または 2 serdes_1_to_n_clk_ddr_s8_se < または 2 serdes_1_to_n_clk_pll_s16_diff < または 2 serdes_1_to_n_clk_pll_s8_diff < または 2 serdes_1_to_n_clk_pll_s8_se 1 または < または XAPP1064 (v1.1) 2010 年 6 月 3 日 japan.xilinx.com 24

25 まとめ 表 4 : デバイス使用率 ( 続き ) デザインファイル IODELAY2 PLL BUFPLL BUFG スライス ISERDES2 2 serdes_1_to_n_clk_sdr_s8_diff < または 2 データレシーバー serdes_1_to_n_data_ddr_s8_diff serdes_1_to_n_data_ddr_s8_se 1 または 入力ラ 1 または 2 0 serdes_1_to_n_data_s16_diff インあ 2 0 serdes_1_to_n_data_s8_diff たり ~4 2 0 serdes_1_to_n_data_s8_se 1 または または 2 0 データトランスミッター serdes_n_to_1_ddr_s8_diff serdes_n_to_1_ddr_s8_se または 2 serdes_n_to_1_s16_diff serdes_n_to_1_s8_diff serdes_n_to_1_s8_se または 2 まとめ Spartan-6 FPGA は 最大 16:1 のシリアライズ / デシリアライズを必要とする多様なアプリケーションに対応し アプリケーションの種類 スピードグレードおよびパッケージによって最大 1050Mb/s でそれを実行します 改訂履歴 次の表に この文書の改訂履歴を示します 日付 バージョン 内容 2009 年 12 月 23 日 1.0 初版リリース 2010 年 6 月 3 日 1.1 レシーバのタイミング解析 および トランスミッターのタイミング解析 を追加 Notice of Disclaimer Xilinx is disclosing this Application Note to you AS-IS with no warranty of any kind.this Application Note is one possible implementation of this feature, application, or standard, and is subject to change without further notice from Xilinx.You are responsible for obtaining any rights you may require in connection with your use or implementation of this Application Note.XILINX MAKES NO REPRESENTATIONS OR WARRANTIES, WHETHER EXPRESS OR IMPLIED, STATUTORY OR OTHERWISE, INCLUDING, WITHOUT LIMITATION, IMPLIED WARRANTIES OF MERCHANTABILITY, NONINFRINGEMENT, OR FITNESS FOR A PARTICULAR PURPOSE.IN NO EVENT WILL XILINX BE LIABLE FOR ANY LOSS OF DATA, LOST PROFITS, OR FOR ANY SPECIAL, INCIDENTAL, CONSEQUENTIAL, OR INDIRECT DAMAGES ARISING FROM YOUR USE OF THIS APPLICATION NOTE. 本資料は英語版 (v1.1) を翻訳したもので 内容に相違が生じる場合には原文を優先します 資料によっては英語版の更新に対応していないものがあります 日本語版は参考用としてご使用の上 最新情報につきましては 必ず最新英語版をご参照ください XAPP1064 (v1.1) 2010 年 6 月 3 日 japan.xilinx.com 25

26 Notice of Disclaimer この資料に関するフィードバックおよびリンクなどの問題につきましては までお知らせください いただきましたご意見を参考に早急に対応させていただきます なお このメールアドレスへのお問い合わせは受け付けておりません あらかじめご了承ください XAPP1064 (v1.1) 2010 年 6 月 3 日 japan.xilinx.com 26

Virtex-6 FPGA で LVDS を使用した、1.25Gb/s での 4X 非同期オーバーサンプリング, アプリケーション ノート (XAPP881)

Virtex-6 FPGA で LVDS を使用した、1.25Gb/s での 4X 非同期オーバーサンプリング, アプリケーション ノート (XAPP881) アプリケーションノート : Virtex-6 FPGA XAPP881 (v1.0.1) 2010 年 7 月 25 日 Virtex-6 FPGA で LVDS を使用した 1.25Gb/s での 4X 非同期オーバーサンプリング著者 : Catalin Baetoniu Brandon Day 概要 Virtex -6 FPGA の SelectIO テクノロジによって 1.25Gb/s で 4X

More information

Virtex-6 Clocking

Virtex-6 Clocking Spartan-6 クロックリソース Proprietary to PALTEK CORPORATION 1 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 2 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 3 高速なクロッキング 新型アプリケーションには複雑なクロック要件が必要 : 高速クロック信号

More information

Xilinx XAPP485 Spartan-3E FPGA における最大レート 666Mbps でのデシリアライズ、アプリケーション ノート

Xilinx XAPP485 Spartan-3E FPGA における最大レート 666Mbps でのデシリアライズ、アプリケーション ノート XAPP485 (v1.1) 2006 11 10 R : Spartan-3E FPGA Spartan-3E FPGA 666Mbps 1:7 : Nick Sawyer (v1.1) Spartan -3E 666 / (Mbps) 1:7 Spartan-3E 4 5 666Mbps 1/7 Spartan-3E FPGA DCM ( ) DFS ( ) 3.5 DDR ( ) 1:7 DDR

More information

Xilinx XAPP721 High-Performance DDR2 SDRAM Interface Data Capture Using ISERDES and OSERDES, Application Note

Xilinx XAPP721 High-Performance DDR2 SDRAM Interface Data Capture Using ISERDES and OSERDES, Application Note XAPP721 (v2.1) 2007 年 10 月 12 日 アプリケーションノート : Virtex-4 FPGA ISERDES と OSERDES を使用した高性能 DDR2 SDRAM インターフェイスのデータキャプチャ著者 : Maria George 本資料は英語版 (v2.1) を翻訳したものです 英語の更新バージョンがリリースされている場合には 最新の英語版を必ずご参照ください 概要

More information

Xilinx XAPP523 LVDS 4x Asynchronous Oversampling Using 7 Series FPGAs, Application Note

Xilinx XAPP523 LVDS 4x Asynchronous Oversampling Using 7 Series FPGAs, Application Note アプリケーションノート : 7 シリーズ FPGA XAPP523 (v1.0) 2012 年 4 月 6 日 7 シリーズ FPGA で LVS を使用した 4x 非同期オーバーサンプリング著者 : Marc efossez 概要 このアプリケーションノートでは SelectIO インターフェイスプリミティブで LVS を使用して非同期通信でデータをキャプチャする方法について説明します この方法では

More information

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices XAPP858 (v1.1) 2007 1 9 : Virtex-5 FPGA Virtex-5 DDR2 SDRAM : Karthi Palanisamy Maria George (v1.1) DDR2 SDRAM Virtex -5 I/O ISERDES (Input Serializer/Deserializer) ODDR (Output Double Data Rate) DDR2

More information

PLL ダイナミック リコンフィギュレーション, アプリケーション ノート (XAPP879)

PLL ダイナミック リコンフィギュレーション, アプリケーション ノート (XAPP879) アプリケーションノート : Spartan-6 ファミリ XAPP7 (v1.1) 11 年 1 月 6 日 PLL ダイナミックリコンフィギュレーション著者 : Karl Kurbjun Carl Ribbing 概要 このアプリケーションノートは ダイナミックリコンフィギュレーションポート (DRP) を介して Spartan - 6 FPGA の位相ロックループ (PLL) のクロック出力の周波数

More information

外部SQLソース入門

外部SQLソース入門 Introduction to External SQL Sources 外部 SQL ソース入門 3 ESS 3 ESS : 4 ESS : 4 5 ESS 5 Step 1:... 6 Step 2: DSN... 6 Step 3: FileMaker Pro... 6 Step 4: FileMaker Pro 1. 6 Step 5:... 6 Step 6: FileMaker Pro...

More information

ScanFront300/300P セットアップガイド

ScanFront300/300P セットアップガイド libtiff Copyright (c) 1988-1996 Sam Leffler Copyright (c) 1991-1996 Silicon Graphics, Inc. Permission to use, copy, modify, distribute, and sell this software and its documentation for any purpose is hereby

More information

エレクトーンのお客様向けiPhone/iPad接続マニュアル

エレクトーンのお客様向けiPhone/iPad接続マニュアル / JA 1 2 3 4 USB TO DEVICE USB TO DEVICE USB TO DEVICE 5 USB TO HOST USB TO HOST USB TO HOST i-ux1 6 7 i-ux1 USB TO HOST i-mx1 OUT IN IN OUT OUT IN OUT IN i-mx1 OUT IN IN OUT OUT IN OUT IN USB TO DEVICE

More information

デザインパフォーマンス向上のためのHDLコーディング法

デザインパフォーマンス向上のためのHDLコーディング法 WP231 (1.1) 2006 1 6 HDL FPGA TL TL 100MHz 400MHz HDL FPGA FPGA 2005 2006 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx,

More information

ザイリンクス UG382 Spartan-6 FPGA クロック リソース ユーザー ガイド

ザイリンクス UG382 Spartan-6 FPGA クロック リソース ユーザー ガイド Spartan-6 FPGA クロックリソース [Guide ユーザー Subtitle] ガイド [optional] [optional] Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the

More information

2

2 NSCP-W61 08545-00U60 2 3 4 5 6 7 8 9 10 11 12 1 2 13 7 3 4 8 9 5 6 10 7 14 11 15 12 13 16 17 14 15 1 5 2 3 6 4 16 17 18 19 2 1 20 1 21 2 1 2 1 22 23 1 2 3 24 1 2 1 2 3 3 25 1 2 3 4 1 2 26 3 4 27 1 1 28

More information

PPTフォーム(white)

PPTフォーム(white) Spartan-6 概要 株式会社 PALTEK Engineering Group Proprietary to PALTEK CORPORATION 1 アジェンダ Spartan-6 導入 概要 Spartan-6 アーキテクチャ CLB ブロック RAM SelectIO クロック DSP メモリコントローラブロック (MCB) GTP 2 概要 ( ファミリ ) Virtex-6 LXT

More information

インターネット接続ガイド v110

インターネット接続ガイド v110 1 2 1 2 3 3 4 5 6 4 7 8 5 1 2 3 6 4 5 6 7 7 8 8 9 9 10 11 12 10 13 14 11 1 2 12 3 4 13 5 6 7 8 14 1 2 3 4 < > 15 5 6 16 7 8 9 10 17 18 1 2 3 19 1 2 3 4 20 U.R.G., Pro Audio & Digital Musical Instrument

More information

XAPP594 パラレル LVDS 高速 DAC インターフェイス アプリケーション ノート

XAPP594 パラレル LVDS 高速 DAC インターフェイス アプリケーション ノート アプリケーションノート : 7 シリーズ FPGA XAPP594 (v1.0) 2012 年 8 月 22 日 パラレル LVDS 高速 DAC インターフェイス著者 : Marc Defossez 概要 このアプリケーションノートでは ザイリンクス 7 シリーズ FPGA が備える専用の SelectIO インターフェイスシリアライザー () コンポーネントを使用し シリアル LVDS ( 低電圧差動信号

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

2011 Shinano Kenshi Co.,Ltd. ... 2... 12... 12... 18... 19... 21... 21... 23 1.2.1....23 1.2.2....24 1.2.3....26 1.2.4....27... 29... 30... 30... 31... 38... 39... 40 2 ...41...42...43...43 3.1.1... 44

More information

Xilinx XAPP645 Virtex-II Pro デバイス アプリケーション ノート『シングル エラー訂正およびダブル エラー 検出』

Xilinx XAPP645 Virtex-II Pro デバイス アプリケーション ノート『シングル エラー訂正およびダブル エラー 検出』 アプリケーションノート : Virtex-II Pro および Virtex-4 ファミリ R XAPP5 (v2.1) 2005 年 7 月 20 日 著者 : Simon Tam 概要 このアプリケーションノートでは Virtex -II Virtex-II Pro または Virtex-4 デバイスにおける Error Correction Control (ECC) モジュールのインプリメンテーションについて説明します

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

DS099-E09: XC3S5000 FPGA エラッタおよび Spartan-3 データシートの確認

DS099-E09: XC3S5000 FPGA エラッタおよび Spartan-3 データシートの確認 DS099-E09 (v2.5) 2006 年 12 月 14 日 XC3S5000 FPGA エラッタと Spartan-3 データシートの確認 エラッタ このエラッタは Spartan-3 XC3S5000 FPGA の量産デバイスおよびエンジニアリングサンプルの両方に適用されます その他の Spartan-3 FPGA では ここに記載したエラッタは該当しません 記載されている以外のデバイスをご使用の場合は

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

ScanFront 220/220P 取扱説明書

ScanFront 220/220P 取扱説明書 libtiff Copyright (c) 1988-1996 Sam Leffler Copyright (c) 1991-1996 Silicon Graphics, Inc. Permission to use, copy, modify, distribute, and sell this software and its documentation for any purpose is hereby

More information

ScanFront 220/220P セットアップガイド

ScanFront 220/220P セットアップガイド libtiff Copyright (c) 1988-1996 Sam Leffler Copyright (c) 1991-1996 Silicon Graphics, Inc. Permission to use, copy, modify, distribute, and sell this software and its documentation for any purpose is hereby

More information

DS-30

DS-30 NPD4633-00 JA ...6... 6... 6... 6... 6... 7... 7... 7... 7... 8... 8...9...10...11...11...13 Document Capture Pro Windows...13 EPSON Scan Mac OS X...14 SharePoint Windows...16 Windows...16...17 Document

More information

WQD770W WQD770W WQD770W WQD770W WQD770W 5 2 1 4 3 WQD8438 WQD770W 1 2 3 5 4 6 7 8 10 12 11 14 13 9 15 16 17 19 20 20 18 21 22 22 24 25 23 2 1 3 1 2 2 3 1 4 1 2 3 2 1 1 2 5 6 3 4 1 2 5 4 6 3 7 8 10 11

More information

「電子政府推奨暗号の実装」評価報告書

「電子政府推奨暗号の実装」評価報告書 2011 情財第 399 号 情報セキュリティ対策基盤整備事業 電子政府推奨暗号の実装 評価報告書 平成 24 年 12 月 [ 改訂履歴 ] 日付改訂内容 2012 年 12 月 11 日評価報告書初版発行 2012 年 12 月 21 日 2. 評価結果 内のデータを修正 ( 表 1-1 表 1-2 表 2-1 表 2-2 表 3-1 表 3-2 表 4-1 表 4-2 表 5-1 表 5-2

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

Chapter 1 1-1 2

Chapter 1 1-1 2 Chapter 1 1-1 2 create table ( date, weather ); create table ( date, ); 1 weather, 2 weather, 3 weather, : : 31 weather -- 1 -- 2 -- 3 -- 31 create table ( date, ); weather[] -- 3 Chapter 1 weather[] create

More information

EPSON ES-D200 パソコンでのスキャンガイド

EPSON ES-D200 パソコンでのスキャンガイド NPD4271-00 ...4...7 EPSON Scan... 7...11 PDF...12 / EPSON Scan...13 EPSON Scan...13 EPSON Scan...14 EPSON Scan...14 EPSON Scan...15 Epson Event Manager...16 Epson Event Manager...16 Epson Event Manager...16

More information

TH-47LFX60 / TH-47LFX6N

TH-47LFX60 / TH-47LFX6N TH-47LFX60J TH-47LFX6NJ 1 2 3 4 - + - + DVI-D IN PC IN SERIAL IN AUDIO IN (DVI-D / PC) LAN, DIGITAL LINK AV IN AUDIO OUT 1 11 2 12 3 13 4 14 5 6 15 7 16 8 17 9 18 10 19 19 3 1 18 4 2 HDMI AV OUT

More information

ES-D400/ES-D200

ES-D400/ES-D200 NPD4564-00 ...4...7 EPSON Scan... 7...11 PDF...12 / EPSON Scan...14 EPSON Scan...14 EPSON Scan...15 EPSON Scan...15 EPSON Scan...16 Epson Event Manager...17 Epson Event Manager...17 Epson Event Manager...17

More information

Microsoft PowerPoint LC_15.ppt

Microsoft PowerPoint LC_15.ppt ( 第 15 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 言語を使った設計 (2) 2.1 HDL 設計入門 2.2 FPGA ボードの設計デモ配布資料 VHDL の言語構造と基本文法 2.1 HDL 設計入門 EDAツール : メンター社製品が有名 FPGAベンダーのSW 1 1 仕様設計 にも簡易機能あり 2 3 2 HDLコード記述 3 論理シミュレーション 4 4 論理合成

More information

iPhone/iPad接続マニュアル

iPhone/iPad接続マニュアル / JA 2 3 USB 4 USB USB i-ux1 USB i-ux1 5 6 i-mx1 THRU i-mx1 THRU 7 USB THRU 1 2 3 4 1 2 3 4 5 8 1 1 9 2 1 2 10 1 2 2 6 7 11 1 2 3 4 5 6 7 8 12 1 2 3 4 5 6 13 14 15 WPA Supplicant Copyright 2003-2009, Jouni

More information

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用 WP-01034-1.0/JP DLL (PVT compensation) 90 PLL PVT compensated FPGA fabric 90 Stratix III I/O block Read Dynamic OC T FPGA Write Memory Run Time Configurable Run Time Configurable Set at Compile dq0 dq1

More information

Title Slide with Name

Title Slide with Name 自習 & ハンズオントレーニング資料 System Recovery 2013 R2 SR13R2-06 System Recovery Monitor ベリタステクノロジーズ合同会社 テクノロジーセールス & サービス統括本部セールスエンジニアリング本部パートナー SE 部 免責事項 ベリタステクノロジーズ合同会社は この文書の著作権を留保します また 記載された内容の無謬性を保証しません VERITAS

More information

WYE771W取扱説明書

WYE771W取扱説明書 WYE771W WYE771W 2 3 4 5 6 MEMO 7 8 9 10 UNLOCK RESET/ STOPALARM EMERG. TALK FIRE CONFIRM MENU OFF POWER 11 UNLOCK RESET/ STOPALARM EMERG. TALK FIRE CONFIRM MENU OFF POWER 12 POWER EMERG. RESET/ STOPALARM

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

Microsoft Word - 実験4_FPGA実験2_2015

Microsoft Word - 実験4_FPGA実験2_2015 FPGA の実験 Ⅱ 1. 目的 (1)FPGA を用いて組合せ回路や順序回路を設計する方法を理解する (2) スイッチや表示器の動作を理解し 入出力信号を正しく扱う 2. スケジュール項目 FPGAの実験 Ⅱ( その1) FPGAの実験 Ⅱ( その2) FPGAの実験 Ⅱ( その3) FPGAの実験 Ⅱ( その4) FPGAの実験 Ⅱ( その5) FPGAの実験 Ⅱ( その6) FPGAの実験 Ⅱ(

More information

Microsoft PowerPoint - PCIe_Seminar_LeCroyJapan.ppt

Microsoft PowerPoint - PCIe_Seminar_LeCroyJapan.ppt PCI Express の物理層 信号品質評価ソリューション レクロイ ジャパン株式会社プロダクト マーケティング辻嘉樹 http://www.lecroy.com/japan/ 目次 PCI Expressの仕様 PCI Expressの物理層の特徴 PCI Express 測定の諸条件 PCI Expressのコンプライアンス試験 補足 1 目次 PCI Expressの仕様 PCI Expressの物理層の特徴

More information

2

2 SXSXD 2 3 4 5 6 7 8 9 10 11 12 13 DC12V EIAJ RC5320A Class4 14 15 16 17 18 19 20 21 22 23 24 25 26 SCOPE CHART SCOPE CHART CHART SCOPE SCOPE SCOPE CHART CHART 27 SCOPE MODE CHART MODE 28 29 CHART MODE

More information

DS099-E04: XC3S400 FPGA エラッタおよび Spartan-3 データシートの確認

DS099-E04: XC3S400 FPGA エラッタおよび Spartan-3 データシートの確認 DS099-E04 (v2.5) 2006 年 12 月 14 日 XC3S400 FPGA エラッタおよび Spartan-3 データシートの確認 エラッタ これらのエラッタは Spartan-3 XC3S400 FPGA の量産デバイスおよびエンジニアリングサンプルの両方に適用されます その他の Spartan-3 FPGA では ここに記載したエラッタは該当しません 記載されている以外のデバイスをご使用の場合は

More information

TH-65LFE7J TH-50LFE7J TH-42LFE7J - + - + PC IN DVI-D IN IR IN/OUT CHARGE OUT SERIAL IN LAN AUDIO IN (DVI-D / PC) AUDIO OUT AV IN (HDMI 1 HDMI 2) 19 3 1 1 11 2 12 3 13 4 14 5 6 15 7 16 8 17 9 18 10

More information

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装 LIM Corp. Nios II - PIO を使用した I 2 C-Bus (2 ワイヤ ) マスタの実装 ver.1.0 2010 年 6 月 ELSEN,Inc. 目次 1. はじめに... 3 2. 適用条件... 3 3. システムの構成... 3 3-1. SOPC Builder の設定... 3 3-2. PIO の設定... 4 3-2-1. シリアル クロック ライン用 PIO

More information

機能検証トレーニング コース一覧

機能検証トレーニング コース一覧 機能検証トレーニング コース一覧 日本シノプシス合同会社 2016.03 トレーニング コース一覧 VCS/DVE 基本コース VCS-NLP/VC LP 基本コース VC Verification IP AXI 基本コース (UVM 版 ) VC Verification IP USB 基本コース (UVM 版 ) Verdi 3 基本コース SpyGlass Lint コース SpyGlass

More information

ES-D400/ES-D350

ES-D400/ES-D350 NPD4650-00 ...4 EPSON Scan... 4 Document Capture Pro Windows... 7 EPSON Scan...10 EPSON Scan...10...14 PDF...15 / EPSON Scan...17 EPSON Scan...17 EPSON Scan...18 EPSON Scan...18 Document Capture Pro Windows...19

More information

PX-403A

PX-403A NPD4403-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.x...15...18...19...19...21...22!ex...22 /...23 P.I.F. PRINT Image Framer...23...24...27...27...28...28...28...32 Web...32...32...35...35...35...37...37...37...39...39...40...43...46

More information

Quartus II クイック・スタートガイド

Quartus II クイック・スタートガイド ALTIMA Corp. Quartus II クイック スタートガイド ver.3.0 2010 年 8 月 ELSENA,Inc. 目次 1. はじめに... 3 2. Quartus II の基本操作フロー... 3 3. Quartus II の基本操作... 4 ステップ 1. プロジェクトの作成... 4 ステップ 2. デザインの作成... 4 ステップ 3. ファンクション シミュレーション...

More information

ザイリンクス XAPP1097 : Artix-7 FPGA GTP トランシーバーを使用した SMPTE SDI インターフェイスの実装

ザイリンクス XAPP1097 : Artix-7 FPGA GTP トランシーバーを使用した SMPTE SDI インターフェイスの実装 アプリケーションノート : Artix-7 ファミリ XAPP1097 (v1.0) 2013 年 12 月 5 日 Artix-7 FPGA GTP トランシーバーを使用した SMPTE SDI インターフェイスの実装著者 : John Snow 概要 放送業界向けの映像機器には SMPTE (Society of Motion Picture and Television Engineers)

More information

DS-70000/DS-60000/DS-50000

DS-70000/DS-60000/DS-50000 NPD4647-02 JA ...5...7...8 ADF...9... 9 ADF...10...11...13...15 Document Capture Pro Windows...15 EPSON Scan Mac OS X...16 SharePoint Windows...18 Windows...18...19 Windows...19 Mac OS X...19...20...23...23

More information

PX-504A

PX-504A NPD4537-00 ...6... 6... 9 Mac OS X...10 Mac OS X v10.5.x v10.6.x...10 Mac OS X v10.4.11...13...15...16...16...18...19...20!ex...20 /...21 P.I.F. PRINT Image Framer...21...22...26...26...27...27...27...31

More information

Microsoft Word - N-TM307取扱説明書.doc

Microsoft Word - N-TM307取扱説明書.doc Page 1 of 12 2CHGATEANDDELAYGENERATORTYPE2 N-TM307 取扱説明書 初版発行 2015 年 10 月 05 日 最新改定 2015 年 10 月 05 日 バージョン 1.00 株式会社 テクノランドコーポレーション 190-1212 東京都西多摩郡瑞穂町殿ヶ谷 902-1 電話 :042-557-7760 FAX:042-557-7727 E-mail:info@tcnland.co.jp

More information

PX-434A/PX-404A

PX-434A/PX-404A NPD4534-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.11...15...18...19...19...21...22!ex...22 /...23 P.I.F. PRINT Image Framer...23...24...26...27...27...28...28...31 Web...31...31...35...35...35...37...37...37...39...39...40...43...48

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション SATA Host/Device IP Core HDD や SSD などのストレージを使用した システム開発に最適な FPGA 向けIntelliProp 社製 SATA IP Core IntelliProp 社製 SATA Host / Device IP Coreは SATA Revision 3.0 Specificationに準拠しており 1.5Gbps 3.0Gbps 6.0Gbpsに対応しています

More information

EPSON PX-503A ユーザーズガイド

EPSON PX-503A ユーザーズガイド NPD4296-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.x...15...18...19...19...21...22...23!ex...23 /...24 P.I.F. PRINT Image Framer...24...25...28...28...29...29...30...33

More information

TN-46-13

TN-46-13 はじめに テクニカルノート 高速 DDR SDRAM の互換性 はじめに このテクニカルノートでは DDR SDRAM デバイスの速度タイミングの違いを考察し Micron の高速グレード部品と低速グレード部品との互換性について説明します Micron DDR デバイスのタイミングは 異なる速度グレードの部品との互換性を最大限維持するように最適化されています そのため Micron のデータシートに記載されているタイミング特性は

More information

ISim ハードウェア協調シミュレーション チュートリアル : 浮動小数点高速フーリエ変換のシミュレーション

ISim ハードウェア協調シミュレーション チュートリアル : 浮動小数点高速フーリエ変換のシミュレーション ISim ハードウェア協調シミュレーションチュートリアル : 浮動小数点高速フーリエ変換のシミュレーション UG817 (v 13.1) 2011 年 3 月 18 日 Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely

More information

GT-X830

GT-X830 NPD5108-00 ...5... 5... 6... 8...11 EPSON Scan...11 PDF...16 OCR...16...17...17...20 /...20...20...22...23...23...24...25...25...26...27 PDF...30...31 / EPSON Scan...34 EPSON Scan...34 EPSON Scan...36

More information

ヤマハDante機器と他社AES67機器の接続ガイド

ヤマハDante機器と他社AES67機器の接続ガイド はじめに AES67 は 高性能なデジタル IP ネットワークの相互接続を実現するための標準規格です AES67 は や Ravenna Q-LAN Livewire WheatNet などの異なるネットワーク規格で構築されたシステム間で オーディオ信号を送受信する手段を提供します ヤマハも 機器のアップデートにより順次 AES67 への対応を開始し 第一弾としてデジタルミキシングコンソール CL/QL

More information

基本操作ガイド

基本操作ガイド HT7-0199-000-V.5.0 1. 2. 3. 4. 5. 6. 7. 8. 9. Copyright 2004 CANON INC. ALL RIGHTS RESERVED 1 2 3 1 1 2 3 4 1 2 1 2 3 1 2 3 1 2 3 1 2 3 4 1 2 3 4 1 2 3 4 5 AB AB Step 1 Step

More information

内容 1. APX-3302 の特長 APX-3312 から APX-3302 へ変更するためには 差分詳細 ハードウェア ハードウェア性能および仕様 ソフトウェア仕様および制限 Ini ファイルの設

内容 1. APX-3302 の特長 APX-3312 から APX-3302 へ変更するためには 差分詳細 ハードウェア ハードウェア性能および仕様 ソフトウェア仕様および制限 Ini ファイルの設 APX-3312 と APX-3302 の差分一覧 No. OM12021D APX-3312 と APX-3302 は どちらも同じ CameraLink 規格 Base Configuration カメラ 2ch 入力可能なボードになります 本書では APX-3312 をご利用になられているお客様が APX-3302 をご利用になられる場合の資料として 両ボードについての差異 を記述しております

More information

操作ガイド(本体操作編)

操作ガイド(本体操作編) J QT5-0571-V03 1 ...5...10...11...11...11...12...12...15...21...21...22...25...27...28...33...37...40...47...48...54...60...64...64...68...69...70...70...71...72...73...74...75...76...77 2 ...79...79...80...81...82...83...95...98

More information

RMS(Root Mean Square value 実効値 ) 実効値は AC の電圧と電流両方の値を規定する 最も一般的で便利な値です AC 波形の実効値はその波形から得られる パワーのレベルを示すものであり AC 信号の最も重要な属性となります 実効値の計算は AC の電流波形と それによって

RMS(Root Mean Square value 実効値 ) 実効値は AC の電圧と電流両方の値を規定する 最も一般的で便利な値です AC 波形の実効値はその波形から得られる パワーのレベルを示すものであり AC 信号の最も重要な属性となります 実効値の計算は AC の電流波形と それによって 入門書 最近の数多くの AC 電源アプリケーションに伴う複雑な電流 / 電圧波形のため さまざまな測定上の課題が発生しています このような問題に対処する場合 基本的な測定 使用される用語 それらの関係について理解することが重要になります このアプリケーションノートではパワー測定の基本的な考え方やパワー測定において重要な 以下の用語の明確に定義します RMS(Root Mean Square value

More information

DDK-7 取扱説明書 v1.10

DDK-7 取扱説明書 v1.10 DDK-7 v. JA 2 ()B-9 /4 ()B-9 2/4 3 4 ()B-9 3/4 ()B-9 4/4 5 6 7 "Mobile Wnn" OMRON SOFTWARE Co., Ltd. 999 All Rights Reserved. 8 CONTENTS 2 3 4 5 6 7 8 9 0 2 3 4 3 4 5 6 2 3 0 4 5 6 7 8 9 0 2 D. 2 3 4 5

More information

EP-704A

EP-704A NPD4533-01 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.11...15...18...19...19...22...23...24!ex...24 /...25 P.I.F. PRINT Image Framer...25...26...29...29...30...30...31...34

More information

UltraScale GTH トランシーバーを使用した SMPTE SDI インターフェイスの実装 (XAPP1248)

UltraScale GTH トランシーバーを使用した SMPTE SDI インターフェイスの実装 (XAPP1248) : XAPP1248 (v1.2) 2015 年 8 月 14 日 アプリケーションノート :GTH トランシーバー UltraScale アーキテクチャ UltraScale GTH トランシーバーを使用した SMPTE SDI インターフェイスの実装著者 : Gilbert Magnaye John Snow 概要 放送業界向けの映像機器には SMPTE (Society of Motion Picture

More information

GTR Board

GTR Board TB-FMCH-12GSDI ご購入に際してのご注意 1 変更履歴版数 日付 内容 担当者 Rev.1.00 2015/06/25 初版 天野 Rev.1.01 2015/07/22 2 章 Pre-production 品に関する記載を削除 天野 2016/09/16 3 章評価環境を更新 4 章ボードの機能評価状況を更新 6 章リファレンスデザインのダウンロード先を追加 森田 2 目次 1. 概要と関連書類...

More information

ザイリンクス DS099-E05 XC3S1000/L FPGA エラッタおよび Spartan-3 データシートの確認

ザイリンクス  DS099-E05 XC3S1000/L FPGA エラッタおよび Spartan-3 データシートの確認 DS099-E05 (v2.5) 2006 年 12 月 14 日 XC3S1000/XC3S1000L FPGA エラッタおよび Spartan-3/3L データシートの確認 エラッタ これらのエラッタは Spartan-3 XC3S1000 および XC3S1000L FPGA の量産デバイスおよびエンジニアリングサンプルの両方に適用されます その他の Spartan-3 FPGA では ここに記載したエラッタは該当しません

More information

GT-F740/GT-S640

GT-F740/GT-S640 NPD4743-00 JA ...5 EPSON Scan... 5 Document Capture Pro / Document Capture...11...14 EPSON Scan...14 PDF...18 OCR...18...19...19...21 /...21...22...23 GT-F740...24...24...25...26...26...26...27 PDF...28...30

More information

PLL クイック・ガイド for Cyclone III

PLL クイック・ガイド for Cyclone III ver.9.1 2010 年 1 月 1. はじめに アルテラ社製 FPGA デバイスにおいて PLL を実現するには ALTPLL メガファンクションを使用します ALTPLL を使用することでクロック信号を逓倍 分周 シフトなど簡単に調整することができます PLL で生成したクロック信号を出力専用ピンから外部のデバイスへ供給することも可能なので システムクロックを FPGA にて生成することも可能です

More information

操作ガイド(本体操作編)

操作ガイド(本体操作編) J-1 QT5-0681-V02 1 m a b c d e f l kj i h g a b c d e f g h i j k l m n n o o s p q r p q r s w t u v x y z t u v w x y z a bc d e f g q p o n m l k j i h a b c d e f g h i j k l {}[] {}[] m n

More information

EPSON EP-803A/EP-803AW ユーザーズガイド

EPSON EP-803A/EP-803AW ユーザーズガイド NPD4293-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.x...15...18...19...19...22...23...24!ex...24 /...25 P.I.F. PRINT Image Framer...25...26...30...30...31...31...31...35

More information

EPSON EP-703A ユーザーズガイド

EPSON EP-703A ユーザーズガイド NPD4295-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.x...15...18...19...19...22...23...24!ex...24 /...25 P.I.F. PRINT Image Framer...25...26...29...30...30...31...31...34

More information

Microsoft Word - quick_start_guide_16 1_ja.docx

Microsoft Word - quick_start_guide_16 1_ja.docx Quartus Prime ソフトウェア ダウンロードおよびインストール クイック スタート ガイド 2016 Intel Corporation. All rights reserved. Intel, the Intel logo, Intel FPGA, Arria, Cyclone, Enpirion, MAX, Megacore, NIOS, Quartus and Stratix words

More information

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること チャージポンプ内蔵 圧電用スイッチングドライバ 概要 はチャージポンプ回路を内蔵し 最大で3 入力から 18ppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更することができます また シャットダウン機能を備えており 入力信号を検出し無信号入力時には内部回路を停止することでバッテリーの長寿命化に貢献します

More information

Microsoft PowerPoint - LAB-03-SR18-ã…Łã‡¡ã‡¤ã…«ã…ªã‡¹ã…‹ã‡¢-v1

Microsoft PowerPoint - LAB-03-SR18-ã…Łã‡¡ã‡¤ã…«ã…ªã‡¹ã…‹ã‡¢-v1 自習 & ハンズオントレーニング資料 System Recovery 18 ファイルのリストア ベリタステクノロジーズ合同会社 テクノロジーセールス & サービス本部 免責事項 ベリタステクノロジーズ合同会社は この文書の著作権を留保します また 記載された内容の無謬性を保証しません VERITAS の製品は将来に渡って仕様を変更する可能性を常に含み これらは予告なく行われることもあります なお 当ドキュメントの内容は参考資料として

More information

非圧縮の1080p60ビデオをサポートする3Gbps SDIコネクティビティ・ソリューション

非圧縮の1080p60ビデオをサポートする3Gbps SDIコネクティビティ・ソリューション LMH0340,LMH0341 Literature Number: JAJA432 SIGNAL PATH designer Tips, tricks, and techniques from the analog signal-path experts No. 113... 1-5...4... 7 1080p60 3Gbps SDI Mark Sauerwald, SDI Applications

More information

GT-X980

GT-X980 NPD5061-00 JA ...6...10...10...11...13...15...20...21...21...22 /...23 PDF...27 PDF...31 /...35...38...43...46 EPSON Scan...49...49...49...50 EPSON Scan...51...51...52...52...53 2 Windows...53 Mac OS X...53...53...53...54...56...56...58...59...60...60...61...62...63

More information

スイッチ ファブリック

スイッチ ファブリック CHAPTER 4 この章では Cisco CRS-1 キャリアルーティングシステムのについて説明します この章の内容は 次のとおりです の概要 の動作 HS123 カード 4-1 の概要 の概要 は Cisco CRS-1 の中核部分です はルーティングシステム内の MSC( および関連する PLIM) と他の MSC( および関連する PLIM) を相互接続し MSC 間の通信を可能にします は

More information

ザイリンクス アプリケーション ノート XAPP709 : Virtex-4 FPGA デバイスを使用した DDR SDRAM コントローラ

ザイリンクス アプリケーション ノート XAPP709 : Virtex-4 FPGA デバイスを使用した DDR SDRAM コントローラ アプリケーションノート : Virtex-4 ファミリ XAPP709 (v1.4) 2005 年 11 月 18 日 Virtex-4 FPGA デバイスを使用した DD SDAM コントローラ著者 : Olivier Despaux 概要 このアプリケーションノートでは Virtex -4 XC4VLX25 FF668-10CES デバイスを使用してインプリメントした 200MHz DD SDAM

More information

Veritas System Recovery 18 System Recovery Disk

Veritas System Recovery 18 System Recovery Disk Veritas System Recovery 18 System Recovery Disk 免責事項 ベリタステクノロジーズ合同会社は この 書の著作権を留保します また 記載された内容の無謬性を保証しません VERITAS の製品は将来に渡って仕様を変更する可能性を常に含み これらは予告なく われることもあります なお 当ドキュメントの内容は参考資料として 読者の責任において管理 / 配布されるようお願いいたします

More information

ザイリンクス アプリケーション ノート XAPP524 : シリアル LVDS 高速 ADC インターフェイス

ザイリンクス アプリケーション ノート XAPP524 : シリアル LVDS 高速 ADC インターフェイス アプリケーションノート : 7 シリーズ FPGA XAPP524 (v1.) 212 年 8 月 7 日 シリアル LVDS 高速 ADC インターフェイス著者 : Marc Defossez 概要 このアプリケーションノートでは 7 シリーズ FPGA が備える専用の SelectIO テクノロジデシリアライザーコンポーネント (ISERDESE2 プリミティブ ) を使用し シリアル LVDS

More information

(Microsoft Word - DDR\203\215\203W\203A\203i\215\\\220\254-ver0619.doc)

(Microsoft Word - DDR\203\215\203W\203A\203i\215\\\220\254-ver0619.doc) DDR1/DDR2 ロジックアナライザ ソリューション構成ガイド Ver June/19/2006 機能と特徴 : Agilent 16900ロジックアナライザを使用して DDR1 および DDR2 システムのロジックロジック検証検証を行います 実際にシステムを組み上げた時に想定通りに動作しない場合 信号間のタイミングやコマンドの確認をします ロジックアナライザのEyeScan 機能を用いると信号品質を素早く把握することも出来ます

More information

MusicSoft Manager

MusicSoft Manager MusicSoft Manager( ミュージックソフトマネージャー ) は 電子楽器で扱うファイル ( ソングやスタイルデータ ) を iphone/ipod touch/ipad 上で管理するアプリケーションです 本アプリケーションにより以下のことができます データのダウンロード購入 データをアプリと楽器 コンピューター オンラインストレージサービス Dropbox ( ドロップボックス ) 間で転送

More information

VelilogHDL 回路を「言語」で記述する

VelilogHDL 回路を「言語」で記述する 2. ソースを書く 数値表現 数値表現形式 : ss'fnn...n ss は, 定数のビット幅を 10 進数で表します f は, 基数を表します b が 2 進,o が 8 進,d が 10 進,h が 16 進 nn...n は, 定数値を表します 各基数で許される値を書くこ Verilog ビット幅 基数 2 進表現 1'b0 1 2 進 0 4'b0100 4 2 進 0100 4'd4 4

More information

AWS Client VPN - ユーザーガイド

AWS Client VPN - ユーザーガイド AWS Client VPN ユーザーガイド AWS Client VPN: ユーザーガイド Copyright 2019 Amazon Web Services, Inc. and/or its affiliates. All rights reserved. Amazon's trademarks and trade dress may not be used in connection with

More information

PX-673F

PX-673F NPD4385-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.x...15...18...19...19...21...22...23!ex...23 /...24 P.I.F. PRINT Image Framer...24...25...28...29...29...30...30...33

More information

Xpand! Plug-In Guide

Xpand! Plug-In Guide Xpand! Version 1.0 Copyright 2006 Digidesign, a division of Avid Technology, Inc. All rights reserved. This guide may not be duplicated in whole or in part without the express written consent of Digidesign.

More information

電気的特性 (Ta=25 C) 項目 記号 条件 Min. Typ. Max. 単位 読み出し周波数 * 3 fop khz ラインレート * Hz 変換ゲイン Gc ゲイン =2-5 - e-/adu トリガ出力電圧 Highレベル Vdd V -

電気的特性 (Ta=25 C) 項目 記号 条件 Min. Typ. Max. 単位 読み出し周波数 * 3 fop khz ラインレート * Hz 変換ゲイン Gc ゲイン =2-5 - e-/adu トリガ出力電圧 Highレベル Vdd V - CCD イメージセンサ S11850-1106, S11511 シリーズ用 は 当社製 CCDイメージセンサ S11850-1106, S11511 シリーズ用に開発された駆動回路です USB 2.0インターフェースを用いて とPCを接続することにより PCからの制御でセンサのアナログビデオ信号をデジタル出力に変換し PCに取り込むことができます は センサを駆動するセンサ基板 センサ基板の駆動と

More information

X-Form Plug-in Guide

X-Form Plug-in Guide X-Form Plug-in Version 7.2 Copyright 2006 Digidesign, a division of Avid Technology, Inc. All rights reserved. This guide may not be duplicated in whole or in part without the express written consent of

More information

ModelSim-Altera - RTL シミュレーションの方法

ModelSim-Altera - RTL シミュレーションの方法 ALTIMA Corp. ModelSim-Altera RTL シミュレーションの方法 ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. 目次 1. 2. 3. はじめに...3 RTL シミュレーションの手順...4 RTL シミュレーションの実施...5 3-1. 3-2. 新規プロジェクトの作成... 5 ファイルの作成と登録... 7 3-2-1. 新規ファイルの作成...

More information

ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力 冶具 ケーブル等の影響のない

ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力 冶具 ケーブル等の影響のない Keysight Technologies を使用した De-Embedding 2016.4.27 キーサイト テクノロジー計測お客様窓口 ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力

More information

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ CPLD ISP ISP この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください AN-630-1.0 アプリケーション ノート このアプリケーションノートでは MAX II および MAX V デバイスにおけるリアルタイム ISP(In-System Programmability)

More information

XAPP453 「3.3V 信号を使用した Spartan-3 FPGA のコンフィギュレーション」 v1.0 (02/05)

XAPP453 「3.3V 信号を使用した Spartan-3 FPGA のコンフィギュレーション」 v1.0 (02/05) アプリケーションノート : ファミリ XAPP453 (v1.0) 2005 年 2 月 2 日 3.3 信号を使用した のコンフィギュレーション 概要 このアプリケーションノートでは Spartan -3 および Spartan -3L の 3.3 コンフィギュレーションについて説明しています ここでは コンフィギュレーションモード別に完全な接続図を示しており インプリメンテーションに簡単に利用できる便利なソリューションです

More information

デザインの保持チュートリアル : PlanAhead デザイン ツール (UG747)

デザインの保持チュートリアル : PlanAhead デザイン ツール (UG747) デザインの保持チュートリアル PlanAhead ソフトウェア Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely for use in the development of designs to operate with

More information

untitled

untitled SUBJECT: Applied Biosystems Data Collection Software v2.0 v3.0 Windows 2000 OS : 30 45 Cancel Data Collection - Applied Biosystems Sequencing Analysis Software v5.2 - Applied Biosystems SeqScape Software

More information

IM 21B04C50-01

IM 21B04C50-01 User s Manual Blank Page Media No. (CD) 5th Edition : Sep. 2009 (YK) All Rights Reserved. Copyright 2001, Yokogawa Electric Corporation Yokogawa Electric Corporation Software License Agreement This

More information

Report Template

Report Template Lattice ECP3 DDR3 メモリ I/F 1 目次 1 このドキュメントの概要... 4 2 DDR_MEM モジュールを使用する場合の注意点... 5 2.1 PLL の配置およびクロック入力ピンに関する注意事項... 5 2.2 クロック位相調整回路のタイミング制約と配置指定... 6 2.2.1 CSM の配置指定... 6 3 DDR3 SDRAM CONTROLLER IP を使用する場合の注意事項...

More information