Microsoft PowerPoint - Flexray信号の計測WEB版.ppt

Size: px
Start display at page:

Download "Microsoft PowerPoint - Flexray信号の計測WEB版.ppt"

Transcription

1 オシロスコープを使った FlexRay 物理層信号の解析 2008 年 11 月 レクロイ ジャパン株式会社

2 内容 1. トリガとメッセージの解読 2. 物理層の試験 アイ ダイヤグラム ( マスクテスト ) タイミング計測 プロパゲーション ディレイ アシンメトリック ディレイ トランケーション ジッタ SI-Voting 2

3 トリガとメッセージの解読

4 FlexRay 物理層信号計測に必要なもの 1 デジタルオシロスコープ本体 WaveRunnerMXiシリーズ (400MHz 2GHz) 2 差動電圧プローブ AP034 (1GHz) or AP033 (500MHz) 3 専用ソフトウエア FlexRay TD: トリガ+デコード FlexRayTDP: トリガ+デコード + 物理層計測 4

5 FlexRay トリガ機能 #1 13 種類のトリガ設定 条件付トリガ 数百の組み合わせが可能 5

6 FlexRay トリガ機能 #2 トリガ条件として設定できるもの TSS 静的または動的フレームの ID サイクルカウント Bit Start Sequence (BSS), Frame Start Sequence (FSS), Frame End Sequence (FES) and CRC errors Wakeup, Collision Avoidance Symbol(CAS) Null Frame Indicator, (NFI) Sync Frame Indicator (SyFI), Startup Frame Indicator (StFI) フレーム サイクルカウントは8 種類の条件を設定できる, <, =, >,, in range, out of range, don t care. 6

7 FlexRay トリガの例 : TSS (Start) 全体波形 拡大波形 TSS スタートでトリガした例 メニューからタッチパネルで選択 7

8 FlexRay トリガの例 : フレーム ID フレーム ID 0X4 でトリガした例 8

9 FlexRay トリガの例 : サイクルカウント サイクル カウント値でトリガした例 9

10 FlexRay トリガの例 : CRC エラー 10

11 プロトコルのデコード表示 フレームの構成要素ごとに色分けします テキストは波形と時間的に同期した位置に表示されます 波形を拡大すれば詳細な解読データが自動的に表示されます 11

12 一覧表示 一覧表の任意の行をクリックすれば 自動的にその拡大波形が表示されます 12

13 CAN & FlexRay 複数のバスの同時解読例 FlexRay CAN 13

14 CAN & FlexRay 複数のバスの同時解読例 14

15 物理層試験 アイ ダイヤグラム マスク テスト

16 ユニット インターバル (UI) とは? UI とはデータ信号の 1 ビット長の時間である ( ビットレートの逆数です ) 1 UI XAUI は Gb/s. のビット レートです Bit period = 1 UI = 1/(3.125 Gb/s) = 320 ps 16

17 アイパターンで何を計るのか? アイパターンはデータ信号の変化エッジを重ねあわせ表示したものです データ信号の信号品質の評価に使われます コンプライアンス試験にはマスクが使われます 1 Unit Interval (UI) アイパターン表示では通常 横軸は 1.25 UI のスケールです 17

18 従来行われてきたアイパターン表示法 1. 従来の方法では波形はトリガ点を中心とした狭い範囲に限られます 2. アイパターンを作るまでに相当数のトリガが必要です トリガ ジッタが発生連続したビット全てを対象にはできない ( データが抜ける ) 18

19 シリアルデータのアイパターン作成方法 SDA の解析法.exe 捕捉したデータ信号 ( クロック情報が埋め込まれている ) バンド幅を自由に設定できる PLL ソフトウエア PLL 回路 によるクロック リカバリ Slice 1 Slice 1 Slice 2 Slice 2 Slice 3 Slice 3 Slice 4 Slice 4 Slice 5 Slice 5 Slice 6 Slice 6 Slice 7 Slice 7 Slice 8 Slice 8 Slice 9 Slice 9 Slice 10 Slice 10 Slice 11 Slice 11 記憶したデータ信号を再生クロックのタイミングで 1 ビット単位で切り出し 重ね書きをする 19

20 どのようにしてアイダイヤグラムを作るか? #1 +300mV と -300mV のレベルで BSS を特定し プロトコルを解読します 0V レベルで 500mdiv. のヒステリシス幅で シンボルスタートとストップ間の BSS の降下エッジのトランジション時間を測定します 20

21 どのようにしてアイダイヤグラムを作るか? #2 BSS の負のエッジからクロックがスタートします 再生したクロック そこから次に検出される BSS の降下エッジまで クロックを計算します Slice 1 Slice 2 Slice 3 Slice 4 それぞれの再生されたクロックエッジでデータ信号をスライスします スライス幅は 1 ビット長です 21

22 どのようにしてアイダイヤグラムを作るか? #3 スライスしたデータ信号を 1.25UI の幅に重ね合わせます 22

23 どのようにしてアイダイヤグラムを作るか? #4 23

24 アイパターン表示へのアクセス方法 メニューから Physical Layer のタブを選択 24

25 マスクテスト (ver3.0 で採用される予定 ) 2.1. 仕様 -> アイ ダイヤグラム 3.0. 仕様 -> マスクテスト 25

26 マスクテスト (ver3.0 で採用される予定 ) TP1 と TP4 での信号品質試験のみ 26

27 マスクテストの例 オシロスコープでプラス マイナスの両エッジでトリガ異なるトリガ イベント ( フレーム ) による複数ビットの重ね描きとなる 27

28 物理層の試験 タイミング計測

29 信号の状態 ( 信号レベル タイミング ) Frame TXEN Data TX TXEN idle busy idle TX BusDriver 5V BP 1 Bit 100ns トポロジ >3V <2V 3V 2V typ: 2.5V BusDriver 1V BM ディレイ max. 2,5µs t RXEN Frame RX Data RX RXEN idle busy idle ディレイ トランケーション max. 1.4 µs ビット歪み max. 25 ns 29

30 信号レベル 5V 1V BP BM Idle_LP ローパワー モードのみ BP と BM はハイ インピーダンスで GND と接続 Idle データ無し 差電圧 BP BM = 0V レベル 2-3V 1 Bit = ca.3v ca. 2V typ: 2.5V t BP: Bus Plus BM: Bus Minus Data_1 差電圧 Data_0 差電圧 BP BM は正 ( mv) BP BM は負 ( mv) 30

31 トポロジ トポロジーのいろいろ ポイント トゥ ポイント接続 安い 理想的な ( プッシュ プル ) 終端が可能 バス型 ハードウエアの追加は不要 高価なワイヤリング 終端には妥協が必要 パッシブ スター型 ワイヤリングが簡単 ハードウエアの追加が必要 終端には妥協が必要 31

32 トポロジ アクティブ スター型 レベル リフレッシュ 理想的な ( プッシュ プル ) 終端が可能 ハードウエアの追加が必要 システムに影響を与える要因がある プロパゲーション ディレイ アシンメトリック ディレイ 不良のパーツは切り離される 複合型 32

33 トポロジに関するパラメータ シンメトリック ディレイ / プロパゲーション ディレイ スター型をふたつ接続 ( 将来は使用されなくなる ) ひとつの 1:1 接続 ふたつのパッシブ ネットワーク 最大 2500ns 安全なマージン 1800ns ( 線は含まず ) <100ns <250ns <250ns <100ns CC BD CMC passive network Active Star Active Star passive network CMC BD CC Node Node 33

34 トポロジに関するパラメータ アシンメトリック ディレイ スター型をふたつ接続 TXD EPL-Spec Spec. ひとつの 1:1 接続 delay RisingEdge delay FallingEdge ふたつのパッシブ ネットワーク RXD 最大 25ns delay Asymmetric TXD RXD 100ns 88ns 75ns CC BD <4ns CMC <4ns passive network Active Star <4ns <4ns Active Star <4ns passive network <5ns CMC BD CC Node Node 34

35 タイミング計測へのアクセス方法 送信側ノード ( モジュール M) 受信側ノード ( モジュール N) の設定やプローブの接続方法を設定します 計測したい項目は右側のチェック で選択します 35

36 プロパゲーション ディレイ ノード モジュール M から送信されたバイナリデータは プロパゲーション ディレイ (dpropagationdelaym,n) を伴ってノード モジュール N で受信されます プロパゲーション ディレイは ノード モジュール M の送信信号 (TxD) の BSS の降下エッジから ノード モジュール N の受信信号 (RxD) の BSS の降下エッジまでの時間として計測されます dpropagationdelaym,n cpropagationdelaymax 36

37 プロパゲーション ディレイ パラメータで全ての二つのパルス間の時間差を計測 37

38 アシンメトリック ディレイ プロパゲーション ディレイは バイナリ データストリームのTSS 後の最初の負のエッジとして定義されます FlexRayデコード モジュールの制限から チャンネルとそれに付属する送信及び受信用バス ドライバは 静的なアシンメトリック ディレイを規定値を超えて発生させてはなりません 38

39 アシンメトリック ディレイ パラメータ同士の演算機能が必要 P1-P2 39

40 トランケーション チャンネルはTSS 信号をトランケート ( 切り詰める ) する可能性があります 送信側ノード モジュールMのTSSの持続時間と トランケートされた受信側ノード モジュールNのTSSの持続時間の差が dframetsstruncationm,nとして定義されます. dframetsstruncationm,n = dtssm - dtssn 40

41 ジッタ 12.1 送信側コントローラのタイミングの抑制 FlexRay では最後の BSS の後に CRC バイトが送信され FES がそれに続きます この BSS の降下エッジから FES の立上りエッジまでの時間が d10bittx と定義されます 規格値は 1,000ns ですが 水晶発振器の安定性やクロックのジッタ I/O バッファのアシンメトリなどによって変動します これらは ±2.50ns 以内でなければなりません 41

42 ジッタ 42

43 Static / Stochastic Proportion EBL Application Note 2.1b の情報 43

44 統計処理機能 # I # sdev min max delta ,91 3, ,5 3,66 3,97 0, ,3 3,59 4,30 0, ,9 3,51 4,39 0,88 44

45 統計処理機能 # II stochastic と static パートを分離するためには パラメータ計測の統計解析機能が必要 45

46 タイミング計測へのアクセス方法 送信側ノード ( モジュール M) 受信側ノード ( モジュール N) の設定やプローブの接続方法を設定します 計測したい項目は右側のチェック で選択します 46

47 FLX-PHY : 物理層のタイミング計測 NEW! 簡単な操作 デコードに即した計測 統計処理 47

48 SI-Voting の計測 FlexRay ver.3.0 の物理層コンフォーマンス試験の仕様は 2008 年 12 月頃に決定 公開される予定です

49 なぜ SI-Voting なのか? パッシブネットワークに適用されたアイ ダイヤグラムテストで 通信が支障なく行えるのにも関わらず 反射の影響で試験に不合格となるケースがある 反射はパッシブ スター結線で発生する SI ー Voting は BD の品質と障害に対する堅牢性を評価する試験方法である FlexRay トポロジー内での信号品質を定義する方法です この方法によれば 様々なセットアップの方法を考慮して信号の形状を計測し そのトポロジが動作可能であるかどうかを見極めることができます 49

50 テストポイント 電気物理層のコンフォーマンス試験は トランシーバ / デバイスを仕様に合致しているかどうかを調べ 認証します コンフォーマンス試験は TP1 と TP4 だけで定義されています. SI-voting は TP1 から TP4 までの 4 つで定義されています. 50

51 試験にパスするための条件 信号の電圧レベルが十分に大きい アシンメトリックリック ディレイが抑制されている 最も短いビットが十分に長いこと フレームの中でアイドル検出を避けること ( 信号レベルは tudata1(max) = 300mV と udata0(min) = -300mV の間に最小アイドル時間 (50nsec) 以上存在してはなりません ) 51

52 ビットごとの持続時間 : 16 種類の計測 52

53 SI-Voting プラグイン ( レクロイ DSO 内の処理 ) P1 F1 10MHz フィルタ ビット検出 ビット幅の計測 (16 values) ヒストグラム アシンメトリック / ディレイの計測 P3 ビット検出 ビット幅の計測 (16 values) ヒストグラム アシンメトリック / ディレイの計測 P4 F2 P2 アイドル長 P5 Fast Multi Waveport 53

54 SI-voting: 計測の画面 (10MHz) filtered FlexRay signal Histogram of Bit duration Histogram of Bit duration Bit duration Bit duration Asy. delay Asy. delay Idle time vth1 vth0 54

55 SI-Voting 計測用のプラグイン P1 = 16のレベルでのビット長 (00100 ビット ) P2 = 16のレベルでのビット長 (11011 ビット ) P3 = 計算された最大のアシンメトリック / ディレイ (00100 ビット ) P4 = 計算された最大のアシンメトリック / ディレイ (11011 ビット ) P5 = アイドル時間 ( 信号が-300mVから +300mVまでの間にある時間 ) P6 = vth0 => top の値 P7 = vth1 => base の値 P8 = 最小のビット長 55

56 物理層試験の概要 マスクテスト ( アイ ダイヤグラム ) アイ ダイヤグラム SI-Voting 主な目的 波形の形状 (TP1 : ダミー負荷 ) 信号品質リアルシステム 信号品質リアルシステム 仕様 Physical Layer Specification Physial Layer Application Note 3.0 Physical Layer Specification 3.0 試験方法簡単簡単 ソフトが必要複雑 リアルタイム システムへの適合性 不可ビットの重ね描きは同じフレームからではない 可能フレームに含まれる全てのビットが対象 可能フレームに含まれる全てのビットが対象 テスト ポイント TP1, TP4 TBD TP1,TP2,TP3,TP4 実際のシステムの挙動は反映されないジッタは見えない 実際のシステムにおける信号品質 反射 ジッタの手早い把握 FlexRay トポロジが動作可能かどうかを検証できる唯一の試験 56

FlexRay

FlexRay FlexRay 2011 @IT MONOist FlexRay @IT MONOist http://monoist.atmarkit.co.jp Vector Japan Co., Ltd. 目次 1 FlexRay 03 1 03 03 04 X-by-Wire 04 2 05 3 FlexRay 06 4 FlexRay 06 Fixed TDMA Flexible TDMA 06 08 09

More information

Microsoft PowerPoint - PCIe_Seminar_LeCroyJapan.ppt

Microsoft PowerPoint - PCIe_Seminar_LeCroyJapan.ppt PCI Express の物理層 信号品質評価ソリューション レクロイ ジャパン株式会社プロダクト マーケティング辻嘉樹 http://www.lecroy.com/japan/ 目次 PCI Expressの仕様 PCI Expressの物理層の特徴 PCI Express 測定の諸条件 PCI Expressのコンプライアンス試験 補足 1 目次 PCI Expressの仕様 PCI Expressの物理層の特徴

More information

Microsoft Word - N-TM307取扱説明書.doc

Microsoft Word - N-TM307取扱説明書.doc Page 1 of 12 2CHGATEANDDELAYGENERATORTYPE2 N-TM307 取扱説明書 初版発行 2015 年 10 月 05 日 最新改定 2015 年 10 月 05 日 バージョン 1.00 株式会社 テクノランドコーポレーション 190-1212 東京都西多摩郡瑞穂町殿ヶ谷 902-1 電話 :042-557-7760 FAX:042-557-7727 E-mail:info@tcnland.co.jp

More information

JA.qxd

JA.qxd Application Note http://www.ddwg.org/ DVI World PC Cable Assembly Video/Graphics Card Display Projector 2 キーワード 高速パルス シグナル ル インテグリティ インピーダンス ス マッチング EMI 対策 伝送距離の制約 相互接続性 3 http://www.ddwg.org/ DVI Revision

More information

はじめに RS-232 などのシリアル バスのデバッグでは RS-232 プロトコルでトリガできる機能を持つオシロスコープを使わないと非常に面倒です RS-232 などのシリアル バスをデバッグする従来の手法として 手動でビットをカウントするやり方があります しかし 目視で "1" と "0" をカ

はじめに RS-232 などのシリアル バスのデバッグでは RS-232 プロトコルでトリガできる機能を持つオシロスコープを使わないと非常に面倒です RS-232 などのシリアル バスをデバッグする従来の手法として 手動でビットをカウントするやり方があります しかし 目視で 1 と 0 をカ 間欠的なエラーやシグナル インテグリティの問題に威力を発揮 Agilent N5457A InfiniiVision オシロスコープ用 RS-232/UART トリガ / ハードウェア デコード Data Sheet 特長 : RS-232/UART シリアル バス トリガ RS-232/UART ハードウェア プロトコル デコード リアルタイムのフレーム / エラー積算カウンタ はじめに RS-232

More information

メモリ トレンド DDR4 と LPDDR4 の速度域が重なる V DDR4 1.8V 1.2V LPDDR4 1.1V DDR4 と LPDDR4 の速度域が重なる DDR2 DDR3 DDR4 LPDDR1/2/3/

メモリ トレンド DDR4 と LPDDR4 の速度域が重なる V DDR4 1.8V 1.2V LPDDR4 1.1V DDR4 と LPDDR4 の速度域が重なる DDR2 DDR3 DDR4 LPDDR1/2/3/ キーサイトウェブセミナー 2016 誰もが陥る DDR メモリトラブル回避法 キーサイト テクノロジー合同会社アプリケーションエンジニアリング部門小室行央 メモリ トレンド DDR4 と LPDDR4 の速度域が重なる 12800 6400 3200 1600 800 400 200 100 1.5V DDR4 1.8V 1.2V LPDDR4 1.1V DDR4 と LPDDR4 の速度域が重なる

More information

(Microsoft Word - DDR\203\215\203W\203A\203i\215\\\220\254-ver0619.doc)

(Microsoft Word - DDR\203\215\203W\203A\203i\215\\\220\254-ver0619.doc) DDR1/DDR2 ロジックアナライザ ソリューション構成ガイド Ver June/19/2006 機能と特徴 : Agilent 16900ロジックアナライザを使用して DDR1 および DDR2 システムのロジックロジック検証検証を行います 実際にシステムを組み上げた時に想定通りに動作しない場合 信号間のタイミングやコマンドの確認をします ロジックアナライザのEyeScan 機能を用いると信号品質を素早く把握することも出来ます

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

IrDA型赤外線タグ仕様

IrDA型赤外線タグ仕様 IrDA 型赤外線タグ仕様 Specification of IrDA infrared active tag 目次 (Table of Contents) はじめに...3 規定範囲...3 本書の位置付け...3 参照規定...3 用語定義...3 1. IrDA 型赤外線タグの物理層規定...4 1.1. 概要...4 1.2. バイトフォーマット...5 1.2.1. パルス幅許容値...5

More information

ヤマハDante機器と他社AES67機器の接続ガイド

ヤマハDante機器と他社AES67機器の接続ガイド はじめに AES67 は 高性能なデジタル IP ネットワークの相互接続を実現するための標準規格です AES67 は や Ravenna Q-LAN Livewire WheatNet などの異なるネットワーク規格で構築されたシステム間で オーディオ信号を送受信する手段を提供します ヤマハも 機器のアップデートにより順次 AES67 への対応を開始し 第一弾としてデジタルミキシングコンソール CL/QL

More information

内容 SDAIIIの概要 アイパターン解析 ジッタ解析 マルチレーン解析 ノイズ解析 バーチャル プローブ 3 4 高速転送 クロストーク SI 問題の顕在化 高速伝送とクロストークの増加による影響: 符号間干渉の増加 減衰の増加 ジッタの増加 設計の難易度の増加

内容 SDAIIIの概要 アイパターン解析 ジッタ解析 マルチレーン解析 ノイズ解析 バーチャル プローブ 3 4 高速転送 クロストーク SI 問題の顕在化 高速伝送とクロストークの増加による影響: 符号間干渉の増加 減衰の増加 ジッタの増加 設計の難易度の増加 シグナル インテグリティ の基礎と応用セミナー 4. LeCroyのシリアル解析 SDAIII-CompleteLinQのご紹介 テレダイン レクロイ ジャパン株式会社 技術部長 辻 嘉樹 内容 1. 2. 3. 4. 5. 6. SDAIIIの概要 アイパターン解析 ジッタ解析 マルチレーン解析 ノイズ解析 バーチャル プローブ 2 1 内容 1. 2. 3. 4. 5. 6. SDAIIIの概要

More information

MX705110A Wi-SUN プロトコルモニタ 製品紹介

MX705110A Wi-SUN プロトコルモニタ 製品紹介 Product Introduction MX705110A Wi-SUN プロトコルモニタ MS2690A/MS2691A/MS2692A/MS2830A シグナルアナライザ 製品紹介 MX705110A Wi-SUN プロトコルモニタ Version 1.0 2013 年 8 月アンリツ株式会社 Slide 1 Wi-SUN Protocol Monitor とは 本ソフトウェアは 2 つの通信モジュールがやり取りしている通信内容を解析して

More information

電子13-06 エネメータ専用ソフト SAVER CAST for EneMeter Ver3.00設定方法.ppt

電子13-06 エネメータ専用ソフト SAVER CAST for EneMeter Ver3.00設定方法.ppt 技術資料 お客様用 1/13 発行 電子 13-06 発行月 2013 年 10 月 エネメータ専用ソフト SAVER CAST for EneMeter Ver3.00 設定方法 1 PC アプリ SAVER CAST for EneMeter Ver3.00 ダウンロード 2 通信設定アプリ Config Tool Ver1.00 ダウンロード 3 パソコンへのセットアップ 4 設定方法について説明します

More information

タッチパネル式表示ユニットの装着や システム組み込みにも対応した次世代データ収録器 誕生 各種ユニットを着脱可能 アンプユニットは最大10ユニットまで混在装着可能 1 最大で10台のアンプユニットが取付けでき 本体ユニット1台で最大112chの多チャネル測定が可能です 表示ユニットは本体ユニットへの

タッチパネル式表示ユニットの装着や システム組み込みにも対応した次世代データ収録器 誕生 各種ユニットを着脱可能 アンプユニットは最大10ユニットまで混在装着可能 1 最大で10台のアンプユニットが取付けでき 本体ユニット1台で最大112chの多チャネル測定が可能です 表示ユニットは本体ユニットへの www.graphtec.co.jp タッチパネル式表示ユニットの装着や システム組み込みにも対応した次世代データ収録器 誕生 各種ユニットを着脱可能 アンプユニットは最大10ユニットまで混在装着可能 1 最大で10台のアンプユニットが取付けでき 本体ユニット1台で最大112chの多チャネル測定が可能です 表示ユニットは本体ユニットへの装着や 本体ユニットと離して装置等へ組み込む事が可能です また

More information

ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力 冶具 ケーブル等の影響のない

ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力 冶具 ケーブル等の影響のない Keysight Technologies を使用した De-Embedding 2016.4.27 キーサイト テクノロジー計測お客様窓口 ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力

More information

ICS_Japan アプリケーションノート ISO メッセージ送受信基礎編 Rev File Name: アプリケーションノート _ISO15765_2_ メッセージ送受信 _ 基礎編 _A00.docx Intrepid Control Systems, Inc. アプリ

ICS_Japan アプリケーションノート ISO メッセージ送受信基礎編 Rev File Name: アプリケーションノート _ISO15765_2_ メッセージ送受信 _ 基礎編 _A00.docx Intrepid Control Systems, Inc. アプリ Intrepid Control Systems, Inc. アプリケーションノート ISO157652 メッセージ送受信基礎編 ( 株 ) 日本イントリピッド コントロール システムズ 1/15 目次 1. 概要 3 2. ISO157652 プロトコル 3 2.1. Multiple frame transmission 3 2.2. Addressing Format 4 2.2.1. Normal

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

U4611A/B USB 2.0/3.0プロトコル・アナライザ バージョン3.7.x(MegaZoomテクノロジー採用)

U4611A/B USB 2.0/3.0プロトコル・アナライザ バージョン3.7.x(MegaZoomテクノロジー採用) Keysight Technologies U4611A/B USB 2.0/3.0 3.7.x MegaZoom Data Sheet SuperSpeed USB 02 Keysight U4611A/B USB 2.0/3.0 プロトコル アナライザ - Data Sheet LTSSM 18 GB GUI 03 Keysight U4611A/B USB 2.0/3.0 プロトコル アナライザ

More information

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装 LIM Corp. Nios II - PIO を使用した I 2 C-Bus (2 ワイヤ ) マスタの実装 ver.1.0 2010 年 6 月 ELSEN,Inc. 目次 1. はじめに... 3 2. 適用条件... 3 3. システムの構成... 3 3-1. SOPC Builder の設定... 3 3-2. PIO の設定... 4 3-2-1. シリアル クロック ライン用 PIO

More information

Cisco Unified IP Phone のモデル情報、 ステータス、および統計の表示

Cisco Unified IP Phone のモデル情報、 ステータス、および統計の表示 CHAPTER 6 この章では Cisco Unified SIP Phone 3905 上の次のメニューを使用して 電話機のモデル情報 ステータスメッセージ およびネットワーク統計を表示する方法について説明します [ モデル情報 (Model Information)] 画面 : 電話機のハードウェアとソフトウェアに関する情報を表示します 詳細については [ モデル情報 (Model Information)]

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 SAU シリアル アレイ ユニット ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ SAU の概要 UART 通信機能のプログラム サンプル紹介 2 SAU の概要 3 SAU の機能 クロック同期式調歩同期式マスタ動作のみ チャネル 0: 送信チャネル 1: 受信 4 UART

More information

TULを用いたVisual ScalerとTDCの開発

TULを用いたVisual ScalerとTDCの開発 TUL を用いた Visual Scaler と TDC の開発 2009/3/23 原子核物理 4 年 永尾翔 目次 目的と内容 開発環境 J-Lab におけるハイパー核分光 Visual Scaler TDC まとめ & 今後 目的と内容 目的 TUL, QuartusⅡ を用いて実験におけるトリガーを組めるようになる Digital Logic を組んでみる 内容 特徴 TUL,QuartusⅡ

More information

2015/04/01 改定 オムロン DeviceNet ユニット CJ1W-DRM21 に関するコンフィグレーション作業について 1. 概要 DeviceNet ユニット CJ1W-DRM21 を装着したオムロン製 CJ2 シリーズと WAGO-I/0-SYSTEM DeviceNet 対応バスカ

2015/04/01 改定 オムロン DeviceNet ユニット CJ1W-DRM21 に関するコンフィグレーション作業について 1. 概要 DeviceNet ユニット CJ1W-DRM21 を装着したオムロン製 CJ2 シリーズと WAGO-I/0-SYSTEM DeviceNet 対応バスカ オムロン DeviceNet ユニット CJ1W-DRM21 に関するコンフィグレーション作業について 1. 概要 DeviceNet ユニット CJ1W-DRM21 を装着したオムロン製 CJ2 シリーズと WAGO-I/0-SYSTEM DeviceNet 対応バスカプラ 750-306 を使ったリモート I/O システムとの接続に関するコンフィグレーション方法について説明いたします 2. システム構成本書で用いるシステム構成例の内容を以下の表に示します

More information

1. A/D 入力について分解能 12bit の A/D コンバータ入力です A/D 入力電圧とディジタル値との対応は理論上 入力電圧 0V : 0 入力電圧 +3V : 4095 です 実際はオフセットと傾きがあり ぴったりこの数値にはなりません 2. A/D 入力に使用する信号 STM32L_A

1. A/D 入力について分解能 12bit の A/D コンバータ入力です A/D 入力電圧とディジタル値との対応は理論上 入力電圧 0V : 0 入力電圧 +3V : 4095 です 実際はオフセットと傾きがあり ぴったりこの数値にはなりません 2. A/D 入力に使用する信号 STM32L_A STM32L_ADC の説明 V003 2014/03/30 STM32L-Discovery の A/D 入力を行うプログラムです A/D CH0 ~ A/D CH3 の 4 本の入力が可能です 提供する PC のアプリケーション Access_SerialPort を使用して UART( 非同期シリアル通信 ) により A/D 入力の表示を行うことができます 無料の開発ツール Atollic TrueSTUDIO

More information

(Microsoft PowerPoint - XAnalyser3_\217\320\211\356.pptx)

(Microsoft PowerPoint - XAnalyser3_\217\320\211\356.pptx) X-Analyser 3 CAN, LIN 対応プロトコルアナライザ 国内代理店 : ガイロジック株式会社 TEL: 0422-26-8211 www.gailogic.co.jp sales@gailogic.co.jp 前バージョン X-Analyser v2.97 基本機能 Signals Raw CAN and LIN DeviceNet CANopen (CAN データベース互換 ) SAE

More information

データ収集用 NIM/CAMAC モジュールマニュアル 2006/5/23 目次 クレート コントローラ CC/ NIM ADC 1821 (Seiko EG&G)...3 ADC インターフェイス U デッドタイム

データ収集用 NIM/CAMAC モジュールマニュアル 2006/5/23 目次 クレート コントローラ CC/ NIM ADC 1821 (Seiko EG&G)...3 ADC インターフェイス U デッドタイム データ収集用 NIM/CAMAC モジュールマニュアル 2006/5/23 hiromi@tac.tsukuba.ac.jp 目次 クレート コントローラ CC/7700...2 NIM ADC 1821 (Seiko EG&G)...3 ADC インターフェイス U9201...4 デッドタイム カウンター NK-1000...5 AD811 8ch ADC (Ortec)...6 C011 4ch

More information

        ALTIRIS Client Management Suite Upgrade Guide                                 Rev 年6月30日  

        ALTIRIS Client Management Suite  Upgrade Guide                                 Rev 年6月30日   ALTIRIS Client Management Suite 7 Server Management Suite 7 Quick Startup Guide Rev. 1.1 2009 年 7 月 7 日 目次 1. はじめに----------------------------------------------------------------------------------------------3

More information

AI1608AYUSB手順V3

AI1608AYUSB手順V3 CONTEC A/D 変換ユニット AI-1608AY-USB のインストール手順 2013/03 改訂 1. ドライバのインストール 最初に ドライバをインストールします ドライバは インターネットからダウンロードします 1 以下のサイトから ダウンロードします キーワードに [CONTEC WDM API-AIO] などを指定して探して下さい URL http://www.contec.co.jp/product/device/apiusbp/index.html

More information

Keysight Technologies DDRメモリのより良いデザイン/テスト

Keysight Technologies DDRメモリのより良いデザイン/テスト Keysight Technologies DDR DDR 02 Keysight DDR メモリのより良いデザイン / テスト - Brochure DDR DDRDDRDDR1 DDR2DDR3DDR4 Low-Power DDR LPDDR1LPDDR2LPDDR3 JEDECJoint Electronic Devices Engineering Council 1 DDR 表 1. DDR

More information

PRONETA

PRONETA PRONETA 操作概要 PROFINET IO デバイスの無償診断ツール シーメンス株式会社デジタルファクトリー事業本部ファクトリーオートメーション部 2015 年 12 月 22 日 目次 ここで紹介している操作は PRONETA バージョン 2.2 を基にしています PRONETA 概要 3 動作環境と起動方法 4 ホーム画面 5 ネットワーク解析画面 6 IOチェック画面 9 設定画面 13

More information

株式会社日新テクニカ USB シリアル CAN 変換器 /8/22 ホームページ : メール

株式会社日新テクニカ USB シリアル CAN 変換器 /8/22 ホームページ :  メール USB シリアル CAN 変換器 http://www.nissin-tech.com info@nissin-tech.com 2011/8/22 copyright@2011 1 修正履歴 修正日 修正内容 2011/8/22 初作成 第一章 USB シリアル CAN 変換器の概要...3 第二章ドライバのインストール...4 第三章シリアル透明透明通信プロトコル...5 第四章モード設定設定ソフトソフトの使い方...7

More information

最も柔軟なミックスド シグナル試験ソリューション HDA125 高速ミックスド シグナル オプションは 市場で最も強力で 自由度の高いミックスド シグナル試験システムの鍵となる製品です これは テレダイン レクロイのオシロスコープと組み合わせることで かつてないほど広範な種類の信号を全て観測すること

最も柔軟なミックスド シグナル試験ソリューション HDA125 高速ミックスド シグナル オプションは 市場で最も強力で 自由度の高いミックスド シグナル試験システムの鍵となる製品です これは テレダイン レクロイのオシロスコープと組み合わせることで かつてないほど広範な種類の信号を全て観測すること HDA125 高速ミックスド シグナル オプション 主な機能と特長 12.5GS/sのサンプリング速度 (80psタイミング精度 ) 3GHzのデジタル信号リードで 最高 6Gb/sまでのデジタル信号捕捉に対応 テレダイン レクロイの広帯域デジタル オシロスコープに高速ミックスド シグナル オプションが後付け可能 HDA125 を接続すると テレダイン レクロイの広帯域デジタル オシロスコープは 高速デジタルの検証

More information

Microsoft PowerPoint - ADS2009_SI._Intro_U.ppt

Microsoft PowerPoint - ADS2009_SI._Intro_U.ppt Advanced Design System 2009 デジタルアプリケーション向け EDA ソリューションのご紹介 1 こんなことで お困りではないですか 利用していた IC が製造中止ピンコンパチ代替 IC を利用急に動かなくなった 部品調達コストの関係で DDR メモリから DDR2 メモリへ切り替え高速なメモリバス設計は初めて自信が持てない データ伝送 PHY を PCI Express に決定さて

More information

IBIS

IBIS IBISBuilder IBISIndicator R1.2 リリースノート Dec. 2009 IBISBuilder IBISIndicator 1 IBISBuilder IBISIndicator は サイバネットシステム株式会社の登録商標です その他 本書に記載の会社名 商品名は当該各社に帰属する商標または登録商標です 発行者 : サイバネットシステム株式会社 東京本社 : 101-0022

More information

<4D F736F F D A B D815B83675F49534F F325F A815B EF3904D5F8AEE916295D25F E646F6378>

<4D F736F F D A B D815B83675F49534F F325F A815B EF3904D5F8AEE916295D25F E646F6378> Intrepid Control Systems, Inc. アプリケーションノート ISO15765-2 メッセージ送受信基礎編 1/17 目次 1. 概要... 3 2. ISO15765-2 プロトコル... 3 2.1. Multiple frame transmission... 3 2.2. Addressing Format... 4 2.2.1. Normal addressing...

More information

AS5643_Tutorial

AS5643_Tutorial 文書バージョン :2016 年 9 月 目次 1 概要... 1 1.1 目的... 1 1.2 IEEE-1394 規格について... 1 1.2.1 特徴... 1 1.2.2 IEEE-1394 規格の開発経緯... 1 1.2.3 IEEE-1394 接続方式... 2 1.3 アプリケーション... 3 1.4 IEEE-1394 IEEE-1394a IEEE-1394b 規格への追加項目...

More information

Keysight Technologies N1055A リモート・ヘッド・モジュール 35/50 GHz 2/4ポートTDR/TDT

Keysight Technologies N1055A リモート・ヘッド・モジュール 35/50 GHz 2/4ポートTDR/TDT Keysight Technologies N1055A 35/50 GHz 2/4 TDR/TDT 86100D DCA-X Data Sheet 10/25/28 Gbps 40G/100G /S TDR/TDT S 16 28 Gb/s IEEE 802.3 ba/bj/bm 40 Gb/100 Gb OIF Optical Inter-networking Forum CEI 3.0 PCI

More information

パルス波高値計測回路の製作

パルス波高値計測回路の製作 パルス波高値計測回路の製作 吉田久史 豊田朋範 自然科学研究機構分子科学研究所装置開発室 概要極端紫外光実験施設 (UVSOR) の自由電子レーザー (FEL) 実験において 透過型光強度モニターからのパルス信号の波高値を計測するための電子回路が必要となった この情報は最終的に電子分光装置で使用する TDC(Time to Digital Converter) により時間情報としてパソコンに取り込みたいという要望が有り

More information

内容 1. APX-3302 の特長 APX-3312 から APX-3302 へ変更するためには 差分詳細 ハードウェア ハードウェア性能および仕様 ソフトウェア仕様および制限 Ini ファイルの設

内容 1. APX-3302 の特長 APX-3312 から APX-3302 へ変更するためには 差分詳細 ハードウェア ハードウェア性能および仕様 ソフトウェア仕様および制限 Ini ファイルの設 APX-3312 と APX-3302 の差分一覧 No. OM12021D APX-3312 と APX-3302 は どちらも同じ CameraLink 規格 Base Configuration カメラ 2ch 入力可能なボードになります 本書では APX-3312 をご利用になられているお客様が APX-3302 をご利用になられる場合の資料として 両ボードについての差異 を記述しております

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? レジスタ アクセスの拡張機能 1. レジスタ アクセスの概要 Smart-USB Plus 製品で利用できるレジスタ アクセスとは FPGA 内にハードウエア レジスタを実装し ホスト PC の制御ソフトウエアから USB 経由でそれらのレジスタに値を設定したり レジスタの設定値を読み出すことができる機能です このレジスタ アクセス制御には USB バス仕様に基づく コントロール転送 を利用しています

More information

Microsoft Word - firmware_update_v12.doc

Microsoft Word - firmware_update_v12.doc 2010 年 4 月 このドキュメントは レクロイ社製 X-Stream(Windows ベース ) オシロスコープのファームウエアをアップグレードするための作業手順書です X-Stream オシロスコープのファームウエアは 定期的にアップデートされ 最新の機能およびドライバの追加やソフトウエアの問題が解決されます また マイクロソフト社の

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 TMR 8 ビットタイマ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ TMR の概要 プログラムサンプル (1) パルス出力機能 (8 ビットモード ) プログラムサンプル (2) インターバルタイマ機能 (16 ビット コンペアマッチカウントモード ) プログラムサンプルのカスタマイズ

More information

REX-USB56 「FAX送信」編 第6.0版

REX-USB56 「FAX送信」編 第6.0版 OS 付属ソフトの設定から まで REX-USB56 2016 年 3 月第 6.0 版 Windows 10 Vista の場合の場合 付属の FAX ソフトの設定など詳細は Microsoft 社にお問い合せください 1. FAX の設定をする 1-1. 1-3. 1 ツール をクリック 2 すべてのアプリ をクリック 2 FAX の設定 をクリック 1 スタート をクリック 1-2. 1-4.

More information

目次 1 I2Cとは 13 結線写真 2 センサの多くがI2Cに対応 14 WHO_AM_I 3 マイコンでのI2C通信例 15 I2C読込みプログラム 4 とは 16 I2C読込みスクリプト概要① 5 タイミングパラメータ 17 I2C読込みスクリプト概要② 6 書込み 18 センサ読込みプログラ

目次 1 I2Cとは 13 結線写真 2 センサの多くがI2Cに対応 14 WHO_AM_I 3 マイコンでのI2C通信例 15 I2C読込みプログラム 4 とは 16 I2C読込みスクリプト概要① 5 タイミングパラメータ 17 I2C読込みスクリプト概要② 6 書込み 18 センサ読込みプログラ 第5回 Arduino入門 I2C通信編 プレゼン by いっちー 目次 1 I2Cとは 13 結線写真 2 センサの多くがI2Cに対応 14 WHO_AM_I 3 マイコンでのI2C通信例 15 I2C読込みプログラム 4 とは 16 I2C読込みスクリプト概要① 5 タイミングパラメータ 17 I2C読込みスクリプト概要② 6 書込み 18 センサ読込みプログラム 7 読込み 19 センサ読込み概要①

More information

Microsoft Word - CAN Monitor の使い方.doc

Microsoft Word - CAN Monitor の使い方.doc CAN Monitor の使い方 1. 仕様項目内容ポート数 1ch 対応速度 125kbps,250kbps,500kbps,1Mbps ログ記録 CSV フォーマットで時系列に記録時間は msec 単位 H/W mailbox 受信 :16ch 送信 :8ch 受信フィルタチャネル毎にデータ ID および MASK 機能設定可能 Bit Timing 調整可能 サンプリングポイントを約 30%~

More information

電気的特性 (Ta=25 C) 項目 記号 条件 Min. Typ. Max. 単位 読み出し周波数 * 3 fop khz ラインレート * Hz 変換ゲイン Gc ゲイン =2-5 - e-/adu トリガ出力電圧 Highレベル Vdd V -

電気的特性 (Ta=25 C) 項目 記号 条件 Min. Typ. Max. 単位 読み出し周波数 * 3 fop khz ラインレート * Hz 変換ゲイン Gc ゲイン =2-5 - e-/adu トリガ出力電圧 Highレベル Vdd V - CCD イメージセンサ S11850-1106, S11511 シリーズ用 は 当社製 CCDイメージセンサ S11850-1106, S11511 シリーズ用に開発された駆動回路です USB 2.0インターフェースを用いて とPCを接続することにより PCからの制御でセンサのアナログビデオ信号をデジタル出力に変換し PCに取り込むことができます は センサを駆動するセンサ基板 センサ基板の駆動と

More information

Microsoft PowerPoint _ISOBUS-seminar.pptx

Microsoft PowerPoint _ISOBUS-seminar.pptx ISO 11783/ISOBUS の概要 農業情報設計社濱田安之 そもそも ISO 11783/ISOBUS とは ISO 11783 は農業機械の内部 あるいはトラクタと作業機等の農業機械の間で 走行速度や PTO 回転数等の情報を送受信する際の通信プロトコル ( 通信手順の規定 ) を規定した国際標準 ISOBUS は 国際標準 ISO11783 を基に 実装や適合試験 ( 対象の機器が規定に合致するかどうかを確認する試験

More information

2) では, 図 2 に示すように, 端末が周囲の AP を認識し, 認識した AP との間に接続関係を確立する機能が必要である. 端末が周囲の AP を認識する方法は, パッシブスキャンとアクティブスキャンの 2 種類がある. パッシブスキャンは,AP が定期的かつ一方的にビーコンを端末へ送信する

2) では, 図 2 に示すように, 端末が周囲の AP を認識し, 認識した AP との間に接続関係を確立する機能が必要である. 端末が周囲の AP を認識する方法は, パッシブスキャンとアクティブスキャンの 2 種類がある. パッシブスキャンは,AP が定期的かつ一方的にビーコンを端末へ送信する ns-2 による無線 LAN インフラストラクチャモードのシミュレーション 樋口豊章 伊藤将志 渡邊晃 名城大学理工学部 名城大学大学院理工学研究科 1. はじめに大規模で複雑なネットワーク上で発生するトラヒックを解析するために, シミュレーションは有効な手段である. ns-2(network Simulator - 2) はオープンソースのネットワークシミュレータであり, 多くの研究機関で利用されている.

More information

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4011BP,TC4011BF,TC4011BFT TC4011BP/TC4011BF/TC4011BFT Quad 2 Input NAND Gate は 2 入力の正論理 NAND ゲートです これらのゲートの出力は すべてインバータによるバッファが付加されているため 入出力特性が改善され 負荷容量の増加による伝達時間の変動が最小限に抑えられます

More information

Microsoft PowerPoint - RL78G14_動画マニュアル_タイマRD.ppt [互換モード]

Microsoft PowerPoint - RL78G14_動画マニュアル_タイマRD.ppt [互換モード] RL78/G14 周辺機能紹介タイマ RD ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ タイマ RD の概要 PWM 機能のプログラム サンプル紹介 相補 PWM モードのプログラム サンプル紹介 2 タイマ RD の概要 3 タイマ RD の機能 モード 使用チャネル チャネル0, チャネル1 独立で使用

More information

JAJP.qxd

JAJP.qxd Agilent U7231A DDR3 コンプライアンス テスト アプリケーション Infiniium 54850/80000/90000シリーズ オシロスコープ用 Data Sheet DDR3 Agilent U7231A DDR3 DDR3 JEDEC 1 JESD79-3A DDR3 SDRAM Advanced Debug DDR3DDR2/DDR1 DDR2 DDR3 FBGA U7231A

More information

Microsoft Word PXシリーズプリンタドライバインストール説明書(Win8・10-32・64bit)

Microsoft Word PXシリーズプリンタドライバインストール説明書(Win8・10-32・64bit) プリンタードライバーインストール説明書 (Wndows10 32/64bit) 999-00-49-00-03 Windows10 32/64bit のドライバーについて プリンタードライバーのインストール手順について Card-Ⅲ プリンターを例に説明します 他のプリンターについてもプリンター名が異なるだけでインストール手順は同じです 64 ビットプリンタードライバーのインストールで進めます (32

More information

作成 承認 簡単取扱説明書 (S&DL 水位計 ) 応用計測サービス株式会社 (1.1)

作成 承認 簡単取扱説明書 (S&DL 水位計 ) 応用計測サービス株式会社 (1.1) 作成 承認 簡単取扱説明書 (S&DL 水位計 ) 応用計測サービス株式会社 2013.6(1.1) 本簡単取扱説明書は あくまで簡易な使用方法についての取扱説明書です ご使用に関 して機器取扱説明書を十分ご理解の上で正しくご使用くださるようお願いします 注意 本簡単取扱説明書は 簡易な使用方法についての取扱説明 書です 詳細については機器取扱説明書十分理解して使用 してください 1 本品仕様 P-1

More information

TekExpress 10GBASE-T/NBASE-Tデータ・シート

TekExpress 10GBASE-T/NBASE-Tデータ・シート Ethernet トランスミッタ テスト アプリケーション ソフトウェア TekExpress 10GBASE-T/NBASE-T データ シート Ethernet トランスミッタ テスト アプリケーションは 10GBASE-T NBASE-T および IEEE802.3bz ( 2.5G/ 5G) 規格に準拠した物理媒体接続部 (PMA) の物理層 (PHY) に対する電気テストが自動化でき Ethernet

More information

PPTフォーム(white)

PPTフォーム(white) Spartan-6 概要 株式会社 PALTEK Engineering Group Proprietary to PALTEK CORPORATION 1 アジェンダ Spartan-6 導入 概要 Spartan-6 アーキテクチャ CLB ブロック RAM SelectIO クロック DSP メモリコントローラブロック (MCB) GTP 2 概要 ( ファミリ ) Virtex-6 LXT

More information

コネクタおよびケーブルの仕様

コネクタおよびケーブルの仕様 APPENDIX B コネクタの仕様 (P.B-1)l (P.B-5) コネクタの仕様 10/100/1000 ポート (P.B-1) 10 ギガビットイーサネット CX1(FP+ Copper) コネクタ (P.B-2) SFP および SFP+ モジュール (P.B-2) 10/100 イーサネット管理ポート (P.B-3) コンソールポート (P.B-4) 10/100/1000 ポート スイッチの

More information

CCD リニアイメージセンサ用駆動回路 C CCD リニアイメージセンサ (S11155/S ) 用 C は 当社製 CCDリニアイメージセンサ S11155/S 用に開発された駆動回路です S11155/S11156-

CCD リニアイメージセンサ用駆動回路 C CCD リニアイメージセンサ (S11155/S ) 用 C は 当社製 CCDリニアイメージセンサ S11155/S 用に開発された駆動回路です S11155/S11156- CCD リニアイメージセンサ用駆動回路 C11165-02 CCD リニアイメージセンサ (S11155/S11156-2048-02) 用 C11165-02は 当社製 CCDリニアイメージセンサ S11155/S11156-2048-02 用に開発された駆動回路です S11155/S11156-2048-02と組み合わせることにより分光器に使用できます C11165-02 は CCD 駆動回路

More information

REX-C56EX FAX送信 第5.0版

REX-C56EX FAX送信 第5.0版 OS 付属ソフトの設定から FAX 送信まで FAX 送信 REX-C56EX 2015 年 10 月第 5.0 版 Windows 10 Vista の場合の場合 付属の FAX ソフトの設定など詳細は Microsoft 社にお問い合せください 1. FAX の設定をする 1-1. 1-3. 1 ツール をクリック 2 すべてのアプリ をクリック 2 FAX の設定 をクリック 1 スタート をクリック

More information

NCB564個別00版

NCB564個別00版 HES-M00 シリーズの新機能 脱調レス / 脱調検出 1 1. 概要 EtherCAT モーションコントロール機能内蔵 2 相マイクロステップモータドライバ HES-M00 シリーズにエンコーダ入力が追加され, 脱調検出 / 脱調レス等の機能が付加されました 2. 仕様 項目 仕様 備考 制御軸数 1 ボードで 1 軸制御 最大 枚 ( 軸制御 ) までスタック可能 電源電圧 ( モータ駆動電圧

More information

Microsoft Word - TC4013BP_BF_J_P9_060601_.doc

Microsoft Word - TC4013BP_BF_J_P9_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4013BP,TC4013BF TC4013BP/TC4013BF Dual D-Type Flip Flop は 2 回路の独立な D タイプ フリップフロップです DATA 入力に加えられた入力レベルはクロックパルスの立ち上がりで Q および Q 出力に伝送されます SET 入力を H RESET 入力を L にすると Q 出力は H Q

More information

Microsoft Word - AK8133_MS0930_J_05.doc

Microsoft Word - AK8133_MS0930_J_05.doc AK8133 Multi Clock Generator for Audio AK8133 は 高性能オーディオ用 PLL クロックジェネレータ IC です 27MHz 水晶振動子または外部からの 27MHz 入力から複数のオーディオ用クロックを同時に生成します 出力周波数は端子設定により選択できますので各種オーディオシステムに適用することができます AK8133 は出力周波数近傍のスプリアスを大幅に軽減していますので水晶発振器を用いた場合と同等の

More information

LOS Detection Comparison in Optical Receiver

LOS Detection Comparison in Optical Receiver Design Note: HFDN-34.0 Rev. 1; 04/08 MAX3991 を使用した 10Gbps 光レシーバでの正確なロスオブシグナル (LOS) 検出 AAILABLE MAX3991 を使用した 10Gbps 光レシーバでの正確なロスオブシグナル (LOS) 検出 1 はじめに ロスオブシグナル (LOS) のモニタは 10Gbps XFP 光モジュールでシステムのディジタル診断を行う場合に必要となります

More information

Agilent U7238A MIPI D-PHY Infiniium Data Sheet エンベディッド D-PHY データ リンクの検証とデバッグ Agilent U7238A MIPI D-PHY Infiniium D-PHY CSI DSI D-PHY U7238A MIPI D-PHY

Agilent U7238A MIPI D-PHY Infiniium Data Sheet エンベディッド D-PHY データ リンクの検証とデバッグ Agilent U7238A MIPI D-PHY Infiniium D-PHY CSI DSI D-PHY U7238A MIPI D-PHY Agilent U7238A MIPI D-PHY Infiniium Data Sheet エンベディッド D-PHY データ リンクの検証とデバッグ Agilent U7238A MIPI D-PHY Infiniium D-PHY CSI DSI D-PHY MIPI Alliance Specification for D-PHY v0.90.00 Section 8 4 GHz TX MIPI

More information

アプリケーション ノート USB 2.0 物理レイヤ テスト はじめに USB 2.0 対応のデバイス設計 特性評価および動作確認に携わっているエンジニアは 製品の市場投入のスピードアップを日々迫られています 当社の測定パッケージでは USB-IF(USB Implements Forum, Inc

アプリケーション ノート USB 2.0 物理レイヤ テスト はじめに USB 2.0 対応のデバイス設計 特性評価および動作確認に携わっているエンジニアは 製品の市場投入のスピードアップを日々迫られています 当社の測定パッケージでは USB-IF(USB Implements Forum, Inc アプリケーション ノート USB 2.0 物理レイヤ テスト はじめに USB 2.0 対応のデバイス設計 特性評価および動作確認に携わっているエンジニアは 製品の市場投入のスピードアップを日々迫られています 当社の測定パッケージでは USB-IF(USB Implements Forum, Inc.) 推奨のすべてのコンプライアンス テストが すばやく 正確に実行できます USB 2.0(Universal

More information

GOT機能サンプル バックアップ/リストア機能説明書

GOT機能サンプル バックアップ/リストア機能説明書 GOT 機能サンプルバックアップ / リストア機能説明書 三菱電機株式会社 1 2 1. バックアップ / リストア機能機能の特長 対象データ プログラム パラメータ デバイスコメント デバイス初期値データ ファイルレジスタ 対象機種 MELSEC-Q シリーズ (Q12PRH/Q25PRHCPU は除く ) モーションコントローラ Q シリーズ (SV13/SV22 のみ ) CNC C70 使用可能な接続形態

More information

Slide 1

Slide 1 CMOS イメージセンサ向けプローブカードに求められる 信号の高速化と低電源ノイズ要求に対する最近の取り組みについて Minoru Mikami, Electrical Design Engineer Formfactor Inc. SPG Group Agenda 1. Overview 2. CIS(CMOS Image Sensor) Probe Card History 3. MIPI D-PHY

More information

オシロスコープ マスクテスト機能(アイパターン測定)ついて

オシロスコープ マスクテスト機能(アイパターン測定)ついて オシロスコープマスクテスト機能 ( アイパターン測定 ) ついて 2013 年 7 月アジレントテクノロジー株式会社アプリケーションエンジニアリング部 1 お願い下記の PDF の URL のみ 2014 年 8 月以降無効となります http://cp.literature.agilent.com/litweb/pdf/xxxxxx.pdf 新しい URL に読み替えて ご利用下さい http://literature.cdn.keysight.com/litweb/pdf/xxxxxx.pdf

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 ADC A/D コンバータ ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ ADC の概要 ソフトウエア トリガ セレクト モード 連続変換モードのプログラム サンプル紹介 2 ADC の概要 3 ADC のブロック図 パワー オフが可能 入力 選択 記憶 比較 基準電圧 変換結果

More information

(Microsoft Word - ZigBee\226\263\220\374\203\202\203W\203\205\201[\203\213\202\314\221g\215\236\202\335\202\306\203e\203X\203g_layout_shiba3-yw.docx)

(Microsoft Word - ZigBee\226\263\220\374\203\202\203W\203\205\201[\203\213\202\314\221g\215\236\202\335\202\306\203e\203X\203g_layout_shiba3-yw.docx) MDO4000 シリーズアプリケーション ノート はじめに組込みの ZigBee( またはその他の IEEE 802.15.4 ベースのプロトコル ) 無線ソリューションの設計では それを利用する最終製品への統合においていくつかのトレードオフがあります 問題は 最終アプリケーションの性能要求に対する 統合のレベルと開発コストのバランスをとることです ローコストの無線技術がさまざまな電気製品のアプリケーションで普及するにつれ

More information

観測波形 赤いエリアに波形が入り込まなければ規格を満足しています.5mではより厳しいTP2の規格でも満足しています.5mケーブル使用時 TP2規格 TP3規格 -.1-5mケーブル使用時 2

観測波形 赤いエリアに波形が入り込まなければ規格を満足しています.5mではより厳しいTP2の規格でも満足しています.5mケーブル使用時 TP2規格 TP3規格 -.1-5mケーブル使用時 2 2 1 2 2 224 48 7 11 15 12 2 2 48 21 1 4 IEEE1394 USB1.1 USB2. 1 2 1.5 12 1.5 12 (Low speed) (Full speed) 4 48 (High speed) 5 5 * 29 年には USB3. がリリースされる予定で 5Gbps の SuperSpeed が追加される 224 4824 TP4 TP3 TP2

More information

卒業研究報告

卒業研究報告 卒業研究報告 題 目 VCSEL-array 指導教員 報告者 平成 14 年 2 月 5 日 高知工科大学電子 光システム工学科 1-1 3 2-1 5 2-2 7 3-1-1 VCSEL 8 3-1-2 VCSEL VCSEL-array 8 3-2 9 3-3 10 3-4-1 VCSEL 10 3-4-2 15 3-4-3 16 3-5-1 VCSEL-array 19 3-5-2 21 3-5-3

More information

TF Series with Tio1608-D System Setup Guide

TF Series with Tio1608-D System Setup Guide システムセットアップガイド 第 1 版 : 2016 年 6 月 このガイドでは ヤマハデジタルミキシングコンソール TF シリーズ と I/O ラック Tio1608-D を使用したミキシングシステムのセットアップ手順や Tio1608-D の台数に応じたシステム例を紹介します TF シリーズは単体でも使用することができますが Tio1608-D を併用することで簡単にシステムを拡張することができ

More information

Microsoft Word - FCTT_CS_Mod( )Jver1.doc

Microsoft Word - FCTT_CS_Mod( )Jver1.doc FCTT 通信仕様書 (Modbus RTU) 目 次 1. 通信仕様 2 2. 送受信プロトコル 2 3. -16 の計算方法 3 4. 通信手順フローチャート 4 5. FCTT 通信端子配列 4 6. Modbus プロトコル RTU モード 5 6.1 5 6.2 異常応答 5 6.3 計測値データ要求 6 6.4 機種情報要求 7 7. 通信モニタ機能 8 1 1. 通信仕様 項目 仕様

More information

Keysight Technologies InfiniiumオシロスコープによるUSB 2.0コンプライアンス・テスト

Keysight Technologies InfiniiumオシロスコープによるUSB 2.0コンプライアンス・テスト Keysight Technologies Infiniium USB 2.0 Application Note USB Universal Serial Bus 1995 PC PC PC USB USB USB 30 USB 1 127 USB 1.1 Low Speed 1.5 Mb/s Full Speed 12 Mb/s USB-Implementers Forum USB-IF 2000

More information

:30 18:00 9:30 12:00 13:00 17:00

:30 18:00 9:30 12:00 13:00 17:00 http://pioneer.jp/support/ 0120-944-222 044-572-8102 9:30 18:00 9:30 12:00 13:00 17:00 この取扱説明書について 製品本体の USB DAC 端子に USB ケーブルでパソコンを接続すると パソコンからの音声信号を再生できます この機能を使用するためには 専用のドライバーソフトウェアをパソコンにインストールする必要があります

More information

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン 蓄積時間の可変機能付き 高精度駆動回路 は 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です センサの駆動に必要な各種タイミング信号を供給し センサからのアナログビデオ信号 を低ノイズで信号処理します 2 種類の外部制御信号 ( スタート クロック ) と 2 種類の電源 (±15 )

More information

49Z-12716-2.qxd (Page 1)

49Z-12716-2.qxd (Page 1) www.tektronix.co.jp µ 全 A = 1/4N * ( T 1-T 2 ), (i =1...N) ディスク ドライブ設計のための測定ソリューション アプリケーション ノート 図 6. リード チャンネルの電流を生成するために使用する任意波形ゼネレー タと電流プローブ リード ライト ヘッドの電流 ライト ヘッドの電流振幅は ヘッド リードを電流プ ローブでルーピングすることにより簡単に測定できま

More information

機能 SB-2000 は無線機とコンピュータを接続するインターフェースです CAT, CI/V を経由したリグ制御 CAT や CI/V のリグ制御のインターフェースを持っています この接続でリグを制御できます RTTY, PSK31, SSTV, FAX, その他のデジタルモードが運用できます広く

機能 SB-2000 は無線機とコンピュータを接続するインターフェースです CAT, CI/V を経由したリグ制御 CAT や CI/V のリグ制御のインターフェースを持っています この接続でリグを制御できます RTTY, PSK31, SSTV, FAX, その他のデジタルモードが運用できます広く CG アンテナ SB-2000 USB 無線インターフェース 操作マニュアル CG Antenna Co. Ltd. Shanghai, China 日本語版 Copyright エレクトロデザイン株式会社 特徴 USB ポートを接続するだけ シリアルやパラレルの接続は不要です 多くの無線用ソフトウエアは制御に RS232C の COM ポートを使いますが 最近のパソコンには COM ポートがありません

More information

(最終)Xena_M1QFP28SFP28_

(最終)Xena_M1QFP28SFP28_ Xena M1QFP28SFP28 M1QFP28SFP28 は 5 種の異なる Ethernet ネットワーク (100G/50G/40G/25G/10GE) を提供する汎用性の高いテストソリューションです このユニークなモジュールにより 異なる物理トランシーバーケージとフォームファクターの間をダイナミックに選択できます 本モジュールは QSFP28/QSFP+ ケージを 1 つ SFP28/SFP+

More information

Microsoft Word - SPARQアプリケーションノートGating_3.docx

Microsoft Word - SPARQアプリケーションノートGating_3.docx SPARQ を使用したフィクスチャの S パラメータ抽出 TECHNICAL BRIEF 伊藤渉 Feb 3, 2014 概要 SMA や K コネクタ等ではない非同軸タイプのコネクタを使用する DUT をオシロスコープで測定するにはコネクタの変換の為にフィクスチャを使用します このフィクスチャの伝送特性を差し引き DUT のみの特性を求めたい場合 フィクスチャの伝送特性を抽出することは通常では困難です

More information

How to use Keysight B2900A Quick I/V Measurement Software

How to use Keysight B2900A Quick I/V Measurement Software Keysight B2900A Quick I/V メジャメントソフトウエアの使い方 Keysight Technologies 28 th of Sep, 2015 Keysight B2900A Quick I/V メジャメントソフトウエア PC 向けの無償ソフトウエアです 本体と PC 間は GPIB, USB あるいは LAN で接続できます 最大 4 チャンネルの SMU や Power

More information

Microsoft Word - TC4538BP_BF_J_2002_040917_.doc

Microsoft Word - TC4538BP_BF_J_2002_040917_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC438BP,TC438BF TC438BP/TC438BF Dual Precision Retriggerable/Resettable Monostable Multivibrator は リトリガ動作 リセット動作の可能な単安定マルチバイブレータでトリガは A B 2 つの入力により立ち上がり および立ち下がりのどちらでも行うこともできます

More information

Microsoft Word - TC74HC107AP_AF_J_P9_060201_.doc

Microsoft Word - TC74HC107AP_AF_J_P9_060201_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC17AP,TC74HC17AF Dual J-K Flip-Flop with Clear TC74HC17A は シリコンゲート CMOS 技術を用いた高速 CMOS JK フリップフロップです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます J および K 入力に与えられた論理レベルに従って クロックの立ち下がりで出力が変化します

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介安全機能 ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ 安全機能の概要 フラッシュ メモリ CRC 演算機能 RAM パリティ エラー検出機能 データの保護機能 RAM ガード機能 SFR ガード機能 不正メモリ アクセス機能 周辺機能を使用した安全機能 周波数検出機能 A/D

More information

SAC (Jap).indd

SAC (Jap).indd 取扱説明書 機器を使用する前に本マニュアルを十分にお読みください また 以後も参照できるよう保管してください バージョン 1.7 目次 目次 について...3 ご使用になる前に...3 インストール手順...4 ログイン...6 マネージメントソフトウェアプログラムの初期画面... 7 プロジェクタの検索...9 グループの設定... 11 グループ情報画面... 12 グループの削除... 13

More information

2

2 1 www.tektronix.co.jp/video_audio 2 www.tektronix.co.jp/video_audio 3 www.tektronix.co.jp/video_audio 4 www.tektronix.co.jp/video_audio MPEGテスト システム MTS400シリーズ SI/PSI & PSIP テーブル 表示 図6 SI/PSI & PSIPテーブル表示

More information

ATB-A400CAN

ATB-A400CAN ATB-M2M CAN 対応ボード ATB-AGAD-CAN 製品マニュアル Version 1.1.0 2016/3/2 アドバリーシステム株式会社 目次 1. はじめに... 1 2. ボード説明... 2 2.1 ボード概要... 2 2.1.1 部品実装図... 2 2.2 基板実装方法... 3 2.2.1 組み立て... 3 2.2.2 付属品... 3 2.3 ジャンパ設定... 4

More information

MU120138A 10ギガビットイーサネットモジュール 製品紹介

MU120138A 10ギガビットイーサネットモジュール 製品紹介 Product Introduction MU120138A 10 ギガビットイーサネットモジュール MD1230B データクオリティアナライザ MP1590B ネットワークパフォーマンステスタ MU120138A 次世代 10GbE 測定モジュール 製品紹介 アンリツ株式会社 Slide 1 Express Flow 10GbE module MU120138A - 10 Gigabit Ethernet

More information

オートビュー

オートビュー IODEP マニュアル SELCO マルチプレクサ SXC-16LT rev 1.0 2013/04/18 株式会社 Javatel 2013 Javatel 1 目次 IODEP マニュアル SELCO マルチプレクサ SXC-16LT rev 1.0... 1 目次... 2 1 この文書について... 3 2 変更履歴... 4 3 ハードウェアの準備... 5 3.1 SELCO マルチプレクサ

More information

目次 ページ 1. 本マニュアルについて 3 2. 動作環境 4 3. ( 前準備 ) ライブラリの解凍と保存 5 4. モデルのインポート 6 5. インポートしたモデルのインピーダンス計算例 8 6. 補足 単シリーズ 単モデルのインポート お問い合わせ先 21 2

目次 ページ 1. 本マニュアルについて 3 2. 動作環境 4 3. ( 前準備 ) ライブラリの解凍と保存 5 4. モデルのインポート 6 5. インポートしたモデルのインピーダンス計算例 8 6. 補足 単シリーズ 単モデルのインポート お問い合わせ先 21 2 SIMetrix/SIMPLIS ライブラリ ユーザーマニュアル 2018 年 8 月 株式会社村田製作所 Ver1.0 1 22 August 2018 目次 ページ 1. 本マニュアルについて 3 2. 動作環境 4 3. ( 前準備 ) ライブラリの解凍と保存 5 4. モデルのインポート 6 5. インポートしたモデルのインピーダンス計算例 8 6. 補足 単シリーズ 単モデルのインポート

More information

__________________

__________________ 第 1 回シミュレータとモデル第 3 回伝送線路シミュレータの検証 1. シミュレーション結果の検証電卓で計算をするとき みなさんは その結果を確認しますか? またどのような確認をするでしょう たとえば 108 x 39 = 5215 となった場合 5215 をそのまま答えとして書きますか? 多分 何らかの検算をして 答えはおかしいと思うでしょう もう一度 計算をしなおすか 暗算で大体の答えの予想を付けておいて

More information

マスターからスレーブと スレーブからマスターへの 2 つの経路について時間差を計算する必要があります まずマスターからスレーブへの経路について時刻の差を算出します : 最初のタイムスタンプは T1 です マスターが Sync メッセージを送信した正確な時刻であり Sync メッセージがイーサネットポ

マスターからスレーブと スレーブからマスターへの 2 つの経路について時間差を計算する必要があります まずマスターからスレーブへの経路について時刻の差を算出します : 最初のタイムスタンプは T1 です マスターが Sync メッセージを送信した正確な時刻であり Sync メッセージがイーサネットポ White Paper W H I T E P A P E R Precision Time Protocol (PTP) "Smarter Timing Solutions" IEEE-1588 規格で定義された Precision Time Protocol(PTP) は ローカル エリア ネットワーク (LAN) の上のコンピュータをこれまで不可能とされた精度で時刻同期させる手段を提供します

More information

アプリケーション ノート 波形サンプル解析 機能 性能が向上するにつれ エンジニアは回路内のアナログ信号 デジタル信号の両方に注意を払う必要があります テストは複雑なため DUT(Device Under Test 被測定デバイス) のさまざまなテスト ポイントで信号を観測できる特殊なツールが必要に

アプリケーション ノート 波形サンプル解析 機能 性能が向上するにつれ エンジニアは回路内のアナログ信号 デジタル信号の両方に注意を払う必要があります テストは複雑なため DUT(Device Under Test 被測定デバイス) のさまざまなテスト ポイントで信号を観測できる特殊なツールが必要に アプリケーション ノート DDR や SoC など 高速デジタル回路の新しい検証とデバッグ手法 はじめに 最新の組込み / コンピューティング システムは 高速のバス 業界規格によるサブシステム さらにはチップに集積された機能などにより ますます高機能化しています 高機能化になっただけでなく システムはますます複雑になり 信号品質の影響も受けやすくなっているため トラブルシュートには時間がかかるようになっています

More information

Microsoft Word - TC4017BP_BF_J_P10_060601_.doc

Microsoft Word - TC4017BP_BF_J_P10_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4017BP,TC4017BF TC4017BP/TC4017BF Decade Counter/Divider は ステージの D タイプ フリップフロップより成る 進ジョンソンカウンタで 出力を 進数に変換するためのデコーダを内蔵しています CLOCK あるいは CLOCK INHIBIT 入力に印加されたカウントパルスの数により Q0~Q9

More information

(C) Copyright CANVASs Co

(C) Copyright CANVASs Co (C) Copyright CANVASs Co., Ltd. ===================================================== ソフト名 SST G1Pro アップデートインストーラ 対象製品 SST G1 Pro 日本語版 / 英語版 登録名 SST G1 Pro Ver.1.1.39 アプリケーション名 setup.exe 著作権者 株式会社カンバス

More information

RP-VL-S-01, RP-VL-R-01

RP-VL-S-01, RP-VL-R-01 テクニカルリファレンス有線 LAN 対応標準型画像配信ユニット RP-VL-S-01 有線 LAN 対応標準型画像配信ユニット RP-VL-R-01 目次 1. トラブルシューティングを開始する前に...3 2. 凡例...3 3. トラブルシューティング...4 3.1. 画面が映らない ( 側モニタ )...4 3.2. 画面が映らない ( 側モニタ )...8 3.3. 画面がずれる / 切れる

More information

リモートアクセス Smart Device VPN ユーザマニュアル [ マネージドイントラネット Smart Device VPN 利用者さま向け ] 2015 年 10 月 20 日 Version 1.6 bit- drive Version 1.6 リモートアクセス S

リモートアクセス Smart Device VPN ユーザマニュアル [ マネージドイントラネット Smart Device VPN 利用者さま向け ] 2015 年 10 月 20 日 Version 1.6 bit- drive Version 1.6 リモートアクセス S リモートアクセス Smart Device VPN [ マネージドイントラネット Smart Device VPN 利用者さま向け ] 2015 年 10 月 20 日 Version 1.6 bit- drive 1/83 目次 1 はじめに 3 1-1 本マニュアルの目的... 3 1-2 注意事項... 3 1-3 ご利用のイメージ... 4 2 の設定フロー概略 5 3 スマートフォン (Android4.4)

More information

CS-3000/5000 用 LabView ドライバ 取扱説明書 第 1 版 2014/7/28 岩通計測株式会社 1. 使用条件 a. 装置 : 岩通計測製 CS-3000/CS-5000 シリーズ b. 動作確認 PC/OS:IBM PC/AT 互換機 マイクロソフト Windows7 c.p

CS-3000/5000 用 LabView ドライバ 取扱説明書 第 1 版 2014/7/28 岩通計測株式会社 1. 使用条件 a. 装置 : 岩通計測製 CS-3000/CS-5000 シリーズ b. 動作確認 PC/OS:IBM PC/AT 互換機 マイクロソフト Windows7 c.p CS-3000/5000 用 LabView ドライバ 取扱説明書 第 1 版 2014/7/28 岩通計測株式会社 1. 使用条件 a. 装置 : 岩通計測製 CS-3000/CS-5000 シリーズ b. 動作確認 PC/OS:IBM PC/AT 互換機 マイクロソフト Windows7 c.pc インタフェース :TCP/IP d.labview バージョン :LabView(Ver7.1)

More information

1. WebShare 編 1.1. ログイン / ログアウト ログイン 1 WebShare の URL にアクセスします xxxxx 部分は会社様によって異なります xxxxx. 2 ログイン名 パスワードを入力し

1. WebShare 編 1.1. ログイン / ログアウト ログイン 1 WebShare の URL にアクセスします   xxxxx 部分は会社様によって異なります xxxxx. 2 ログイン名 パスワードを入力し 操作ガイド Ver.2.3 目次 1. WebShare 編... - 2-1.1. ログイン / ログアウト... - 2-1.2. 表示更新... - 4-1.3. Java インストール... - 5-1.4. ファイル フォルダ一覧... - 11-1.4.1. フォルダ参照方法... - 11-1.4.2. フォルダ作成... - 16-1.4.3. アップローダ... - 18-1.4.4.

More information