アーキテクチャと形式的検証の協調 による超ディペンダブル VLSI 戦略的創造研究推進事業 ディペンダブル VLSI システムの基盤技術 東京大学大学院情報理工学系研究科 坂井修一 ( 代表者 ) 五島正裕 東京大学大規模集積システム設計教育研究センター (VDEC) 藤田昌宏 東京工業大学大学院情

Size: px
Start display at page:

Download "アーキテクチャと形式的検証の協調 による超ディペンダブル VLSI 戦略的創造研究推進事業 ディペンダブル VLSI システムの基盤技術 東京大学大学院情報理工学系研究科 坂井修一 ( 代表者 ) 五島正裕 東京大学大規模集積システム設計教育研究センター (VDEC) 藤田昌宏 東京工業大学大学院情"

Transcription

1 アーキテクチャと形式的検証の協調 による超ディペンダブル VLSI 戦略的創造研究推進事業 ディペンダブル VLSI システムの基盤技術 東京大学大学院情報理工学系研究科 坂井修一 ( 代表者 ) 五島正裕 東京大学大規模集積システム設計教育研究センター (VDEC) 藤田昌宏 東京工業大学大学院情報理工学研究科 吉瀬謙二 日本電気 ( 株 ) 若林一敏 2011/7/2 DVLSI-CREST

2 全体マップ : ディペンダビリティ階層 (1) 形式的検証手法 等価性検証ソフトウェア ハード ソフト協調による検証高速化 エミュレータへの応用 ボトムアップ トップダウン協調検証 算術回路合成 検証 高速化 設計解析技術 デバッグ支援 (2) テスト技術 テスト段階修復技術 テスト容易化 検証容易化を実現する設計手法 プログラマブル素子自動挿入 (3) 回路技術 タイミング制約緩和回路 (4) アーキテクチャ技術 故障検出 回復機構の提案 実現 耐永久故障 FPGA それぞれの階層で技術開発 全体を通した最適化 最新アーキテクチャの検証 Best Effort Design Run Time Recovery 前半 3 年 : 方式検討 基本設計 実験システム構築 評価後半 2 年 : プロトタイプ試作と評価 要素技術の統合 2011/7/2 耐故障高機能ルータ 超ディペンダブルプロセッサ 超ディペンダブルメニコア (5)(6) 新アーキテクチャ形式的検証 ディペンダブルアーキテクチャ技術自体を形式的に検証 既存のアーキテクチャ 最新のアーキテクチャを形式的に検証 (7) 各設計階層間のディペンダビリティ役割分担を 最適化 DVLSI-CREST

3 形式的検証 ( 藤田 若林 )

4 基本戦略 (SoC 内のブロックごと ) 出口戦略 ( 発展テーマ ): 実設計での評価 改良 検証ツールにおける NEC 他との協調 算術演算回路設計 検証ツール ポストシリコンデバッグ ツール C ベース設計記述 1 C ベース設計記述 2 設計ライブラリ ( 算術演算回路支援 ) チップの意図通りの正しい動作の保証 ( 向上 ): 仕様 ( 元の C 記述 ) 通りの動作 誤設計のチップ製造後のデバッグと修正 ( 元の C 設計上のバグをチップ製造後に修正 ) 等価性検証 CWB の例題 C ベース設計記述 n NEC Cyber Work Bench (CWB) 高位合成 設計環境 ( ポストシリコンデバッグ支援機構 ) RTL 設計 ポストシリコンデバッグサポート 等価性検証 ( チップ コア ブロック ) SoC 全体へは シミュレーション ベース検証と統計的解析技術を導入 DVLSI-CREST 2011/7/2

5 等価性検証ツール (NEC との協調 ) C 記述 vs C 記述の等価性検証をターゲットに評価 評価の結果 C vs RTLの等価性検証は現在の提案方式で効率的に検証することには時間がかかる ( 要内部等価点の生成 伝達 ) C 記述 vs C 記述の等価性検証の検証に有効性がありそう 実用 IP 回路を検証するための課題を洗い出し DES 暗号回路 浮動小数点演算回路の検証を試行し 縮小データで検証成功 演算種類 ビット幅に対する耐性が強いものの 条件分岐に対して課題あり 機能改善アイデアを試行中 検証パス数の爆発を抑えるための手法 一度の検証範囲を小さくするための手法 void main(){ b_0 = a_0 a_1 a_2; y_0 = x_0; y_1 = x_1; y_2 = x_2; } void main(){ tmp0 = a_0 a_1; b_0 = tmp0 a_2; y_0 = x_0; y_1 = x_1; y_2 = x_2; } 記述の差異のある部分から検証が必要な部分を抽出 その部分に絞って検証 差異がなく検証不要な部分 検証済の部分を階層検証の手法で省略して効率化

6 Post-silicon デバッグ : 製造後機能修正可能なハードウェア技術 C プログラム 小規模な製造後修正 ( 仕様変更 故障修復等 ) 修正 C プログラム x << x x x << スケジューリング ( 高位合成 ) 配線 ALU ALU MUL SHFT 制御回路 特定用途専用ハードウェア パッチ回路 ( 提案方式 ) 整数線形計画法に基づくインクリメンタル スケジューリング ( 提案手法 ) 製造後書き込みパッチ内容 回路全体をプログラマブルにするのではなく 制御回路を部分的に変更可能にすることで専用ハードウェア並みの効率 性能を実現

7 最新成果 : 高位設計記述間の最小差分抽出 C プログラム 小規模な設計修正 ( 仕様変更 故障修復等 ) 修正後 C プログラム コントロールデータフローグラフ (CDFG) x << x 最小差分抽出 x x 修正後 CDFG 差分 CDFG (Δ CDFG) x << x 変更なし種類変更新規追加除去 応用分野 インクリメンタル高位合成 ( 既設計の再利用 ) パッチ可能ハードウェア用パッチ生成 (post-silicon 修復 ) 高位設計記述の等価性検証 ( 差異に基づく検証 )

8 シミュレーション ベース検証 固定値による単純シミュレーションは少数の場合のみを検証 記号シミュレーションは網羅的だが 初期状態から浅くしか検証できない 両者の組合せによる バグを検出する確立が大きく増大 各種効率的な処理技術を開発 : State joining, Path pruning, Path abstraction, Path Program, Hybrid concolic approach 固定値シミュレーション 記号シミュレーション より効率的な探索 ( 検証カバレッジの向上 ) Hybrid concolic 実行 コスト関数 ( カバレッジ ) の導入 因果関係解析による時間を遡る方向への記号シミュレーション Hybrid concolic 実行との組合せ 2011/7/2 DVLSI-CREST

9 ディペンダブルアーキテクチャ ( 坂井 五島 )

10 耐過渡 耐永久故障 FPGA アーキテクチャ 使いやすい高信頼 LSI の要求 従来 : MIL 規格品 低性能, 高価, 低入手性 ( 長納期 ) 提案 : FPGA ベース 通常用途と両立することで, 安価で入手性に優れた LSI 置き換え L U T L U T L U T F F F F F F V o t e r 通常用途と両立 L U T L U T F F F F 高信頼化のための追加ロジックを最小化 通常用途時 高信頼用途時 フォールト検出 回復 TMR DPR 回復を行う制御回路 : Recovery Manager (RM) 従来手法 Software User Logic Hard-Wired Logic Config Subsystem RM Software User Logic Hard-Wired Logic Config Subsystem RM RM 従来方式 : ハードワイアード 単一障害点となる 通常用途時には余分な面積コスト 提案方式 : ユーザロジック 故障時に自分自身を再構成 通常用途時には面積コスト 0 通常用途と高信頼用途を両立 提案手法 オーバヘッドなし Software User Logic Hard-Wired Logic Config Subsystem Software User Logic RM RM Hard-Wired Logic Config Subsystem

11 ばらつきの増大とワーストケース設計 LSI の製造ばらつき プロセスの進化 ばらつき大 ワース トケース設計は悲観的になり過ぎる ワースト ケースではなく 現物 の遅延に合わせる 動的タイミング フォールト検出 回復 トランジスタの個数 最悪値の向上 典型値の向上 トランジスタの速度 度プロセス技術の世代速速度の平均値 ワーストケース設計における速度 ワーストに合わせると速度が上がらない

12 動的タイムボローイングを可能とするクロッキング方式 : ワースト遅延 : 実効遅延 動作周波数を決めるのは 単相 FF ワースト遅延のワースト 静的 TB 二相ラッチ ワースト遅延の累積 Razor 実効遅延のワースト 提案 = Razor 二層ラッチ 実効遅延の累積 ワースト遅延のワーストの半分 動的 TB

13 耐タイミング故障 OoO スーパスカラ プロセッサ 成果 故障検出 回復機能付き OoO スーパスカラ プロセッサをFPGAで試作, 基本動作を確認 LSQに生じるタイミング故障に対応するコミット方式を提案 今後の計画 ハイパフォーマンス系の研究成果を詰め込んだ本格的なプロセッサを試作予定本手法を用いた回復機構, コミット機構を実装 評価する ROB tp cp hp LSQ コミット tp cp hp tail head RB LRF D$ 新規開発中のプロセッサを実装予定の大容量 FPGA 基盤 新コミット方式ブロック図 キャッシュと LSQ の間に Retire Buffer を追加仮に LSQ でタイミング故障が発生しても Retire Buffer に正しいステートが保持されている

14 ディペンダビリティ支援ルータ ( 吉瀬 )

15 SmartCore システム 超ディペンダビリティ支援高機能ルータグループ 高機能ルータを核として送受信パケットのレベルで多重実行を実現するシステム 多数のコアと高機能ルータによってディペンダビリティ向上と速度向上を目指す 出口戦略 マルチコアコア / メニーコアのディペンダビリティ向上方式として確立 実用化 : 半導体ベンダーへの方式提供 車載システム ( 組込みシステム ) への展開 研究を支援する実用的な基盤環境の提供 ( ソフトウェアのウェブ公開 ) Off chip memory modules and switch Memory (1,0) Memory (2,0) Memory (3,0) Memory (n,0) In X In X In Y Arbiter Out X Out X Out Y Conventional I/O Conventional RISC module (0,0) (1,1) (1,2) (2,1) (2,2) (3,1) (3,2) (n,1) (n,1) In Y In INCC ID translation node type V master / mirror ID XBAR Switch Out Y Out INCC 高機能ルータアーキテクチャ冗長実行自動支援のためのパケットの複製 同一性検出 マージの機能を実現 パケットを送信する宛先を調整 パケットを比較してエラー検出 パケットを複製 2011/7/2 Many core processor chip Core (1, 1) INCC * memory (1, 1) Processing Element (1,m) (2,m) (3,m) Core (1, 2) memory (1, 2) Processing Element (n,m) * Inter Communication Controller 高機能ルータをもつマルチコアシステムアーキテクチャ M-Core INCC DVLSI-CREST

16 SmartCore システムによるメニーコアのディペンダビリティ向上 ディペンダビリティ向上 (3,2) のディペンダビリティ向上の為 (4,2) と多重実行 (3, 2): マスター 通信をすべてミラーに転送 (4, 2): ミラー マスターと同じ仮想 ID マスターからの通信のみで動作 (3, 2) のルータでCore(3, 2) とCore(4, 2) からのパケットを比較, エラー検出 Core (1, 1) (1, 1) Core (1, 2) (1, 2) (1, 1) (1, 2) Core (2, 1) (2, 1) Core (2, 2) (2, 2) (2, 1) (2, 2) Core (3, 1) (3, 1) (3, 1) (4, 1) Core (3, 2) Core (4, 1) Core (4, 2) (3, 2) (3, 2) (4, 2) 同じバイナリを実行 (4, 1) logical (3, 2) (4, 2) (3, 2) のディペンダビリティ向上のため (3, 2) と (4, 2) で多重実行する様子 パケットの受信と転送 パケットのチェックと送信 マスターミラーマスターミラー Core(3, 2) 仮想 (3, 2) Core(4, 2) (3) 仮想 (3, 2) (3) Core(3, 2) 仮想 (3, 2) Core(4, 2) (1) 仮想 (3, 2) (1) P (1) (2) (3, 2) (4, 2) P (3) (2) (3, 2) (4, 2) (1) (3, 2) にCore(3, 2) が受信するパケットが届く (2) (3, 2) はパケットを複製しCore(4, 2) に送信 (3) 各 Coreが同じパケットを受信 2011/7/2 (1) 各 Coreがパケットを送信 (2) (4, 2) はパケットの送信先を (3,2) に変更 (3) (3, 2) はCore(3, 2) とCore(4, 2) からの パケットを待ち合わせ, 比較. エラーがなければ送信 DVLSI-CREST

CLEFIA_ISEC発表

CLEFIA_ISEC発表 128 ビットブロック暗号 CLEFIA 白井太三 渋谷香士 秋下徹 盛合志帆 岩田哲 ソニー株式会社 名古屋大学 目次 背景 アルゴリズム仕様 設計方針 安全性評価 実装性能評価 まとめ 2 背景 AES プロジェクト開始 (1997~) から 10 年 AES プロジェクト 攻撃法の進化 代数攻撃 関連鍵攻撃 新しい攻撃法への対策 暗号設計法の進化 IC カード, RFID などのアプリケーション拡大

More information

首都大学東京 新技術説明会 日時 : 平成 27 年 9 月 25 日 ( 金 ) 場所 :JST 東京別館ホール ノイズ耐性フリップフロップの開発と 信頼性要求電子機器への応用可能性 首都大学東京システムデザイン研究科情報通信システム学域 教授 三浦幸也

首都大学東京 新技術説明会 日時 : 平成 27 年 9 月 25 日 ( 金 ) 場所 :JST 東京別館ホール ノイズ耐性フリップフロップの開発と 信頼性要求電子機器への応用可能性 首都大学東京システムデザイン研究科情報通信システム学域 教授 三浦幸也 首都大学東京 新技術説明会 日時 : 平成 27 年 9 月 25 日 ( 金 ) 場所 :JST 東京別館ホール ノイズ耐性フリップフロップの開発と 信頼性要求電子機器への応用可能性 首都大学東京システムデザイン研究科情報通信システム学域 教授 三浦幸也 本研究課題の背景 (1/2) ( 従来技術とその問題点 ) LSI の微細化 高速化 低電圧化 - ノイズマージンの低下化 - ノイズ ( ソフトエラー,

More information

2015 TRON Symposium セッション 組込み機器のための機能安全対応 TRON Safe Kernel TRON Safe Kernel の紹介 2015/12/10 株式会社日立超 LSIシステムズ製品ソリューション設計部トロンフォーラム TRON Safe Kernel WG 幹事

2015 TRON Symposium セッション 組込み機器のための機能安全対応 TRON Safe Kernel TRON Safe Kernel の紹介 2015/12/10 株式会社日立超 LSIシステムズ製品ソリューション設計部トロンフォーラム TRON Safe Kernel WG 幹事 2015 TRON Symposium セッション 組込み機器のための機能安全対応 TRON Safe Kernel TRON Safe Kernel の紹介 2015/12/10 株式会社日立超 LSIシステムズ製品ソリューション設計部トロンフォーラム TRON Safe Kernel WG 幹事 豊山 祐一 Hitachi ULSI Systems Co., Ltd. 2015. All rights

More information

ハード・ソフト協調検証サービス

ハード・ソフト協調検証サービス ハード ソフトのトータルサービス 富士通エレクトロニクス株式会社株式会社富士通ソフトウェアテクノロジーズ 目次 モデル概要 モデル 特徴 このサービス利用のメリット サービスメニュー 1 企画から開発 量産までトータルでサポート 富士通エレクトロニクスと富士通ソフトウェアテクノロジーズはお客様の製品開発を 企画段階から開発 量産までサポートします 製品開発をサポートする検証 認定作業のご提供 製品要求仕様の作成をコンサルティング

More information

統合的高信頼化設計のためのモデル化と検出 訂正 回復技術 研究代表者安浦寛人九州大学大学院システム情報科学研究院 DVLSI 領域会議 (2011/7/2) DVLSI 安浦チーム 1 研究の目標 さまざまな種類のエラー ( 製造故障 ソフトエラー タイミングエラー 設計誤り 不完全な仕様に基づく誤

統合的高信頼化設計のためのモデル化と検出 訂正 回復技術 研究代表者安浦寛人九州大学大学院システム情報科学研究院 DVLSI 領域会議 (2011/7/2) DVLSI 安浦チーム 1 研究の目標 さまざまな種類のエラー ( 製造故障 ソフトエラー タイミングエラー 設計誤り 不完全な仕様に基づく誤 統合的高信頼化設計のためのモデル化と検出 訂正 回復技術 研究代表者安浦寛人九州大学大学院システム情報科学研究院 研究の目標 さまざまな種類のエラー ( 製造故障 ソフトエラー タイミングエラー 設計誤り 不完全な仕様に基づく誤り 悪意のある攻撃など ) に対して 統一的な視点からディジタルLSIシステムのディペンダビリティを確保するための設計技術の確立を目指す ディペンダビリティの解析と対策回路の合成を行うEA

More information

Software-Defined Tester(SDT) を用いた高精度遅延測定による SDN/NFV 品質向上 富士通アドバンストテクノロジ株式会社システム技術統括部大久保克彦 0 Copyright 2017 FUJITSU AD

Software-Defined Tester(SDT) を用いた高精度遅延測定による SDN/NFV 品質向上 富士通アドバンストテクノロジ株式会社システム技術統括部大久保克彦 0 Copyright 2017 FUJITSU AD Software-Defined Tester(SDT) を用いた高精度遅延測定による SDN/NFV 品質向上 富士通アドバンストテクノロジ株式会社システム技術統括部大久保克彦 fatec-ood-2017@dl.jp.fujitsu.com 0 背景 リアルタイム性が必要な分野への適用 5G( 低遅延 ) による新たなサービス展開 ゲーム VoIP 動画医療金融車載 遅延がサービス品質に直結 End-to-End

More information

< B8CDD8AB B83685D>

< B8CDD8AB B83685D> () 坂井 修一 東京大学大学院情報理工学系研究科電子情報学専攻東京大学工学部電子情報工学科 / 電気電子工学科 はじめに アウトオブオーダ処理 工学部講義 はじめに 本講義の目的 の基本を学ぶ 場所 火曜日 8:40-0:0 工学部 号館 4 ホームページ ( ダウンロード可能 ) url: http://www.mtl.t.u-tokyo.ac.jp/~sakai/hard/ 教科書 坂井修一

More information

Microsoft PowerPoint - 6-盛合--日文.ppt

Microsoft PowerPoint - 6-盛合--日文.ppt CLEFIA Sony s s Lightweight Block Cipher Shiho Moriai Sony Corporation 1 目次 ソニーにおける暗号技術 ソニーのブロック暗号 :CLEFIA 設計の背景 アルゴリズム仕様 設計方針 実装性能評価 まとめ 2 ソニーにおける暗号技術 暗号 / 情報セキュリティ技術搭載製品の増加 各種暗号アルゴリズム 著作権保護 機器認証 電子マネー

More information

ムーアの法則に関するレポート

ムーアの法則に関するレポート 情報理工学実験レポート 実験テーマ名 : ムーアの法則に関する調査 職員番号 4570 氏名蚊野浩 提出日 2019 年 4 月 9 日 要約 大規模集積回路のトランジスタ数が 18 ヶ月で2 倍になる というムーアの法則を検証した その結果 Intel 社のマイクロプロセッサに関して 1971 年から 2016 年の平均で 26.4 ヶ月に2 倍 というペースであった このことからムーアの法則のペースが遅くなっていることがわかった

More information

科学技術振興調整費 中間成果報告書 若手任期付研究員支援 組込みアーキテクチャ協調型実時間 OS 研究期間 : 平成 13 年度 ~ 平成 15 年 6 月 北陸先端科学技術大学院大学田中清史

科学技術振興調整費 中間成果報告書 若手任期付研究員支援 組込みアーキテクチャ協調型実時間 OS 研究期間 : 平成 13 年度 ~ 平成 15 年 6 月 北陸先端科学技術大学院大学田中清史 科学技術振興調整費 中間成果報告書 若手任期付研究員支援 研究期間 : 平成 13 年度 ~ 平成 15 年 6 月 北陸先端科学技術大学院大学田中清史 研究計画の概要 p.1 研究成果の概要 p.3 研究成果の詳細報告 1. 動的スケジューリング方式に関する研究 p.5 2. μitron 仕様の API の実装 p.7 3. 試作 LSI における OS 機能の検証 p.9 引用文献 成果の発表

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション GSN を応用したナレッジマネジメントシステムの提案 2017 年 10 月 27 日 D-Case 研究会 国立研究開発法人宇宙航空研究開発機構 研究開発部門第三研究ユニット 梅田浩貴 2017/3/27 C Copyright 2017 JAXA All rights reserved 1 目次 1 課題説明 SECI モデル 2 GSN を応用したナレッジマネジメントシステム概要 3 ツリー型チェックリスト分析

More information

Presentation Title

Presentation Title コード生成製品の普及と最新の技術動向 MathWorks Japan パイロットエンジニアリング部 東達也 2014 The MathWorks, Inc. 1 MBD 概要 MATLABおよびSimulinkを使用したモデルベース デザイン ( モデルベース開発 ) 紹介ビデオ 2 MBD による制御開発フローとコード生成製品の活用 制御設計の最適化で性能改善 設計図ですぐに挙動確認 MILS:

More information

<4D F736F F F696E74202D C190DD B A CB48D65208E DC58F49205B8CDD8AB B83685D>

<4D F736F F F696E74202D C190DD B A CB48D65208E DC58F49205B8CDD8AB B83685D> 今さら聞けない高位合成 ~ 一から学ぶ高位合成 ~ シャープ株式会社電子デバイス事業本部副参事山田晃久 1 ハードウェア設計と抽象度 要求仕様 動作仕様設計制約 ( コスト 性能 消費電力 ) システムの実現方式を決定システム設計 ( 動作レベル設計 ) ( アーキテクチャ アルゴリズム ) システム分割 (HW/SW) 機能ブロック RTL 記述 機能設計 (RTL 設計 ) 論理合成 ハードウェアの処理を設計

More information

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx MATLAB/Simulink を使用したモータ制御アプリのモデルベース開発事例 ルネサスエレクトロニクス株式会社 第二ソリューション事業本部産業第一事業部家電ソリューション部 Rev. 1.00 2014 Renesas Electronics Corporation. All rights reserved. IAAS-AA-14-0202-1 目次 1. はじめに 1.1 モデルベース開発とは?

More information

ビッグデータ分析を高速化する 分散処理技術を開発 日本電気株式会社

ビッグデータ分析を高速化する 分散処理技術を開発 日本電気株式会社 ビッグデータ分析を高速化する 分散処理技術を開発 日本電気株式会社 概要 NEC は ビッグデータの分析を高速化する分散処理技術を開発しました 本技術により レコメンド 価格予測 需要予測などに必要な機械学習処理を従来の 10 倍以上高速に行い 分析結果の迅速な活用に貢献します ビッグデータの分散処理で一般的なオープンソース Hadoop を利用 これにより レコメンド 価格予測 需要予測などの分析において

More information

目次 1: 安全性とソフトウェア 2: 宇宙機ソフトウェアにおける 安全 とは 3:CBCS 安全要求とは 4: 宇宙機ソフトウェアの実装例 5: 安全設計から得た新たな知見 6: 今後 2

目次 1: 安全性とソフトウェア 2: 宇宙機ソフトウェアにおける 安全 とは 3:CBCS 安全要求とは 4: 宇宙機ソフトウェアの実装例 5: 安全設計から得た新たな知見 6: 今後 2 宇宙機ソフトウェアにおける 安全要求と設計事例 宇宙航空研究開発機構 (JAXA) 情報 計算工学センター (JEDI) 梅田浩貴 (Hiroki Umeda) 目次 1: 安全性とソフトウェア 2: 宇宙機ソフトウェアにおける 安全 とは 3:CBCS 安全要求とは 4: 宇宙機ソフトウェアの実装例 5: 安全設計から得た新たな知見 6: 今後 2 1.1 安全性とは 安全性と信頼性の違いの例開かない踏切りは

More information

3. 回路図面の作図 回路図の作成では 部品など回路要素の図記号を配置し 要素どうしを配線するが それぞれの配線には 線番 などの電気的な情報が存在する 配線も単なる線ではなく 信号の入力や出力など部品どうしを結び付ける接続情報をもたせることで回路としての意味をもつ このように回路図を構成する図面は

3. 回路図面の作図 回路図の作成では 部品など回路要素の図記号を配置し 要素どうしを配線するが それぞれの配線には 線番 などの電気的な情報が存在する 配線も単なる線ではなく 信号の入力や出力など部品どうしを結び付ける接続情報をもたせることで回路としての意味をもつ このように回路図を構成する図面は 汎用 CAD に対する電気設計専用 CAD の優位性 株式会社ワコムソフトウェア営業本部ソフトウェア営業部 1. はじめに弊社は 1984 年に電気設計専用 CAD システムを発売以来 日本のものづくりを担うお客様とともに成長し 電気制御設計の現場で 要求レベルの高いお客様ニーズに応えるために改良に改良を重ね 卓越した製品力を誇るまでに至った しかしながら 電気設計の用途でも汎用 CAD を利用されている企業は多く存在している

More information

Using VectorCAST/C++ with Test Driven Development

Using VectorCAST/C++ with Test Driven Development ホワイトペーパー V2.0 2018-01 目次 1 はじめに...3 2 従来型のソフトウェア開発...3 3 テスト主導型開発...4 4...5 5 TDD を可能にするテストオートメーションツールの主要機能...5 5.1 テストケースとソースコード間のトレーサビリティー...5 5.2 テストケースと要件間のトレーサビリティー...6 6 テスト主導型開発の例...7 2 1 はじめに 本書では

More information

Quartus II クイック・スタートガイド

Quartus II クイック・スタートガイド ALTIMA Corp. Quartus II クイック スタートガイド ver.3.0 2010 年 8 月 ELSENA,Inc. 目次 1. はじめに... 3 2. Quartus II の基本操作フロー... 3 3. Quartus II の基本操作... 4 ステップ 1. プロジェクトの作成... 4 ステップ 2. デザインの作成... 4 ステップ 3. ファンクション シミュレーション...

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション SPI Japan 2012 車載ソフトウェア搭載製品の 機能安全監査と審査 2012 年 10 月 11 日 パナソニック株式会社デバイス社 菅沼由美子 パナソニックのデバイス製品 SPI Japan 2012 2 パナソニック デバイス社のソフト搭載製品 車載スピーカーアクティブ消音アクティブ創音歩行者用警告音 スマートエントリー グローバルに顧客対応 ソフトウェア搭載製品 車載 複合スイッチパネル

More information

ModelSim-Altera - RTL シミュレーションの方法

ModelSim-Altera - RTL シミュレーションの方法 ALTIMA Corp. ModelSim-Altera RTL シミュレーションの方法 ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. 目次 1. 2. 3. はじめに...3 RTL シミュレーションの手順...4 RTL シミュレーションの実施...5 3-1. 3-2. 新規プロジェクトの作成... 5 ファイルの作成と登録... 7 3-2-1. 新規ファイルの作成...

More information

スキル領域 職種 : ソフトウェアデベロップメント スキル領域と SWD 経済産業省, 独立行政法人情報処理推進機構

スキル領域 職種 : ソフトウェアデベロップメント スキル領域と SWD 経済産業省, 独立行政法人情報処理推進機構 スキル領域と (8) ソフトウェアデベロップメント スキル領域と SWD-1 2012 経済産業省, 独立行政法人情報処理推進機構 スキル領域 職種 : ソフトウェアデベロップメント スキル領域と SWD-2 2012 経済産業省, 独立行政法人情報処理推進機構 専門分野 ソフトウェアデベロップメントのスキル領域 スキル項目 職種共通スキル 項目 全専門分野 ソフトウェアエンジニアリング Web アプリケーション技術

More information

プログラマブル論理デバイス

プログラマブル論理デバイス 第 8 章プログラマブル論理デバイス 大阪大学大学院情報科学研究科今井正治 E-mail: imai@ist.osaka-u.ac.jp http://www-ise.ist.osaka-u.ac.jp/~imai/ 26/2/5 26, Masaharu Imai 講義内容 PLDとは何か PLA FPGA Gate Arra 26/2/5 26, Masaharu Imai 2 PLD とは何か

More information

PNopenseminar_2011_開発stack

PNopenseminar_2011_開発stack PROFINET Open Seminar 開発セミナー Software Stack FPGA IP core PROFINET 対応製品の開発 2 ユーザ要求要求は多種多様 複雑な規格の仕様を一から勉強するのはちょっと.. できるだけ短期間で 柔軟なスケジュールで進めたい既存のハードウェアを変更することなく PN を対応させたい将来的な仕様拡張に対してシームレスに統合したい同じハードウェアで複数の

More information

Microsoft PowerPoint _ncessympotakada [互換モード]

Microsoft PowerPoint _ncessympotakada [互換モード] 第 3 回 NCES シンポジウム 宇宙機向けソフトウェアプラットフォーム (SpaceWire OS) の開発 212 年 1 月 1 日高田光隆附属組込みシステム研究センター研究員 mtakada@nces.is.nagoya-u.ac.jp 1 宇宙機向けソフトウェアプラットフォームの開発目次 SpaceWire について SpaceWire OSプロジェクトの趣旨 活動 リアルタイム性保証の検討

More information

Microsoft Word - r0703.doc

Microsoft Word - r0703.doc 新開発のパケット暗号処理方式により 暗号通信を高速化世界最速の業界標準 (IPsec) 対応暗号通信 (VP) 装置を開発 ( 開発 o.0703) 007 年 月 5 日三菱電機株式会社 三菱電機株式会社 ( 執行役社長 : 下村節宏 ) は パケット 暗号通信の業界標準規格 IPsecv に準拠して あらゆるサイズのパケットを 0Gbit イーサネット 3 の設計上の最大転送速度 ( ワイヤスピード

More information

新技術説明会 様式例

新技術説明会 様式例 1 ロボットへの FPGA 導入を 容易化する コンポーネント技術 宇都宮大学大学院工学研究科情報システム科学専攻助教大川猛 2 従来技術とその問題点 FPGA(Field Programmable Gate Array) は 任意のディジタル論理回路をプログラム可能な LSI ソフトウェアでは時間がかかる画像認識処理等を ハードウェア化して 高速化 低消費電力化可能 問題点 FPGA 上の回路設計が難しい

More information

智美塾 ゆもつよメソッドのアーキテクチャ

智美塾 ゆもつよメソッドのアーキテクチャ ゆもつよメソッドのテスト要求分析とテストアーキテクチャ設計 JaSST13 東京智美塾 2013 年 1 月 30 日 湯本剛 ( 日本 HP) tsuyoshi.yumoto@hp.com ゆもつよ風テスト開発プロセス テスト計画 実現したい品質の具体的把握 テスト箇所の選択 テストの目的設定 テスト対象アイテム特定 テスト分析 テストタイプ特定 機能の整理 & 再分類 テスト条件となる仕様項目特定

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション VTN Manager の内部実装 2014-10-29 NEC ソフトウェア構成 VTN はAD-SAL Applicationとして実装 今後 MD-SALに移行予定 管理対象スイッチはOpenFlowスイッチのみ OpenFlow 1.0/1.3に対応 VTN Manager Switch Manager Topology Manager Routing Forwarding Rules Manager

More information

Microsoft PowerPoint - 01_Vengineer.ppt

Microsoft PowerPoint - 01_Vengineer.ppt Software Driven Verification テストプログラムは C 言語で! SystemVerilog DPI-C を使えば こんなに便利に! 2011 年 9 月 30 日 コントローラ開発本部コントローラプラットフォーム第五開発部 宮下晴信 この資料で使用するシステム名 製品名等は一般にメーカーや 団体の登録商標などになっているものもあります なお この資料の中では トレードマーク

More information

招待論文 フルスペック 8K スーパーハイビジョン圧縮記録装置の開発 3.3 記録制御機能と記録媒体 144 Gbps の映像信号を 1/8 に圧縮した場合 18 Gbps 程度 の転送速度が要求される さらに音声データやその他のメ タデータを同時に記録すると 記録再生には 20 Gbps 程度 の転送性能が必要となる また 記録媒体は記録装置から 着脱して持ち運ぶため 不慮の落下などにも耐性のあるこ

More information

040402.ユニットテスト

040402.ユニットテスト 2. ユニットテスト ユニットテスト ( 単体テスト ) ユニットテストとはユニットテストはプログラムの最小単位であるモジュールの品質をテストすることであり その目的は結合テスト前にモジュール内のエラーを発見することである テストは機能テストと構造テストの2つの観点から行う モジュールはプログラムを構成する要素であるから 単体では動作しない ドライバとスタブというテスト支援ツールを使用してテストを行う

More information

Microsoft PowerPoint - mp11-06.pptx

Microsoft PowerPoint - mp11-06.pptx 数理計画法第 6 回 塩浦昭義情報科学研究科准教授 shioura@dais.is.tohoku.ac.jp http://www.dais.is.tohoku.ac.jp/~shioura/teaching 第 5 章組合せ計画 5.2 分枝限定法 組合せ計画問題 組合せ計画問題とは : 有限個の もの の組合せの中から, 目的関数を最小または最大にする組合せを見つける問題 例 1: 整数計画問題全般

More information

CodeRecorderでカバレッジ

CodeRecorderでカバレッジ 株式会社コンピューテックス Copyright 2016 Computex Co.,Ltd. 2017.11 カバレッジ と 単体テスト カバレッジとは プログラムがどれだけ実行されているかを示す指標です プログラム全体に対して実行された比率をカバレッジ率で表します カバレッジの基準として 一般的にC0 C1が使われております C0カバレッジは 全体のうち何 % が実行されたかで求めます C1カバレッジは

More information

2014 年電子情報通信学会総合大会ネットワークシステム B DNS ラウンドロビンと OpenFlow スイッチを用いた省電力法 Electric Power Reduc8on by DNS round- robin with OpenFlow switches 池田賢斗, 後藤滋樹

2014 年電子情報通信学会総合大会ネットワークシステム B DNS ラウンドロビンと OpenFlow スイッチを用いた省電力法 Electric Power Reduc8on by DNS round- robin with OpenFlow switches 池田賢斗, 後藤滋樹 ネットワークシステム B- 6-164 DNS ラウンドロビンと OpenFlow スイッチを用いた省電力法 Electric Power Reduc8on by DNS round- robin with OpenFlow switches 池田賢斗, 後藤滋樹 早稲田大学基幹理工学研究科情報理工学専攻 1 研究の背景 n インターネットトラフィックが増大 世界の IP トラフィックは 2012

More information

スライド 1

スライド 1 Dispatch 0 年後学期 計算機アーキテクチャ第二 (O) アウトオブオーダ実行プロセッサとバックエンド フロントエンド 命令ウィンドウ : 命令を格納するバッファ ALU Dispatch 命令フェッチ, デコード, リネーミング バックエンド ディスパッチ (dispatch) : 命令ウィンドウに命令を格納する動作 発行 (issue, fire) : 命令ウィンドウから, データ依存が解消された命令を機能ユニットに送り出す動作

More information

Microsoft PowerPoint - 2-4_matsunaga

Microsoft PowerPoint - 2-4_matsunaga ソフトエラー対策用 EDA ツールの開発 九州大学大学院システム情報科学研究院松永裕介 設計ツールとフローの構築 安浦チーム対象範囲 ディペンダビリティアナライザ アーキテクチャ設計 RTL 設計 論理設計 ディペンダビリティエンハンサ ディペンダビリティアナライザ ディペンダビリティエンハンサディペンダビリティアナライザ ディペンダビリティエンハンサ 評価 解析 評価指標 設計変更 評価 解析 評価指標

More information

TopSE並行システム はじめに

TopSE並行システム はじめに はじめに 平成 23 年 9 月 1 日 トップエスイープロジェクト 磯部祥尚 ( 産業技術総合研究所 ) 2 本講座の背景と目標 背景 : マルチコア CPU やクラウドコンピューティング等 並列 / 分散処理環境が身近なものになっている 複数のプロセス ( プログラム ) を同時に実行可能 通信等により複数のプロセスが協調可能 並行システムの構築 並行システム 通信 Proc2 プロセス ( プログラム

More information

2) では, 図 2 に示すように, 端末が周囲の AP を認識し, 認識した AP との間に接続関係を確立する機能が必要である. 端末が周囲の AP を認識する方法は, パッシブスキャンとアクティブスキャンの 2 種類がある. パッシブスキャンは,AP が定期的かつ一方的にビーコンを端末へ送信する

2) では, 図 2 に示すように, 端末が周囲の AP を認識し, 認識した AP との間に接続関係を確立する機能が必要である. 端末が周囲の AP を認識する方法は, パッシブスキャンとアクティブスキャンの 2 種類がある. パッシブスキャンは,AP が定期的かつ一方的にビーコンを端末へ送信する ns-2 による無線 LAN インフラストラクチャモードのシミュレーション 樋口豊章 伊藤将志 渡邊晃 名城大学理工学部 名城大学大学院理工学研究科 1. はじめに大規模で複雑なネットワーク上で発生するトラヒックを解析するために, シミュレーションは有効な手段である. ns-2(network Simulator - 2) はオープンソースのネットワークシミュレータであり, 多くの研究機関で利用されている.

More information

「電子政府推奨暗号の実装」評価報告書

「電子政府推奨暗号の実装」評価報告書 2011 情財第 399 号 情報セキュリティ対策基盤整備事業 電子政府推奨暗号の実装 評価報告書 平成 24 年 12 月 [ 改訂履歴 ] 日付改訂内容 2012 年 12 月 11 日評価報告書初版発行 2012 年 12 月 21 日 2. 評価結果 内のデータを修正 ( 表 1-1 表 1-2 表 2-1 表 2-2 表 3-1 表 3-2 表 4-1 表 4-2 表 5-1 表 5-2

More information

スライド 1

スライド 1 知能制御システム学 画像処理の高速化 OpenCV による基礎的な例 東北大学大学院情報科学研究科鏡慎吾 swk(at)ic.is.tohoku.ac.jp 2007.07.03 リアルタイム処理と高速化 リアルタイム = 高速 ではない 目標となる時間制約が定められているのがリアルタイム処理である.34 ms かかった処理が 33 ms に縮んだだけでも, それによって与えられた時間制約が満たされるのであれば,

More information

<4D F736F F F696E74202D D4C82F08A B582BD A A F2E707074>

<4D F736F F F696E74202D D4C82F08A B582BD A A F2E707074> SysML を活用したシステムエンジニアリング オージス総研組み込みソリューション部 1 アジェンダ 概要編なぜシステムエンジニアリングかシステムエンジニアリングとはシステムエンジニアリングとモデリング言語 SysML の特徴実践編機能要求を検討する要求を仕様化する振る舞いを検討する構造を検討する論理ブロックを物理ブロックに割り当てる性能を検討するまとめ 2 概要編 : なぜシステムエンジニアリングか

More information

目次 ペトリネットの概要 適用事例

目次 ペトリネットの概要 適用事例 ペトリネットを利用した状態遷移テスト 和田浩一 東京エレクトロン SDC FA グループ 目次 ペトリネットの概要 適用事例 ペトリネットの概要 - ペトリネットとは ペトリネット (Petri Net) とは カール アダム ペトリが 1962 年に発表した離散分散システムを数学的に表現する手法である 視覚的で 数学的な離散事象システムをモデル化するツールの一つである ペトリネットの概要 - ペトリネットの表記と挙動

More information

Microsoft PowerPoint LC_15.ppt

Microsoft PowerPoint LC_15.ppt ( 第 15 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 言語を使った設計 (2) 2.1 HDL 設計入門 2.2 FPGA ボードの設計デモ配布資料 VHDL の言語構造と基本文法 2.1 HDL 設計入門 EDAツール : メンター社製品が有名 FPGAベンダーのSW 1 1 仕様設計 にも簡易機能あり 2 3 2 HDLコード記述 3 論理シミュレーション 4 4 論理合成

More information

使用する前に

使用する前に この章では Cisco Secure ACS リリース 5.5 以降から Cisco ISE リリース 2.4 システムへのデー タ移行に使用される Cisco Secure ACS to Cisco ISE Migration Tool について説明します 移行の概要 1 ページ Cisco Secure ACS から データ移行 1 ページ Cisco Secure ACS to Cisco ISE

More information

大域照明計算手法開発のためのレンダリングフレームワーク Lightmetrica: 拡張 検証に特化した研究開発のためレンダラ 図 1: Lightmetrica を用いてレンダリングした画像例 シーンは拡散反射面 光沢面を含み 複数の面光 源を用いて ピンホールカメラを用いてレンダリングを行った

大域照明計算手法開発のためのレンダリングフレームワーク Lightmetrica: 拡張 検証に特化した研究開発のためレンダラ 図 1: Lightmetrica を用いてレンダリングした画像例 シーンは拡散反射面 光沢面を含み 複数の面光 源を用いて ピンホールカメラを用いてレンダリングを行った 大域照明計算手法開発のためのレンダリングフレームワーク Lightmetrica: 拡張 検証に特化した研究開発のためレンダラ 図 1: Lightmetrica を用いてレンダリングした画像例 シーンは拡散反射面 光沢面を含み 複数の面光 源を用いて ピンホールカメラを用いてレンダリングを行った モデルとして外部から読み込んだ三角形メ ッシュを用いた このように Lightmetrica はレンダラとして写実的な画像を生成する十分な実力を有する

More information

機能検証トレーニング コース一覧

機能検証トレーニング コース一覧 機能検証トレーニング コース一覧 日本シノプシス合同会社 2016.03 トレーニング コース一覧 VCS/DVE 基本コース VCS-NLP/VC LP 基本コース VC Verification IP AXI 基本コース (UVM 版 ) VC Verification IP USB 基本コース (UVM 版 ) Verdi 3 基本コース SpyGlass Lint コース SpyGlass

More information

Microsoft PowerPoint - 11Web.pptx

Microsoft PowerPoint - 11Web.pptx 計算機システムの基礎 ( 第 10 回配布 ) 第 7 章 2 節コンピュータの性能の推移 (1) コンピュータの歴史 (2) コンピュータの性能 (3) 集積回路の進歩 (4) アーキテクチャ 第 4 章プロセッサ (1) プロセッサの基本機能 (2) プロセッサの構成回路 (3) コンピュータアーキテクチャ 第 5 章メモリアーキテクチャ 1. コンピュータの世代 計算する機械 解析機関 by

More information

スライド 0

スライド 0 TBWG-15-02 仮想化ネットワークによる分散と統合 IP 仮想化サービスにおける論理的管理仮想化ルータ提供プラットフォームの研究開発 2012/04/12 Agenda 背景 仮想化とは? 仮想化のメリット ネットワーク仮想化とは 本共同研究の目的 動機 目的と目標 GINEW システム 仮想化制御システム 資源の抽象化 パスの制御 実証実験 さっぽろ雪まつり 2013 現状と今後 まとめ 2012/04/12

More information

ヤマハDante機器と他社AES67機器の接続ガイド

ヤマハDante機器と他社AES67機器の接続ガイド はじめに AES67 は 高性能なデジタル IP ネットワークの相互接続を実現するための標準規格です AES67 は や Ravenna Q-LAN Livewire WheatNet などの異なるネットワーク規格で構築されたシステム間で オーディオ信号を送受信する手段を提供します ヤマハも 機器のアップデートにより順次 AES67 への対応を開始し 第一弾としてデジタルミキシングコンソール CL/QL

More information

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用]

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用] 2007.11.12 集積回路工学 Matsuzawa Lab 1 集積回路工学 東京工業大学 大学院理工学研究科 電子物理工学専攻 2007.11.12 集積回路工学 Matsuzawa Lab 2 1. 1. ハードウェア記述言語 (VHDL で回路を設計 ) HDL 設計の手順や基本用語を学ぶ RTL とは? Register Transfer Level レジスタ間の転送関係を表現したレベル慣例的に以下のことを行う

More information

SimscapeプラントモデルのFPGAアクセラレーション

SimscapeプラントモデルのFPGAアクセラレーション Simscape TM プラントモデルの FPGA アクセラレーション MathWorks Japan アプリケーションエンジニアリング部 松本充史 2018 The MathWorks, Inc. 1 アジェンダ ユーザ事例 HILS とは? Simscape の電気系ライブラリ Simscape モデルを FPGA 実装する 2 つのアプローチ Simscape HDL Workflow Advisor

More information

Microsoft PowerPoint - ARCEMB08HayashiSlides.ppt [互換モード]

Microsoft PowerPoint - ARCEMB08HayashiSlides.ppt [互換モード] 演算 / メモリ性能バランスを考慮した CMP 向けオンチップ メモリ貸与法の提案 九州大学 林徹生今里賢一井上弘士村上和彰 1 発表手順 背景 目的 演算 / メモリ性能バランシング 概要 アクセスレイテンシの削減とオーバーヘッド 提案手法の実現方法 着目する命令 (Cell プロセッサへの ) 実装 性能評価 姫野ベンチマーク Susan@MiBench おわりに 2 チップマルチプロセッサ (CMP)

More information

個人依存開発から組織的開発への移行事例 ~ 要求モデル定義と開発プロセスの形式化 による高生産性 / 高信頼性化 ~ 三菱電機メカトロニクスソフトウエア ( 株 ) 和歌山支所岩橋正実 1

個人依存開発から組織的開発への移行事例 ~ 要求モデル定義と開発プロセスの形式化 による高生産性 / 高信頼性化 ~ 三菱電機メカトロニクスソフトウエア ( 株 ) 和歌山支所岩橋正実  1 個人依存開発から組織的開発への移行事例 ~ 要求モデル定義と開発プロセスの形式化 による高生産性 / 高信頼性化 ~ 三菱電機メカトロニクスソフトウエア ( 株 ) 和歌山支所岩橋正実 iwahashi@est.hi-ho.ne.jp Iwahashi.Masami@wak.msw.co.jp 1 改善効果 品質 : フロントローディングが進み流出不具合 0 継続生産性 : 平均 130% 改善 工数割合分析

More information

この方法では, 複数のアドレスが同じインデックスに対応づけられる可能性があるため, キャッシュラインのコピーと書き戻しが交互に起きる性のミスが発生する可能性がある. これを回避するために考案されたのが, 連想メモリアクセスができる形キャッシュである. この方式は, キャッシュに余裕がある限り主記憶の

この方法では, 複数のアドレスが同じインデックスに対応づけられる可能性があるため, キャッシュラインのコピーと書き戻しが交互に起きる性のミスが発生する可能性がある. これを回避するために考案されたのが, 連想メモリアクセスができる形キャッシュである. この方式は, キャッシュに余裕がある限り主記憶の 計算機システム Ⅱ 演習問題学科学籍番号氏名 1. 以下の分の空白を埋めなさい. CPUは, 命令フェッチ (F), 命令デコード (D), 実行 (E), 計算結果の書き戻し (W), の異なるステージの処理を反復実行するが, ある命令の計算結果の書き戻しをするまで, 次の命令のフェッチをしない場合, ( 単位時間当たりに実行できる命令数 ) が低くなる. これを解決するために考案されたのがパイプライン処理である.

More information

センターでは,WAP からの位置情報を受信し, WAP が適切に設置されたかどうかを確認する 提案システムのシーケンス概要 図 2 に提案システムのシーケンスを示す. 携帯端末は,WAP から無線 LAN の電波を受信すると, DHCP サーバに対して IP アドレスを要求する. この要

センターでは,WAP からの位置情報を受信し, WAP が適切に設置されたかどうかを確認する 提案システムのシーケンス概要 図 2 に提案システムのシーケンスを示す. 携帯端末は,WAP から無線 LAN の電波を受信すると, DHCP サーバに対して IP アドレスを要求する. この要 災害時における電子メールによる安否通信方法の検討 竹山裕晃 名城大学大学院理工学研究科 渡邊晃 名城大学理工学部 1. はじめに 大災害時には, 家族や友人などに自分の安否を知らせようとする人や, 被災地にいる人を心配して連絡を取ろうとする人によって, ネットワークのトラヒックが増大し, 通信不可能になることが多い. また, 基地局の倒壊などにより通信環境自体が破壊される場合もある. そこで本研究では,

More information

Microsoft PowerPoint - acr_rod_ b.ppt [互換モード]

Microsoft PowerPoint - acr_rod_ b.ppt [互換モード] 必要な時だけ目覚める無線通信ネットワーク技術 ~ 無駄な消費電力を削減します ~ 家庭やオフィスにある多くの無線 LAN アクセスポイント等の無線通信機器は 使わない時も つけっぱなし になっており 無駄に電力を消費しています 使わない時に スリープ させておくことができれば 消費電力を大幅に抑えることができます ATR では ユーザが使いたい時に即座に起動できるオンデマンド起動型無線 LAN アクセスポイント用のウェイクアップ機を開発しています

More information

<4D F736F F F696E74202D208CA48B868FD089EE288FDA82B582A294C5292E B8CDD8AB B83685D>

<4D F736F F F696E74202D208CA48B868FD089EE288FDA82B582A294C5292E B8CDD8AB B83685D> フィルタリングルール最適化問題の解法ル最適化問題の解法 神奈川大学理学部情報科学科 田中研究室 インターネットの仕組み IP アドレス - パケット 00 送り先 IPアドレス発信元 IPアドレスを含む 確実に相手に届く ルータ ルータ 00 IP アドレス ルータ自宅.55.5. ルータ 大学.7.5.0 インターネットの仕組み パケット - ルータ 00 00 ルータ パケット 00 000 00

More information

Microsoft PowerPoint Quality-sama_Seminar.pptx

Microsoft PowerPoint Quality-sama_Seminar.pptx インテル vpro テクノロジー ~ 革新と継続的な進化 ~ インテル株式会社マーケティング本部 2010 年 11 月 2010年の新プロセッサー: 更なるパフォーマンスを スマート に実現 ユーザーのワークロードに合わせて プロセッサーの周波数を動的に向上 インテル インテル ターボ ブースト テクノロジー* ターボ ブースト テクノロジー* 暗号化処理を高速化 保護する 新しいプロセッサー命令

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション LAN 1. LAN,. NAT,., LAN. NTMobile Network Traversal with Mobilty [1]. NTMobile. OS TUN/TAP, LAN. 2. NTMobile NTMobile NAT, IPv4/IPv6,,. NTMobile. DC Direction Coordinator. NTMobile. DC,. NTMobile NTMfw.

More information

移動通信の将来像と ドコモのネットワーク戦略

移動通信の将来像と ドコモのネットワーク戦略 モバイルネットワークへの 仮想化技術適用の取り組み 2014 年 10 月 14 日 NTT ドコモ執行役員 R&D 戦略部長 中村寛 2014 NTT DOCOMO, INC. All Rights Reserved. 1 1. 今回の報道発表内容 2. ネットワーク仮想化のメリット 3. 商用化への取り組み 2 1. 今回の報道発表内容 1-1. 仮想化技術とは 3 仮想化とは機器の物理的な構成にとらわれずに

More information

リソース制約下における組込みソフトウェアの性能検証および最適化方法

リソース制約下における組込みソフトウェアの性能検証および最適化方法 リソース制約下における組込みソフト ウェアの性能検証および最適化方法 広島市立大学 大学院情報科学研究科システム工学専攻 中田明夫倉田和哉百々太市 1 提案技術の概要 組込みシステムの開発 厳しいリソース制約 (CPU, ネットワークなど ) 非機能要求 ( リアルタイム性など ) の達成 開発プロセスにおける設計段階 性能問題を発見することが困難 実装段階で性能問題が発覚 設計の手戻りが発生 設計段階での性能検証手法

More information

TFTP serverの実装

TFTP serverの実装 TFTP サーバーの実装 デジタルビジョンソリューション 佐藤史明 1 1 プレゼンのテーマ組み込みソフトのファイル転送を容易に 2 3 4 5 基礎知識 TFTP とは 実践 1 実際に作ってみよう 実践 2 組み込みソフトでの実装案 最後におさらい 2 プレゼンのテーマ 組み込みソフトのファイル転送を容易に テーマ選択の理由 現在従事しているプロジェクトで お客様からファームウェアなどのファイル転送を独自方式からTFTPに変更したいと要望があった

More information

15288解説_D.pptx

15288解説_D.pptx ISO/IEC 15288:2015 テクニカルプロセス解説 2015/8/26 システムビューロ システムライフサイクル 2 テクニカルプロセス a) Business or mission analysis process b) Stakeholder needs and requirements definieon process c) System requirements definieon

More information

今週の進捗

今週の進捗 Virtualize APIC access による APIC フック手法 立命館大学富田崇詠, 明田修平, 瀧本栄二, 毛利公一 2016/11/30 1 はじめに (1/2) マルウェアの脅威が問題となっている 2015年に4 億 3000 万以上の検体が新たに発見されている マルウェア対策にはマルウェアが持つ機能 挙動の正確な解析が重要 マルウェア動的解析システム : Alkanet 仮想計算機モニタのBitVisorの拡張機能として動作

More information

Microsoft Word - 㕒酵镆çfl¨ã•‚å¤‘åŁ£ã‡¤ã…³ã‡¿ã…¼ã…³ã‡·ã……ã…Šã†fl桋僖

Microsoft Word - 㕒酵镆çfl¨ã•‚å¤‘åŁ£ã‡¤ã…³ã‡¿ã…¼ã…³ã‡·ã……ã…Šã†fl桋僖 株式会社ソシオネクスト夏季インターンシップ募集要項 1. 会社紹介株式会社ソシオネクストは S o C (System-on-Chip) の設計 開発および販売を事業とする 2015 年 3 月設立の若い会社です 現在 約 2,850 人の仲間たちが世界 9 拠点で活躍しております 映像 通信 コンピューティング分野における世界トップレベルの技術を核に今日の様々なアプリケーションの進化を支え 人々の豊かな体験の実現に貢献します

More information

2. VDEC 2.1 VDEC EDA EDA タイミング解析エラー出力タイミング解析 VDEC EDA 1 VDEC EDA 2.2 VDEC VDEC um 1. RTL 0.18um 1. サブモジュール RTL 記述 Verilog 等で記述 0.18um mm

2. VDEC 2.1 VDEC EDA EDA タイミング解析エラー出力タイミング解析 VDEC EDA 1 VDEC EDA 2.2 VDEC VDEC um 1. RTL 0.18um 1. サブモジュール RTL 記述 Verilog 等で記述 0.18um mm VDEC 1 1 1 1 1 1 1 1 ( 2 ) ( 3 ) VDEC 3 ( 1 ) 32bit RISC ( 2 ) ( 3 ) Development of Low-Cost Verification Scheme for VDEC-assisted Prototype Chip and its Application Yuhta Wakasugi, 1 Shimpei Sato, 1 Koh

More information

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 算術論理演算ユニットの設計 ( 教科書 4.5 節 ) yi = fi (x, x2, x3,..., xm) (for i n) 基本的な組合せ論理回路 : インバータ,AND ゲート,OR ゲート, y n 組合せ論理回路 ( 復習 ) 組合せ論理回路 : 出力値が入力値のみの関数となっている論理回路. 論理関数 f: {, } m {, } n を実現.( フィードバック ループや記憶回路を含まない

More information

インターリーブADCでのタイミングスキュー影響のデジタル補正技術

インターリーブADCでのタイミングスキュー影響のデジタル補正技術 1 インターリーブADCでのタイミングスキュー影響のデジタル補正技術 浅見幸司 黒沢烈士 立岩武徳 宮島広行 小林春夫 ( 株 ) アドバンテスト 群馬大学 2 目次 1. 研究背景 目的 2. インターリーブADCの原理 3. チャネル間ミスマッチの影響 3.1. オフセットミスマッチの影響 3.2. ゲインミスマッチの影響 3.3. タイミングスキューの影響 4. 提案手法 4.1. インターリーブタイミングミスマッチ補正フィルタ

More information

Microsoft Word - Si Multi Digitalカード.docx

Microsoft Word - Si Multi Digitalカード.docx Si Multi Digital カード クイックスタートガイド Si Multi Digital カード 主な特徴 Si Multi Digital card は Soundcraft のデジタルミキサー Si Performer Si Expression 用のオプションカードで 背面の拡張スロットに装着して使用します FireWire(IEEE1394) 端子を備えた Mac PC または FireWire(IEEE1394

More information

Microsoft PowerPoint - Tsuzuki.ppt

Microsoft PowerPoint - Tsuzuki.ppt 探索的テストの適用事例 - まずは 探索的テストをやろまい!! - 三菱電機メカトロニクスソフトウエア株式会社 都築将夫 0/19 アジェンダ 現状分析 改善策立案 探索的テストの特徴 弱みの克服 探索的テストの強みを生かす 成果 & 効果 今後の課題 1/19 現状 担当製品のソフトウェア 規模 : 肥大 ( ライン数 : 数 10KL 数 100KL) 構造 : 複雑 ( サイクロマティック複雑度

More information

Visual Studio と.NET Framework 概要 Runtime Libraries Languag es Tool.NET Visual Studio 概要 http://download.microsoft.com/download/c/7/1/c710b336-1979-4522-921b-590edf63426b/vs2010_guidebook_pdf.zip 1.

More information

PowerPoint Presentation

PowerPoint Presentation 沖ネットワークエルエスアイの デザインソリューション 2005 年 10 月 25 日 www.okinetlsi.com 1 1 ONW 概要概要 社名 : 株式会社沖ネットワークエルエスアイ ( 略称 ONW) 所在地 : 本社 / 東京開発センタ : 東京都品川区西五反田 2-15-7 シ フ ラルタ生命五反田ヒ ル九州開発センタ : 福岡県福岡市中央区天神 4-8-25 ニッコーヒ ル 設立

More information

日経ビジネス Center 2

日経ビジネス Center 2 Software Engineering Center Information-technology Promotion Agency, Japan ソフトウェアの品質向上のために 仕様を厳密に 独立行政法人情報処理推進機構 ソフトウェア エンジニアリング センター 調査役新谷勝利 Center 1 日経ビジネス 2012.4.16 Center 2 SW 開発ライフサイクルの調査統計データ ソフトウェア産業の実態把握に関する調査

More information

はじめてのコンコリックテスト

はじめてのコンコリックテスト JaSST 15 Tokai はじめてのコンコリックテスト ~ 基本原理から知るホワイトボックステストの新技術とその応用 ~ 2015 年 11 月 6 日植月啓次 はじめに コンピュータの処理能力の飛躍的な向上により プログラムコードにたいする解析技術は大きく進化しています 近年 実用段階に入り盛り上がりを見せている解析技術およびその応用技術が コンコリックテスト (Concolic Test)

More information

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+)

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+) RL78 開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行 ( 統合開発環境編 ) (High-performance Embedded Workshop CS+) 2017/4/7 R20UT2087JJ0103 ソフトウェア事業部ソフトウエア技術部ルネサスシステムデザイン株式会社 はじめに 本資料は 統合開発環境 High-performance Embedded Workshop

More information

日立ディスクアレイサブシステム環境強化サポートオプション

日立ディスクアレイサブシステム環境強化サポートオプション 株式会社様 日立ディスクアレイサブシステム環境強化サポートオプション Hitachi Disk Array Driver for Linux 2017/06 株式会社日立製作所システム & サービスビジネス IoT クラウドサービス事業部オペレーティングシステム本部 1. 日立ディスクアレイサブシステム環境強化サポートの位置付け 日立ディスクアレイサブシステム環境強化サポートとは? Hitachi

More information

プロセッサ・アーキテクチャ

プロセッサ・アーキテクチャ 2. NII51002-8.0.0 Nios II Nios II Nios II 2-3 2-4 2-4 2-6 2-7 2-9 I/O 2-18 JTAG Nios II ISA ISA Nios II Nios II Nios II 2 1 Nios II Altera Corporation 2 1 2 1. Nios II Nios II Processor Core JTAG interface

More information

Oracle Un お問合せ : Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよ

Oracle Un お問合せ : Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよ Oracle Un お問合せ : 0120- Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよびSOA 対応データ サービスへ ) を網羅する総合的なデータ統合プラットフォームです Oracle

More information

Microsoft PowerPoint - ARC2009HashiguchiSlides.pptx

Microsoft PowerPoint - ARC2009HashiguchiSlides.pptx 3 次元 DRAM プロセッサ積層実装を 対象としたオンチップ メモリ アーキテクチャの提案と評価 橋口慎哉 小野貴継 ( 現 ) 井上弘士 村上和彰 九州大学大学院システム情報科学府 九州大学大学院システム情報科学研究院 発表手順 研究背景 研究目的 ハイブリッド キャッシュ アーキテクチャ 評価実験 まとめと今後の課題 2 3 次元実装技術 研究背景 グローバル配線長の削減 チップ面積縮小 異なるプロセスを経て製造されたダイ同士の積層

More information

CW6_A1441_15_D06.indd

CW6_A1441_15_D06.indd 技術紹介 EPS 用 ECU 試作開発における MBD の適用 小林将之 1 はじめに 従来の組込み制御システム開発の多くは, ドキュメントベースの設計とハンドコーディングにより行われてきた. しかしながら, 自動車分野を中心に電子制御システムの高性能 多機能化が進む一方, 高品質 低コストかつ開発期間の短縮化が要求されている.KYBの代表的な電子制御システムの一つである電動パワーステアリング (

More information

OS

OS Operatig Systems カーネルとデバイスドライバ 2019-03 1 OS の構成要素 シェル ワープロ ブラウザ さまざまなソフトウェア ] ^ _ Z ` a b c d e ` f Y Z [ \ プロセス管理通信制御ファイルシステム メモリ管理割込み制御タイマ管理 デバイスドライバ 管理プログラム 基本ライブラリ デバイスドライバ CPU メモリ ストレージ さまざまなハードウェア

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 5 月 Java 基礎 1 タイトル Java 基礎 2 日間 概要 目的 サーバサイドのプログラミング言語で最もシェアの高い Java SE の基本を習得します 当研修ではひとつの技術ごとに実用的なアプリケーションを作成するため 効果的な学習ができます Java SE の多くの API の中で 仕事でよく利用するものを中心に効率よく学びます 実際の業務で最も利用される開発環境である Eclipse

More information

CommCheckerManual_Ver.1.0_.doc

CommCheckerManual_Ver.1.0_.doc 通信チェックツール (CommChecker) 取扱説明書 (Ver.1.0) 2009 ESPEC Corp. 目次 1. 使用条件 4 2. ダウンロード & インストール 5 3. 環境設定 6 3-1.RS-485 通信 6 3-2.RS-232C 通信 7 3-3.GPIB 通信 8 4. ソフトウェアの使用方法 9 4-1. 起動 9 4-2. 通信設定 10 (1)RS485 通信 10

More information

JACi400のご紹介~RPGとHTMLで簡単Web化~

JACi400のご紹介~RPGとHTMLで簡単Web化~ セッション No.4 JACi400 のご紹介 ~RPG と HTML で簡単 Web 化 ~ 株式会社ミガロ RAD 事業部技術支援課営業推進岩井利枝 1 Agenda ミガロご提供ソリューションのご紹介 JACi400の概要 4つの開発ステップのご紹介 JACi400ご利用のメリット 2 ミガロご提供ソリューション 開発ツール (C/S Web 開発 ) Delphi/400 開発ツール (Web

More information

計算機アーキテクチャ

計算機アーキテクチャ 計算機アーキテクチャ 第 11 回命令実行の流れ 2014 年 6 月 20 日 電気情報工学科 田島孝治 1 授業スケジュール ( 前期 ) 2 回日付タイトル 1 4/7 コンピュータ技術の歴史と コンピュータアーキテクチャ 2 4/14 ノイマン型コンピュータ 3 4/21 コンピュータのハードウェア 4 4/28 数と文字の表現 5 5/12 固定小数点数と浮動小数点表現 6 5/19 計算アーキテクチャ

More information

高速バックボーンネットワークにおける公平性を考慮した階層化パケットスケジューリング方式

高速バックボーンネットワークにおける公平性を考慮した階層化パケットスケジューリング方式 Advanced Network Architecture Research Group 高速バックボーンネットワークにおける 公平性を考慮した 階層化パケットスケジューリング方式 大阪大学大学院基礎工学研究科情報数理系専攻博士前期課程 牧一之進 発表内容 研究の背景 研究の目的 階層化パケットスケジューリング方式の提案 評価モデル シミュレーションによる評価 まとめと今後の課題 研究の背景 インターネットのインフラ化

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンパイラとプログラミング言語 第 3 4 週 プログラミング言語の形式的な記述 2014 年 4 月 23 日 金岡晃 授業計画 第 1 週 (4/9) コンパイラの概要 第 8 週 (5/28) 下向き構文解析 / 構文解析プログラム 第 2 週 (4/16) コンパイラの構成 第 9 週 (6/4) 中間表現と意味解析 第 3 週 (4/23) プログラミング言語の形式的な記述 第 10 週

More information

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用]

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用] ばらつきの計測と解析技術 7 年 月 日設計基盤開発部先端回路技術グループ中西甚吾 内容. はじめに. DMA(Device Matrix Array)-TEG. チップ間 チップ内ばらつきの比較. ばらつきの成分分離. 各ばらつき成分の解析. まとめ . はじめに 背景 スケーリングにともない さまざまなばらつきの現象が顕著化しており この先ますます設計困難化が予想される EDA ツール 回路方式

More information

本文ALL.indd

本文ALL.indd Intel Xeon プロセッサにおける Cache Coherency 時間の性能測定方法河辺峻田口成美古谷英祐 Intel Xeon プロセッサにおける Cache Coherency 時間の性能測定方法 Performance Measurement Method of Cache Coherency Effects on an Intel Xeon Processor System 河辺峻田口成美古谷英祐

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 名城大学渡邊研 B4 100430100 早川顕太 1 文献 Analysis of TCP Performance over Mobile Ad Hoc Networks 著者 Gavin Holland Nitin Vaidya 発行元 Kluwer Academic Publishers 発行 2002 年 2 研究背景 DSRプロトコルの解説 TCP-Renoのスループット解析 スループットの理論値

More information

IBIS Quality Framework IBIS モデル品質向上のための枠組み

IBIS Quality Framework IBIS モデル品質向上のための枠組み Quality Framework モデル品質向上のための枠組み EDA 標準 WG 1 目次 - 目次 - 1. 活動の背景 2. Quality Framework 3. ウェブサイトのご紹介 4. Frameworkの活用方法 2 目次 - 目次 - 1. 活動の背景 2. Quality Framework 3. ウェブサイトのご紹介 4. Frameworkの活用方法 3 1. 活動の背景

More information

目次 1 はじめに 登録商標 商標 注意事項 免債事項 SR-IOV の機能概要 性能検証事例 測定環境 測定結果 各方式による共有 NIC 性能比較 ( ポートあ

目次 1 はじめに 登録商標 商標 注意事項 免債事項 SR-IOV の機能概要 性能検証事例 測定環境 測定結果 各方式による共有 NIC 性能比較 ( ポートあ ホワイトペーパー BladeSymphony Virtage SR-IOV のご紹介 2014 年 7 月発行 株式会社日立製作所 1 / 8 Copyright 2014 Hitachi, Ltd. All rights reserved 目次 1 はじめに... 3 1.1 登録商標 商標... 3 1.2 注意事項... 3 1.3 免債事項... 3 2 SR-IOV の機能概要... 4

More information

回路 7 レジスタ ( 同期イネーブル及び非同期リセット付 ) 入力データを保持するのに用いる記憶素子 使用用途として, マイクロプロセッサ内部で演算や実行状態の保持に用いられる Fig4-2 のレジスタは, クロック信号の立ち上がり時かつ 信号が 1 のときに外部からの 1 ビットデータ R をレ

回路 7 レジスタ ( 同期イネーブル及び非同期リセット付 ) 入力データを保持するのに用いる記憶素子 使用用途として, マイクロプロセッサ内部で演算や実行状態の保持に用いられる Fig4-2 のレジスタは, クロック信号の立ち上がり時かつ 信号が 1 のときに外部からの 1 ビットデータ R をレ 第 4 回 VHDL 演習 2 プロセス文とステートマシン プロセス文を用いるステートマシンの記述について学ぶ 回路 6 バイナリカウンタ (Fig.4-1) バイナリカウンタを設計し, クロック信号に同期して動作する同期式回路の動作を学ぶ ⅰ) リスト 4-1 のコードを理解してから, コンパイル, ダウンロードする ⅱ) 実験基板上のディップスイッチを用いて, 発生するクロック周波数を 1Hz

More information

Microsoft Word - 【第5分科会】ConcolicTestingグループ_付録_修正_ doc

Microsoft Word - 【第5分科会】ConcolicTestingグループ_付録_修正_ doc 付録 1 研究スケジュール 本研究は以下のスケジュールで行った. 項目内容期間論文調査 CREST に関する論文の調査 2014 年 5 月 CREST のインストール VMWare による Linux 環境の構築と,CREST 及び必要プログラムのインストール CREST の試用サンプルコードにて CREST を実行して, 出力結果を確認 SIG の準備 実施ソフトウェア品質シンポジウム SIG:

More information

画像処理回路のASIC実装へ向けたHDL Coder適用事例

画像処理回路のASIC実装へ向けたHDL Coder適用事例 画像処理回路の ASIC 実装へ向けた HDL Coder 適用事例 ~ 手書き HDL コード vs コード生成ツール ~ ルネサスシステムデザイン株式会社 部署名 第一要素技術事業部ロジックIP 開発部 氏名 神谷衛 2013/10/29 2013 Renesas System Design Co., Ltd. All rights reserved. 1 / 30 Agenda 会社紹介 画像コア開発の課題

More information

ソフトウェア FMEA を体系的に実施する 出発点としての MISRA-C 株式会社ヴィッツ森川聡久 株式会社ヴィッツ中野泰伸 名古屋市工業研究所小川清 1

ソフトウェア FMEA を体系的に実施する 出発点としての MISRA-C 株式会社ヴィッツ森川聡久 株式会社ヴィッツ中野泰伸 名古屋市工業研究所小川清 1 ソフトウェア FMEA を体系的に実施する 出発点としての MISRA-C 株式会社ヴィッツ森川聡久 株式会社ヴィッツ中野泰伸 名古屋市工業研究所小川清 1 背景 1:C 言語のリスク 組込みソフトウェア開発で最も使用されている C 言語は 記述の自由度が高いためリスクが高い C 言語の主な危険性 1.CPU の違いによって動作が違う ( 環境依存 ) 未規定 未定義 処理系定義 2. 設計者が誤解をする

More information

Microsoft PowerPoint - PressPresen-AbsInt.pptx

Microsoft PowerPoint - PressPresen-AbsInt.pptx ET2016 プレス発表 ソフトウェアの実行時不具合を根絶する形式検証ツールチェーンの代理店販売開始!! 2016 年 11 月 16 日 株式会社ヴィッツ 機能安全開発部 設計 技術開発室兼プロセス支援室室長 水野智仁 本発表の概要 株式会社ヴィッツは AbsInt 社 ( ドイツ ) が開発する ソフトウェアの実行時不具合を根絶する形式検証ツールチェーン の代理店販売を開始しました 本ツールチェーンは

More information

2ACL DC NTMobile ID ACL(Access Control List) DC Direction Request DC ID Access Check Request DC ACL Access Check Access Check Access Check Response DC

2ACL DC NTMobile ID ACL(Access Control List) DC Direction Request DC ID Access Check Request DC ACL Access Check Access Check Access Check Response DC NTMobile 103430037 1. IPv4/IPv6 NTMobileNetwork Traversal with Mobility [1] NTMobile NTMobile IPsec NAT IPsec GSCIPGrouping for Secure Communication for IPGSCIP NAT NTMobile ACL Access Control List ACL

More information