Untitled Document

Size: px
Start display at page:

Download "Untitled Document"

Transcription

1 AVS/Express A Rev.A

2 Copyright 2000 KGT Inc. All Right Reserved Printed in Japan AVS AVS/Express Developer AVS/Express Viz Advanced Visual System FLEXlm Globetrotter Software

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20 ÁÂÃÄÅÆÇÈ ÉÁÂÃÄ

21 ÁÂÃÄÅÆÇÈ ÉÁÂÃÄ

22 ÁÂÃÄÅÆÇÈ ÉÁÂÃÄ

23 ÁÂÃÄÅÆÇÈ ÁÂÃÄ

24 ÁÂÃÄÅÆÇÈ ÁÂÃÄ

25 ÁÂÃÄÅÆÇÈ ÁÂÃÄ MACHINE pc(intel) alpha_nt sysedit

26 ÁÂÃÄÅÆÇÈ ÁÂÃÄ set MACHINE=pc

27 ÁÂÃÄÅÆÇÈ ÁÂÃÄ

28 ÁÂÃÄÅÆÇÈ!

29

30 "# "#$ V V V

31 "# "#$ V V

32 "# "#$

33 "# "#%&'()*+,-./0

34 "# "#%&'()*+,-./0

35 "# "#%&'()*+,-./0 Applications MultiWindowApp

36 "# "#%&'()*+,-./0 Readers Libraries Libraries Main, Accessories,...

37 "# "#%&'()*+,-./0 Root Libraries Templates Applications Main Standard Objecst STD MODS Data_IO Filters Readers Writers Filters int param1;

38 "# "#%&'()*+,-./0

39 "# "#%&'()*+,-./0

40 "# "#%&'()*+,-./0

41 "# "#%&'()*+,-./0

42 "# "#%&'()*+,-./0

43 "# "#%&'()*+,-./0

44 "# "#%&'()*+,-./0 Open

45 "# "#%&'()*+,-./0 link

46 "# "#%&'()*+,-./0

47 "# "#%&'()*+,-./0

48 "# "#%&'()*+,-./0

49 "# "#%&'()*+,-./0 Add Output Port) (Add Input Port) a = 1 c = 3

50 "# "#%&'()*+,-./0 input + input group

51 "# "#%&'()*+,-./0 float group

52 "# "#%&'()*+,-./0

53 "# "#%&'()*+,-./0 Library Workspaces avsenv lib bin v

54 "# "#%&'()*+,-./0

55 "# "#%&'()*+,-./0 Developer Viz

56 "# "#%&'()*+,-./0

57 "# "#%&'()*+,-./0

58 "# "#%&'()*+,-./0

59 "# "#%&'()*+,-./0

60 "# "#%&'()*+,-./0

61 "# "#%&'()*+,-./0

62 "# "#%&'()*+,-./0

63 "# "#%&'()*+,-./0

64 "# "#%&'()*+,-./0 --- Error detected in: module: DVwrite image --- Can t get nnodes Error detected in: module: DVwrite image --- Invalid field data

65 "# "#%&'()*+,-./0

66 "# "#%&'()*+,-./0

67 "# "#%&'()*+,-./0

68 "# !

69 "# ! global

70 "# ! - grp_array[3]

71 "# ! --- Error detected in: module: OM V --- error: can t find object named: Application

72 "# !

73 "# 9:;-<=

74 "# 9:;-<=

75 "# 9:;-<=

76 "# >?,-:;- type attribute ref_mode object_name properties array_decl int +read ^ in_array <NEx=10, NEy=10> [10] { int a1 = 100; int a2 = 200; }; value_expr subobject_decls

77 "# >?,-:;-

78 "# >?,-:;- type +attribute ref_mode object_name properties array_decl C size

79 "# >?,-:;- subobject_decls value_expr {} {} ; V 2-55

80 "# >?,-:;-

81 "# >?,-:;- ref_mode object_name +type +attributes delete_attrs + ) grp1 grp2 grp2 grp1 + x req notify delete_attrs - x notify VCP

82 "# >?,-:;- properties array_decl subobject_decls value_expr <> x NEx 100 C size {} {} ; x z V 2-55 object_name

83 "# >?,-:;-

84 "# >?,-:;- C = 345; = 3.45; = 3.45el; = AVS ; \ < > array_obj[index] array_obj index AVS/Express 2-60 = < hi world >; = object1; => object1; = array_obj[0]; => array_obj[0]; => array_obj[int1+2]; = my_int * (a + b); => b <+> 3;

85 "# >?,-:;- V AVS/Express = abs(-90); Null NULL NULL NULL =; =>;

86 "# >?,-:;- int1 = 2; array1[] = {1,2}; array2[] = {3, int1+2}; array3[] = {array1,array2, {5,6}}; array_obj[start:end]... array_obj start end array_obj[index][] array_obj index [] int int1; int my_array[2] = int1+2; my_array my_array[2] = {int1+2, int1+2} my_array[2] => int1+2; int one_d_array[2]; int any_array[] = one_d_array; any_array => one_d_array; int a1[4][3] = {0,1,2,3,4,5,6,7,8,9,10,11}; int a2[3][2] = a1[0:2][1:2]; int a2[3][2] = {a1[0][1], a1[0][2], a1[1][1], a1[1][2], a1[2][1], a1[2][2]}; int a1[4][3] = {0,1,2,3,4,5,6,7,8,9,10,11}; int a2[] = a1[][0]; int a2[] = {a1[0][0], a1[1][0], a1[2][0], a1[3][0]}; int a3[] = a1[0]; int a3[] = {a1[0][0], a1[0][1], a1[0][2], a1[0][3]}

87 "# >?,-:;- V AVS/Express int a1[3] = {1,2,3}; int a2[] = a1*3; int a2[] = {a1[0]*3, a1[1]*3, a1[2]*3}; int a1[2] = {5,6}; int a2[2] = {3,4}; int a3[] = pow(a1, a2); int a3[] = {pow(a1[0], a2[0]), pow(a1[1], a2[1])}; int a3[] = {pow(5,3), pow(6,4)}; --- Error detected in: module: OMmatch_dims --- unable to match: 2D array with 2D array, [3] doesn t match [6] Error detected in: module: OMprim --- object: array_a has invalid value or type for assignment to: Root.Applications.DefaultApplication.array_B

88 "# >?,-:;-

89 "# >?,-:;- + - * / % - -C -1*C + float int + float A=3.1; string B = A+234; B= & AND OR ^ exclusive OR ~ && AND OR ==!= < > <= >= integer Read-Write <+> Read-Write <*> Read-Write! Read-Write Read-Write +

90 "# >?,-:;-

91 "# >?,-:;-

92 "#

93 "#

94 "# $print [object] $dprint [object] $save [object] $save_usr [object] $list [object] $count_objs [object] UIfield x,y Read_Geom $print Read_Geom $list

95 "# $array_dims [array_object] $array_size [array_object] $int [object] $float [object] $double [object] $real [object] $str [object] $ptr [object] $get_array [array_obj] $get_str_array [array_obj] $get_str_array_val array_obj index $sub_array [array_obj] float float double double float 16 array_obj index index n n-1 a[10] 0 3 a[0] a[3]

96 "# $get_data_type [object] $obj_path [object] $get_obj_att attribute [object] $get_obj_prop property [object] $user_template [object] $set_data_type new_type [object] $set_obj_name [object] new_name $move [object] new_parent_obj attribute property $link [object1] object2 $obj_pval [object] $obj_val [object] $obj_ref [object] $get_array_ref [object] $refcnt [object] $refs_to [object] object1 object2 a=>.b $obj_pval a.b Arr0 => Arr1 + Arr2 + 1

97 "# $save_project [proj_dir] $save_project_as proj_dir $save_compiled_project [- option] [proj_dir] [object] Projects- >Save Project- >Save as -build: -no_inst: -ne: -into_exec: V -save_usr: Project->Save Compiled Project.. $cur_seq $obj_seq [object] $obj_seq_base [object] $obj_seq

98 "# $obj_seq_ptrs [object] $obj_id [object] $obj_proc [object] $echo string $match [downstream_obj] upstream_obj $ematch [downstream_obj] upstream_obj $notify [object] $deps [methods_obj] $resolve [object] $set_trace ops_list ID ID ID upstream_obj downstream_obj upstream_obj downstream_obj (exact match) trace ops_list all destroy subobj set_val get_val notify invoke compile array

99 "# $unset_trace ops_list $set_arr_trace ops_list $set_verbose ops_list $unset_verbose ops_list $valid [object] $timer_start $timer_get set_trace functions events ctx push/pop files lib flibrary paths lines V procs set_verbose $timer_start $pause $push [-exe_state] $pop $include v_file Enter $pop -exe_state -prog -usr -trn $print,$save,$save_usr $push V V #define symbol #undef symbol #ifdef symbol

100 "# #ifdef symbol #else #endif V symbol #ifdef #define #ifdef $help [format] $open [object] $quit $local_quit $compile [object] format all V v_cmd v_cmd $help help summary V summary v_cmd v_cmd V +buffered V 2-27 () V $open V AVS/Express VCP VCP ProcTemplates proc.v Project->Compile

101 "# $generate [object] $type [type] $sever_info $shell shell_cmd $set_file_env env_var $setenv ENV_VAR [value] $compile Makefile make AVS/Express $type prim OM_BOSS ID OM_BOSS_2 ID OM_ROOT_OBJ ID -client AVS/Express # setenv MY_FILE /tmp/foo.v # express OM(Root) -> $set_file_env MY_FILE OM(Root) -> $include $MY_FILE ENV_VAR value NULL

102 "# BCDCEÄ abs(num) acos(angle) add(addend1, addend2,...) num angle (arc cosine) (sumsum sum = addend1 + addend addend1, addend2...

103 "# BCDCEÄ asin(angle) atan(angle) cos(angle) cosh(angle) deviation(array) divide(dividend, div1, div2,...) exp(x) log(x) log10(x) modulo(num1, num2,...) multiply(factor1, factor2,...) pow(x, y) rand(range, seed) sin(angle) sinh(angle) sqrt(x) strcmp(str1, str2) strlen(str) sub(minuend, sub1, sub2,...) tan(angle) tanh(angle) angle (arc sine) angle (arc tangent) angle (cosine) angle (hyperbolic cosine) array (standard deviation) quotient quotient quotient = dividend / div1 / div2 /... e x e x e x 10 x modulo modulo modulo = num1 % num2 %... product product product = factor1 * factor2 *... x y x y range seed ID angle (sine) angle (hyperbolic sine) x x str1 str2 str diff diff diff = minuend - sub1 - sub angle (tangent) angle (hyperbolic tangent)

104 "# BCDCEÄ and(arg1, arg2,...) or(arg1, arg2,...) xor(arg1, arg2,...) AND C arg1 && arg2 &&... OR C arg1 arg2... XOR C ((arg1 ^ arg2) ^...) array_size(array) array_dims(array) array array int my_arry[2][3][4]; 3 array_dims(my_array) {2, 3, 4}

105 "# BCDCEÄ combine_array(arr1, arr2,..) concat_array(arr1, arr2,...) index_of(array) (n) : arr1[1][2][3], arr2[1][2][4] n-1 : [1][2] n-1 n arr1[l][m][x]; arr2[l][m][y]; result[l][m][x+y]; int arr1[1][2][3] = {1,2,3,4,5,6}; int arr2[1][2][4] = {11, 22, 33, 44, 55, 66, 77, 88}; int combine[1][2][7] = combine_array(arr1, arr2); combine[1][2][7] = {{{1,2,3,11,22,33,44},{4,5,6,55,66,77,88}}} combine_array combine[1][2][7] = {{{1,2,3,4,5,6,11},{22,33,44,55,66,77,88}}} (group) group array[5] { int index => index_of(array); }; index group

106 "# BCDCEÄ init_array(size, start, end) magnitude(array) start end size size float float[] => init_array(5, 1, 100); {1., 25.75, 50.5, 75.25, 100.} float array[5] = {1.,2.,3.,4.,5.}; float result => magnitude({1,2,3,4,5}); result = = max_array(array, flag, null_val) (n ) n-1 float array[2][3] = {1,2,3, 1,2,3}; result[2] = {3.7416, } NULL null_val NULL flag=1 null_val NULL flag=0 float array[2][3] = {1,2,3, 1,2,3}; result[3] = {1,2,3}

107 "# BCDCEÄ min_array(array, flag, null_val) prod(array1, array2,...) str_array(string_obj, delimiter) sum(array1,..., arrayn) sum_array(array) NULL null_val NULL flag=1 null_val NULL flag=0 string_obj delimiter string a = One;Two;Three ; string b[3] = str_array(a, ; ); b[3] = { One, Two, Three } array1[0]+array1[m] arrayn[0] + arrayn[m] array sun() array[3] = {1, 2, 3 array[] = {array[0], array[0] + array[1], array[0] + array[1] + array[2]} = {1, 3, 6}

108 "# BCDCEÄ cache(expr) data_type_of(object) getenv(env_var_name) expr expr AVS/Express group create_data { float + write out[]; method_upd func = create_data ; }; float max => max_array(out); out max V AVS/Express max out max_array V float max => cache(max_array(out)); float object = 1.0; string type => data_type_of(object); type = float

109 "# BCDCEÄ is_valid(object) merge(grp1, grp2,...) grp1, grp2,... merge() grp1 grp2 merge() group a { int sub1 = 10, sub2 = 20; }; group b { int sub2 = 30, sub3 = 40; }; group &c => merge(a, b); name_of(object) num_subobjs(object) -> c { -> $print sub1 int sub1 = 10; -> $print sub2 int sub2 = 20; -> $print sub3 int sub3 = 40; -> VCP group grp1 { string str1 => name_of(<-); } str1 = grp1

110 "# BCDCEÄ str_format(printf_fmt) switch(index, arg1, arg2,...) C printf printf_fmt [string] %[field1].[prec1][conv1] %[field2].[prec2][conv2], [value1], [value2] [string] [field] [prec] [conv] [value] int :d, i, o, u, x, X real :e, E, f, g, G string :s pointer :p string str1 = str_format( print this : %5d, 3); str1 = print this: 3 string arr1[] => str_format( %10.3f, {1.2345, , }); arr1[0] = arr1[1] = arr1[2] = index index=1 arg1 index=2 arg2 index NULL switch() VCP -> int i; -> switch x { -> int index => i; -> int val1 = 10; -> int val2 = 20; -> }; -> int result => x; i 1,2 result

111

112 F,G,H I;J,K4,> HLM - $ User Interface

113 F,G,H I;J,K4,> HLM - NOPQR %&'( )* +, -. /0

114 F,G,H I;J,K4,> HLM - NOPQR %&' ( )* +, -. /0 width, height 250

115 F,G,H I;J,K4,> HLM - NOPQR %&'( )* +, -. /0 Read-Write

116 F,G,H I;J,K4,> HLM - NOPQR %&' ( )* +, -. /0

117 F,G,H I;J,K4,> HLM - NOPQR %&'( )* +, -. /0 macro CalcSqrt Open MutiWindowApp

118 F,G,H I;J,K4,> HLM - NOPQR %&' ( )* +, -. /0 UImod_panel UImod_panel UImod_panel

119 F,G,H I;J,K4,> HLM - NOPQR %&'( )* +, -. /0

120 F,G,H I;J,K4,> HLM - NOPQR %&' ( )* +, -. /0 UIframe.width UIdial.width

121 F,G,H I;J,K4,> HLM - NOPQR %&'( )* +, -. /0

122 F,G,H I;J,K4,> HLM - NOPQR %&' ( )* +, -. /0 ObjMbar.v

123 F,G,H I;J,K4,> HLM - NOPQR %&'( )* +, -. /0 ObjButton1.v

124 F,G,H I;J,K4,> HLM - NOPQR %&' ( )* +, -. /0 UIbutton ObjButton2.v

125 F,G,H I;J,K4,> HLM - NOPQR %&'( )* +, -. /0 ObjButton3.v UIfield C UIshell#1 A UIbutton ObjButton4.v

126 F,G,H I;J,K4,> HLM - NOPQR %&' ( )* +, -. /0

127 F,G,H I;J,K4,> HLM - NOPQR %&'( )* +, -. /0

128 F,G,H I;J,K4,> HLM - NOPQR %&' ( )* +, -. /0

129 F,G,H I;J,K4,> HLM - NOPQR %&'( )* +, -. /0 UIpanel

130 F,G,H I;J,K4,> HLM - NOPQR %&' ( )* +, -. /0 set

131 F,G,H I;J,K4,> HLM - NOPQR %&'( )* +, -. /0

132 F,G,H I;J,K4,> HLM - NOPQR %&' ( )* +, -. /0 ObjNewSlice.v

133 F,G,H I;J,K4,> HLM - NOPQR ST UI UI UI UI UI UI UI

134 F,G,H I;J,K4,> HLM - NOPQR ST

135 F,G,H I;J,K4,> HLM - NOPQR ST

136 F,G,H I;J,K4,> HLM - NOPQR ST UIoption.set 0,1

137 F,G,H I;J,K4,> HLM - NOPQR ST

138 F,G,H I;J,K4,> HLM - NOPQR ST

139 F,G,H I;J,K4,> HLM - NOPQR ST

140 F,G,H I;J,K4,> HLM - NOPQR ST

141 F,G,H I;J,K4,> HLM - NOPQR ST

142 F,G,H I;J,K4,> HLM - NOPQR ST

143 F,G,H I;J,K4,> HLM - NOPQR ST

144 F,G,H I;J,K4,> HLM - NOPQR ST

145 F,G,H I;J,K4,> HLM - NOPQR ST

146 F,G,H I;J,K4,> HLM - NOPQR ST

147 F,G,H I;J,K4,> HLM - NOPQR UV

148 F,G,H I;J,K4,> HLM - /W. X,Y Z ; NOPQR

149 F,G,H I;J,K4,> HLM - /W.X,YZ ; NOPQR UI

150 F,G,H I;J,K4,> HLM - /W. X,Y Z ; NOPQR

151 F,G,H I;J,K4,> HLM - /W.X,YZ ; NOPQR Editors IUI

152 F,G,H I;J,K4,> HLM - I;J,K4,>[\ UIcmd UIcmdList Label &

153 F,G,H I;J,K4,> HLM - I;J,K4,>[\ UIcmd Message Windows *.x AVS *.bmp Windows UNIX *.x AVS *.xbm X

154 F,G,H I;J,K4,> HLM - I;J,K4,>[\ UIbutton.labelPixmap!"!# $ % &'() < >/data/image/avs.x avs_image UNIX filename MultiWindowApp tooltip

155 F,G,H I;J,K4,> HLM - I;J,K4,>[\!"!# $ % &'() - fontattributes family = times

156 F,G,H I;J,K4,> HLM - I;J,K4,>[\!"!# $ % &'() times

157 F,G,H I;J,K4,> HLM - I;J,K4,>[\

158 F,G,H I;J,K4,> HLM - I;J,K4,>[\

159 F,G,H I;J,K4,> HLM - I;J,K4,>[\ UIpixmapCursor UIframe

160 F,G,H I;J,K4,> HLM

161 F,G,H I;J,K4,> HLM

162 F,G,H I;J,K4,> HLM - `a#b

163 F,G,H I;J,K4,> HLM - `a#b ObjLabel1.v

164 F,G,H I;J,K4,> HLM - `a#b

165 F,G,H I;J,K4,> HLM - `a#b

166 F,G,H I;J,K4,> HLM - `a#b

167

168 c&d3 +,0 $

169 c&d3+,0 efghfihejkil!"!#$ % trigger input output output input on_inst 0

170 c&d3 +,0 efghfihejkil ObjCpyOnChg.v

171 c&d3+,0 khm!"!#$ % v_command V trigger mode 0 v_command push, pop push v_commnad pop 0 push,pop v_command = int v = 1; v = v+1; push int v = 1; pop push v = v+1; pop push, pop V

172 c&d3 +,0 khm!"!#$ % no_errors sync active on_inst *relative 0 V 0 V V 0 V 0 v_command v_command V

173 c&d3+,0 khm

174 c&d3 +,0 nfkohmheqr!"!#$ % filename V V trigger *relative active 0 v_command 0 1 on_inst 0

175 c&d3+,0 nfkohmheqr

176 c&d3 +,0 QiRkie!"!#$ % Value active *Group active 0,1,2 0 Value 1 Value Value AppInstance1.v

177 c&d3+,0 QiRkie AppInstance2.v

178 c&d3 +,0 QiRkie

179 c&d3+,0 nff!"!#$ % reset 1 count start_val 0 reset_back 1 count end_val 0 run 1 start_val end_val incr count 0 run_back 1 end_val start_val incr count 0 step 1 count incr step_back 1 count incr 1 1 0

180 c&d3 +,0 nff!"!#$ % cycle 1 start_val end_val end_val start_val 2 start_val end_val start_val end_val run 0 cycle 0 done 1 0 start_val end_val incr count

181 c&d3+,0 nff

182 c&d3 +,0 RQp!"!#$ % TimeStep mmsec Val Active 1 1 Val Val ValStep ValStep TimeStep = 1000 ValStep = 1 Val = 1,2,3,... 1 ValStep = 2 1 Val = 2,4,6,...

183 c&d3+,0 RQp

184 c&d3 +,0 RQp ObjTimer2.v ObjTimer3.v

185 c&d3+,0 RQp

186 c&d3 +,0 QRhfe!"!#$ % do_exit 1 exit status exit 0 ObjExitP.v

187 c&d3+,0 QRhfe

188 c&d3 +,0 qrsfphoqr!"!#$ % xrot, yrot, zrot x,y,z xtrans, ytrans, ztrans x,y,z xcenter, ycenter, zcenter scale shell_viz absolute 1 absolute 0 xrot, xtrans 0 1 reset

189 c&d3+,0 qrsfphoqr!"!#$ % abs_xxx abs_ &xform xform

190 c&d3 +,0 qrqethfe!"!#$ % obj_in pick_id ID coord_id ID node_data_array_id ID vert_index cell_set_id ID conn_id ID conn_index cell_data_array_id ID cell_index point

191 c&d3+,0 qrqethfe!"!#$ % nnode_data values_array value

192 c&d3 +,0 qrqethfe

193 c&d3+,0 qrqethfe 0,0,0

194 c&d3 +,0 qrqethfe

195 c&d3+,0 qrqethfe AppPick4.v

196 c&d3 +,0 qrqethfe

197 c&d3+,0 ruqrej!"!#$ % &in[] index out ObjSwitch.v

198 c&d3 +,0 ruqrej AppSwitch.v

199

200 v+,w,xy $

201 v+,w,xy z{ã Transform GDxform_edit

202 v+,w,xy z{ã

203 v+,w,xy z{ã

204 v+,w,xy z{ã

205 v+,w,xy z{ã UI

206 v+,w,xy z{ã

207 v+,w,xy z{ã

208 v+,w,xy z{ã AppXform.v

209 v+,w,xy z{ã

210 v+,w,xy z{ã AppGroup.v

211 v+,w,xy z{ã

212 H I~; -

213 H I~; -

214 H I~; - Z

215 H I~; - *+,-./012- " &' :; [0][0] track_mode 1 2 Z 3 [0][1] 0 0 [0][2] -1-1 [1][0] Shift -1 Shift -1 [1][1] Shift 1 Shift 1 [1][2] Shift -1 Shift -1 [2][0] Ctrl -1 Ctrl -1

216 H I~; - *+,-./012- " &' :; [2][1] Ctrl 2 Ctrl 2 [2][2] Ctrl -1 Ctrl -1 [3][0] Alt -1 Alt -1 [3][1] Alt -1 Alt -1 [3][2] Alt -1 Alt -1

217 H I~; - -

218 v+,w,xy };A}H JI-0/I9;

219 v+,w,xy };A}H JI-0/I9;

220 v+,w,xy };A}H JI-0/I9;

221 v+,w,xy };A}H JI-0/I9;

222 v+,w,xy };A}H JI-0/I9;

223 v+,w,xy };A}H JI-0/I9;

224 v+,w,xy Y,;ÅÆÇÈ Scene

225 v+,w,xy Y,;ÅÆÇÈ "!#$ % Uviewer3D, Uviewer2D, Uviewer UIshell UIframe UI

226 v+,w,xy Y,;ÅÆÇÈ

227 v+,w,xy Y,;ÅÆÇÈ

228 v+,w,xy Y,;ÅÆÇÈ

229 v+,w,xy Y,;ÅÆÇÈ!"!#$ % input dmap props modes texture

230 v+,w,xy Y,;ÅÆÇÈ!"!#$ % altobj pickable

231 v+,w,xy Y,;ÅÆÇÈ Modes.mode[0] Modes.mode[1] Modes.mode[2] Modes.mode[3] Modes.mode[4] Modes.normals Modes.outline

232 v+,w,xy Y,;ÅÆÇÈ

233 v+,w,xy Y,;ÅÆÇÈ!"!# $ % < = > col[3] Type General Object Primary Color HSV RGB hi1_col[3] Type General Object Secondary Color HSV RGB hi2_col[3] Type General Object Tertiary Color HSV RGB material[4] Type Surface material[0] : Ambient material[1] : Diffuse material[2] : Specular material[3] : Gloss spec_col[3] Type Surface Metal RGB trans Type Surface Opacity draw_mode Type Point/Line Drawing Mode line_width Type Point/Line Line Thickness line_style Type Point/Line Line Style line_aa Type Point/Line Smooth lines dir_pt_size Type Point/Line Glyph Size subdiv Type Point/Line sub division

234 v+,w,xy Y,;ÅÆÇÈ!"!# $ % < = > jitter Type General Jitter Level cull Type Surface Culling Mode voxel_interp Type Volume Interpolation ray_algo Type Volume Ray Algorithm ray_norm Type Volume Distance Normalize fat_ray Type Volume Fat Ray sfp_absorb Type Volume SFP Absorption sfp_emit Type Volume SFP Emission Inherit Inherit

235 v+,w,xy Y,;ÅÆÇÈ

236 v+,w,xy Y,;ÅÆÇÈ!"!#$ % xform Top teapot 2 Top teapot Obj

237 v+,w,xy Y,;ÅÆÇÈ

238 v+,w,xy Y,;ÅÆÇÈ!"!#$ % < = tile Tile alpha Blending filter Interpolation type Type

239 v+,w,xy Y,;ÅÆÇÈ Alternate

240 v+,w,xy Y,;ÅÆÇÈ

241 v+,w,xy Y,;ÅÆÇÈ!"!# $ % < = > type Light General Type state Light General Light On col[3] Light General HSV RGB att[2] Light Attributes Attenuation 1, 2 concen Light Attributes Concentration angle Light Attributes Spread Angle

242 v+,w,xy Y,;ÅÆÇÈ -

243 v+,w,xy Y,;ÅÆÇÈ!"!# $ % < = > show_lights Light General Show Lights amb_state Light Ambient Light On amb_col[3] Light Ambient HSV RGB nlights lights DefaultLight

244 v+,w,xy Y,;ÅÆÇÈ

245 v+,w,xy Y,;ÅÆÇÈ!"!# $ % < = > type Camera General 3D 2D Camera Type auto_norm Camera General Auto Normalize norm_scale Camera General Normalize Scale extents Camera General Extents mapping Camera General Mapping image_pass Camera General Image Pass pickable Camera General Pickable from[3]/up[3]/at[3] Camera Tripod From, Up, At scale Camera Lens Global Scale perspec Camera Lens Perspective On hither Camera Clipping Planes Clipping Planes On front Camera Clipping Planes Front back Camera Clipping Planes Back fov Camera Lens Field Of View wsize Camera Lens Viewport Size

246 v+,w,xy Y,;ÅÆÇÈ!"!# $ % < = > depth_cue Camera Depth Cueing Depth Cueing On depth_front Camera Depth Cueing Front Depth Cue depth_back Camera Depth Cueing Back Depth Cue depth_scale Camera Depth Cueing Depth Cue Scale jitter_scale Camera General Jitter Scale

247 v+,w,xy Y,;ÅÆÇÈ

248 v+,w,xy Y,;ÅÆÇÈ!"!# $ % < = > renderer View General Renderer update 1 list_update 1 refresh View General Refresh 1 mode View Options Update Automatically (Manual) refresh 1 buffer View Options Buffer Mode accel View Options Accelerate clear 0 timer View General Timer pcache View Options Pcache XGL aspect View Options Aspect back_col[3] View General HSV RGB stereo View Stereo Stereo On SUN, SGI video View Stereo Full Screen On SGI stereo_distance View Stereo Distance

249 v+,w,xy Y,;ÅÆÇÈ!"!# $ % < = > stereo_angle View Stereo Separation Angle video_off light_info cameras blend_mode View Options Blend Mode ts_enable View True Scale Enable ts_scale View True Scale Map Scale ts_xorig View True Scale X Origin ts_yorig View True Scale Y Origin trigger 1 handle UIrenderView output_enable View Output Enable config_flags AVS/Express full_view_width full_view_height cur_light selected frames View General Timer

250 v+,w,xy Y,;ÅÆÇÈ!"!# $ % < = > seconds View General Timer fps View General Timer

251 v+,w,xy Y,;ÅÆÇÈ

252 v+,w,xy Y,;ÅÆÇÈ!"!# $ % < = > type AVS/Express flip Flip clear Clear buffers.fb_type Frame Buffer Type buffers.zb_type Z Buffer Type buffers.framebuffer buffers.zbuffer Z output buffers.framebuffer

253 v+,w,xy Y,;ÅÆÇÈ

254 v+,w,xy Y,; Scene_Selector

255 v+,w,xy Y,;

256 v+,w,xy Y,;!"!#$ % current_view scene_mode 0:new_scene 1:share_scene 2,3 camera_mode 0:3D 3D 1:2D 2D 2:Both Uviewer do_create 1 do_destroy 1 destroy_active do_destroy 1 0

257 v+,w,xy Y,;

258 v+,w,xy,j H v+,w,%stƒ J, Scene_Editor

259 v+,w,xy,j H v+,w,%stƒ J, UI

260 v+,w,xy,j H v+,w,%stƒ J,

261 v+,w,xy,j H v+,w,%stƒ J,

262 v+,w,xy,j H v+,w,%stƒ J,

263 v+,w,xy,j H v+,w,%stƒ J,

264 v+,w,xy,j H v+,w,%stƒ J,

265 v+,w,xy ňƒ Š AppContSketch1.v

266 v+,w,xy ňƒ Š AppContSketch2.v

267 v+,w,xy ňƒ Š AppDrawCursor1.v

268 v+,w,xy ňƒ Š AppDrawCursor2.v AppDrawLine1.v AppDrawLine2.v

269 v+,w,xy ňƒ Š AppEditMesh.v

270 v+,w,xy ňƒ Š

271 v+,w,xy ňƒ Š AppV2Raster.v

272 v+,w,xy ňƒ Š

273 v+,w,xy *+,-./0 AppAppl1.v

274 v+,w,xy *+,-./0

275 v+,w,xy *+,-./0

276 v+,w,xy *+,-./0

277 v+,w,xy *+,-./0 AppAppl2.v

278 v+,w,xy *+,-./0 AppAppl3.v

279 v+,w,xy *+,-./0

280 v+,w,xy *+,-./0

281 v+,w,xy *+,-./0 -

282 v+,w,xy *+,-./0 AppProfile1.v

283 v+,w,xy *+,-./0 ContinuousSketch

284 v+,w,xy *+,-./0 ObjCreateXY.v macro createxy { float startx => <-.ContinuousSketch.cont_sketch.GDmap2d_ss.out_mesh.coordinates.values[0][0]; float starty => <-.ContinuousSketch.cont_sketch.GDmap2d_ss.out_mesh.coordinates.values[0][1]; float endx => <-.ContinuousSketch.cont_sketch.GDmap2d_ss.out_mesh.coordinates.values[1][0]; float endy => <-.ContinuousSketch.cont_sketch.GDmap2d_ss.out_mesh.coordinates.values[1][1]; float difx => (endx - startx) / 4; float dify => (endy - starty) / 4; float xy[5][2] => { {startx, starty}, {startx+difx, starty+dify}, {startx+difx*2, starty+dify*2}, {startx+difx*3, starty+dify*3}, {endx, endy} }; }; line_mesh V AppProfile2.v

285 v+,w,xy *+,-./0

286 v+,w,xy *+,-./0 ObjMinMax.v group minmax { int min[] => { ContinuousSketch.cont_sketch.GDmap2d_ss.out_mesh.coordinates.min_vec[0], ContinuousSketch.cont_sketch.GDmap2d_ss.out_mesh.coordinates.min_vec[1] }; int max[] => { ContinuousSketch.cont_sketch.GDmap2d_ss.out_mesh.coordinates.max_vec[0], ContinuousSketch.cont_sketch.GDmap2d_ss.out_mesh.coordinates.max_vec[1] }; };

287 v+,w,xy *+,-./0 V AppCrop1.v

288 v+,w,xy *+,-./0

289

290 Œ

291 Œ

292 Œ

293 Œ

294 Œ

295 Œ

296 Œ

297 Œ

298 Œ

299 Œ

300 Œ

301 AVS/Express Copyright KGT Inc Printed in Japan

ProVisionaire Control V3.0セットアップガイド

ProVisionaire Control V3.0セットアップガイド ProVisionaire Control V3 1 Manual Development Group 2018 Yamaha Corporation JA 2 3 4 5 NOTE 6 7 8 9 q w e r t r t y u y q w u e 10 3. NOTE 1. 2. 11 4. NOTE 5. Tips 12 2. 1. 13 3. 4. Tips 14 5. 1. 2. 3.

More information

1 1.1 (JCPRG) 30 Nuclear Reaction Data File (NRDF) PC GSYS2.4 JCPRG GSYS2.4 Java Windows, Linux, Max OS X, FreeBSD GUI PNG, GIF, JPEG X Y GSYS2

1 1.1 (JCPRG) 30 Nuclear Reaction Data File (NRDF) PC GSYS2.4 JCPRG GSYS2.4 Java Windows, Linux, Max OS X, FreeBSD GUI PNG, GIF, JPEG X Y GSYS2 (GSYS2.4) GSYS2.4 Manual SUZUKI Ryusuke Hokkaido University Hospital Abstract GSYS2.4 is an update version of GSYS version 2. Main features added in this version are Magnifying glass function, Automatically

More information

Specview Specview Specview STSCI(Space Telescope SCience Institute) VO Specview Web page htt

Specview Specview Specview STSCI(Space Telescope SCience Institute) VO Specview Web page   htt Specview Specview Specview STSCI(Space Telescope SCience Institute) VO Specview Web page http://www.stsci.edu/resources/software_hardware/specview http://specview.stsci.edu/javahelp/main.html Specview

More information

0.2 Button TextBox: menu tab 2

0.2 Button TextBox: menu tab 2 Specview VO 2012 2012/9/27 Specview Specview STSCI(Space Telescope SCience Institute) VO Specview Web page http://www.stsci.edu/resources/software hardware/specview http://specview.stsci.edu/javahelp/main.html

More information

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that use microcontrollers (MCUs)

More information

グラフ数値読み取りシステム (GSYS2.4) 利用の手引

グラフ数値読み取りシステム (GSYS2.4) 利用の手引 (GSYS2.4) GSYS2.4 Manual SUZUKI Ryusuke Hokkaido University Hospital 2011 6 7 Abstract GSYS2.4 is an update version of GSYS version 2. Main features added in this version are Magnifying glass function,

More information

New version (2.15.1) of Specview is now available Dismiss Windows Specview.bat set spv= Specview set jhome= JAVA (C:\Program Files\Java\jre<version>\

New version (2.15.1) of Specview is now available Dismiss Windows Specview.bat set spv= Specview set jhome= JAVA (C:\Program Files\Java\jre<version>\ Specview VO 2012 2012/3/26 Specview Specview STSCI(Space Telescope SCience Institute) VO Specview Web page http://www.stsci.edu/resources/software hardware/specview http://specview.stsci.edu/javahelp/main.html

More information

double float

double float 2015 3 13 1 2 2 3 2.1.......................... 3 2.2............................. 3 3 4 3.1............................... 4 3.2 double float......................... 5 3.3 main.......................

More information

course pptx

course pptx ParaView () 20105141CAE OPENFOAM (R) is a registered trade mark of OpenCFD Limited, the producer of the OpenFOAM software and owner of the OPENFOAM (R) and OpenCFD (R) trade marks. This offering is not

More information

gnuplot.dvi

gnuplot.dvi gnuplot gnuplot 1 gnuplot exit 2 10 10 2.1 2 plot x plot sin(x) plot [-20:20] sin(x) plot [-20:20][0.5:1] sin(x), x, cos(x) + - * / ** 5 ** plot 2**x y =2 x sin(x) cos(x) exp(x) e x abs(x) log(x) log10(x)

More information

第7章 レンダリング

第7章 レンダリング 7 April 11, 2017 1 / 59 7.1 ( ) CG 3 ( ) 2 / 59 7.2 7.2.1 ( ) 3 (rendering) 1 / (hidden line/surface calculation) a (outer normal algorithm) b Z (Z-buffer algorithm) c (scan-line algorithm) 2 (shading)

More information

第7章 レンダリング

第7章 レンダリング 7 May 18, 2012 1 / 60 71 ( ) CG 3 ( ) 2 / 60 72 71 ( ) 3 (rendering) 1 / (hidden line/surface calculation) a (outer normal algorithm) b Z (Z-buffer algorithm) c (scan-line algorithm) 2 (shading) a (flat

More information

C

C (1) (2) Play Volume (3) (4) (5) (6) (7) (8) Ctrl N Ctrl Ctrl (9) (10) OFF (11) (12) OFF (13) (14) 2 3 4 5 6 7 LCD LCD 8 TV ANT. S IN VIDEO IN LINE-L IN LINE-R IN 9 10 11 12 LCD LCD 13 LCD 14 LCD 15 LCD

More information

2

2 WV-CS570 2 3 4 5 6 7 8 9 10 11 12 13 q w q e 14 1 2 15 3 4 5 16 6 7 8 9 17 1 2 3 18 19 1 2 * RS485 SET UP * UNIT NUMBER SUB ADDRESS BAUD RATE DATA BIT PARITY CHECK STOP BIT X/X WAIT TIME ALARM DATA DELAY

More information

GLS user s reference 19 8 21 1 3 1.1....................................................... 3 1.2....................................................... 3 1.3.......................................................

More information

BRC-X1000

BRC-X1000 C-455-100-02(1) BRC-X1000/H800 2016 Sony Corporation 2 3 4 5 6 4 D E 5 6 7 A B C F G 7 8 9 0 qa qs SYSTEM SELECT IN IR SELECT VISCA RS - 422 OUT OSD OFF CAMERA SETUP 1 qd 2 3 4 5 6 7 8 LAN 12V qf HDMI

More information

untitled

untitled FutureNet Microsoft Corporation Microsoft Windows Windows 95 Windows 98 Windows NT4.0 Windows 2000, Windows XP, Microsoft Internet Exproler (1) (2) (3) COM. (4) (5) ii ... 1 1.1... 1 1.2... 3 1.3... 6...

More information

スライド タイトルなし

スライド タイトルなし LightCycler Software Ver.3.5 : 200206 1/30 Windows NT Windows NT Ctrl + Alt + Delete LightCycler 3 Front Screen 2/30 LightCycler3 Front RUN Data Analysis LightCycler Data Analysis Edit Graphics Defaults

More information

GNU Emacs GNU Emacs

GNU Emacs GNU Emacs GNU Emacs 2015 10 2 1 GNU Emacs 1 1.1....................................... 1 1.2....................................... 1 1.2.1..................................... 1 1.2.2.....................................

More information

GM-F520S/GM-F470S/GM-F420S

GM-F520S/GM-F470S/GM-F420S GM-F520S GM-F470S GM-F420S LCT2504-002A-H 2 3 4 200 150 150 50 1 3 4 1 2 3 1 2 3 4 5 e 6 7 8 9 p q w r t 5 6 5 23 7 8 9 p q 4 5 6 7 8 9 2 3 4 5 5 23 6 7 8 9 w 1 2 e r t p p 5 6 9( 3 DVI-D (HDCP) RGB IN

More information

Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool

Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that

More information

HARK Designer Documentation 0.5.0 HARK support team 2013 08 13 Contents 1 3 2 5 2.1.......................................... 5 2.2.............................................. 5 2.3 1: HARK Designer.................................

More information

フリーセルプロの使い方

フリーセルプロの使い方 FreeCell Pro 011 2 FreeCell Pro 2002 FCPRO.HLP FreeCell Pro6.4 6.5 FreeCell Pro 1000 http://solitairelaboratory.com/fcpro.html FreeCell Pro 2009 2 3 FreeCell Pro Microsoft FC 0 Windows 3.1 FreeCell Pr

More information

(Microsoft PowerPoint - VC16 \203`\203\205\201[\203g\203\212\203A\203\213.ppt)

(Microsoft PowerPoint - VC16 \203`\203\205\201[\203g\203\212\203A\203\213.ppt) 第 16 回 ビジュアリゼーションカンファレンス AVS/Expressチュートリアル バッチ 処 理 入 門 もくじ AVS/Expressチュートリアル(バッチ 処 理 入 門 ) AVS/Expressおさらい バッチ 処 理 ( 定 型 処 理 )を 行 うための 仕 組 みの 紹 介 画 像 出 力 方 法 動 画 出 力 方 法 (GFA 出 力 方 法 ) おわりに 2 AVS/Expressおさらい

More information

13 Student Software TI-Nspire CX CAS TI Web TI-Nspire CX CAS Student Software ( ) 1 Student Software 37 Student Software Nspire Nspire Nspir

13 Student Software TI-Nspire CX CAS TI Web TI-Nspire CX CAS Student Software ( ) 1 Student Software 37 Student Software Nspire Nspire Nspir 13 Student Software TI-Nspire CX CAS TI Web TI-Nspire CX CAS Student Software ( ) 1 Student Software 37 Student Software 37.1 37.1 Nspire Nspire Nspire 37.1: Student Software 13 2 13 Student Software esc

More information

5 1 2 3 4 5 6 7 8 9 10 11 12 1 132 CMOS Setup Utility - Copyright (C) 1984-2000 Award Software Power Management Setup ACPI Suspend Type S3 (STR) Power Management User Define Video Off Method DPMS Video

More information

HA8000シリーズ ユーザーズガイド ~BIOS編~ HA8000/RS110/TS10 2013年6月~モデル

HA8000シリーズ ユーザーズガイド ~BIOS編~ HA8000/RS110/TS10 2013年6月~モデル P1E1M01500-3 - - - LSI MegaRAID SAS-MFI BIOS Version x.xx.xx (Build xxxx xx, xxxx) Copyright (c) xxxx LSI Corporation HA -0 (Bus xx Dev

More information

ZEMAX Nagata DLL Volume-CAD c Copyright by RIKEN All Rights Reserved : : ( )

ZEMAX Nagata DLL Volume-CAD c Copyright by RIKEN All Rights Reserved : : ( ) ZEMAX Nagata DLL Volume-CAD c Copyright by RIKEN All Rights Reserved : 23 1 26 : ( ) ii 1. Nagata DLL 1 2. Nagata 1 3. VObj 2 3. 1............................................... 2 3. 2.................................................

More information

超初心者用

超初心者用 3 1999 10 13 1. 2. hello.c printf( Hello, world! n ); cc hello.c a.out./a.out Hello, world printf( Hello, world! n ); 2 Hello, world printf n printf 3. ( ) int num; num = 100; num 100 100 num int num num

More information

X Window System X X &

X Window System X X & 1 1 1.1 X Window System................................... 1 1.2 X......................................... 1 1.3 X &................................ 1 1.3.1 X.......................... 1 1.3.2 &....................................

More information

LiveCode初心者開発入門サンプル

LiveCode初心者開発入門サンプル / About LiveCode 01:... 11 02: Create... 15 set 03:... 21 name title LiveCode 04:... 29 global local width height 05:... 37 Controls Tools Palette Script Editor message handler 06:... 52 RGB 07:... 63

More information

2008 DS T050049

2008 DS T050049 DS T050049. PSP DS DS DS RPG DS OS Windows XP DevkiPro OS DS CPU ARM devkitarm MSYS MinGW MSYS MinGW Unix OS C++ C++ make nds nds DS DS micro SD Card nds DS DS DS nds C Java C++ nds nds DS 2008 DS T050049

More information

ProVAL Recent Projects, ProVAL Online 3 Recent Projects ProVAL Online Show Online Content on the Start Page Page 13

ProVAL Recent Projects, ProVAL Online 3 Recent Projects ProVAL Online Show Online Content on the Start Page Page 13 ProVAL Unit System Enable Recording Log Preferred Language Default File Type Default Project Path ProVAL : Unit SystemUse SI Units SI SI USCS Enable Recording Log Language Default File Type Default Project

More information

HIS-CCBASEver2

HIS-CCBASEver2 Information Access Interface in the Immersive Virtual World Tetsuro Ogi, *1*2*3 Koji Yamamoto, *3*4 Tadashi Yamanouchi *3 and Michitaka Hirose *2 Abstract - In this study, in order to access database server

More information

Compatibility list: vTESTstudio/CANoe

Compatibility list: vTESTstudio/CANoe 1.0 および 1.1 で作成されたテストユニットは テスト内で使用されるコマンドに関わらず 必ず下記の最小バージョン以降の CANoe にて実行してください vteststudio 2.0 以上で作成されたテストユニット ( 新機能を使用していない場合 ) は それぞれに応じた最小バージョン以降の CANoe にて実行してください 下記の表にて 各バージョンに対応する要件をご確認ください vteststudio

More information

ARA1351A_00cover_03

ARA1351A_00cover_03 PDP-507CMX-JP ii ii iv iii D50-3-9-2-2_Ja 1 2 0 0 0 0 3 7 1 8 2 3 4 5 6 4 3 STANDBY/ON 4 DISPLAY / INPUT SCREEN SIZE 5 6 7 8 VOL + 9 STANDBY/ON 2 1 1 2 3 4 5 6 7 8 9 }] 5 5 1 0-6 2 = 7 8 3 4 5 6 7 8

More information

1

1 DVC512/DVC512GOLD 日本語取扱説明書 1 3 3 3 USB/DMX 4 6 6 SETUP 7 8 9 9 10 11 11 12 12 12 13 13 14 15 AUTO/LTP/HTP 16 17 17 18 19 19 Scene Audio BPM Sync 20 Audio BPM Sync21 21 22 22 23 24 Tricks & Tips25 3 XLR

More information

Nios II ハードウェア・チュートリアル

Nios II ハードウェア・チュートリアル Nios II ver. 7.1 2007 8 1. Nios II FPGA Nios II Quaruts II 7.1 Nios II 7.1 Nios II Cyclone II count_binary 2. 2-1. http://www.altera.com/literature/lit-nio2.jsp 2-2. Nios II Quartus II FEATURE Nios II

More information

2

2 WJ-HD150 Digital Disk Recorder WJ-HD150 2 3 q w e r t y u 4 5 6 7 8 9 10 11 12 13 14 15 16 q w SIGNAL GND AC IN 17 SUNDAY MONDAY TUESDAY WEDNESDAY THURSDAY FRIDAY SATURDAY DAILY Program 1 Event No.1 Event

More information

Microsoft Word - PIVマニュアル.doc

Microsoft Word - PIVマニュアル.doc (Nikkor 50mm f/1.2) C CCD (PixelFly QE) LAN USB BNC 1 1.1 CCD 注意 CCD CCD 1) 注意 2) 3) LAN LAN 4) 3 2 5) 2 1.2 1) Came Ware Came Ware 2) [Camera] [Camera Control] Camera mode Video Trigger Mode Intern CameraControl

More information

6 Tgif William Chia-Wei Chang tgif 3.0 pixmap URL Tgif 6.1: Tgif

6 Tgif William Chia-Wei Chang tgif 3.0 pixmap URL Tgif 6.1: Tgif 6 Tgif 121 6.1 Tgif............................ 122 6.2..................... 123 6.2.1...................... 126 6.2.2 Dash, Type, Style, Width.......... 127 6.2.3 Pen, Fill............. 128 6.2.4 Text......................

More information

BASICとVisual Basic

BASICとVisual Basic Visual Basic BASIC Visual Basic BASICBeginner's All purpose Symbolic Instruction Code Visual Basic Windows BASIC BASIC Visual Basic Visual Basic End Sub .Visual Basic Visual Basic VB 1-1.Visual Basic

More information

NL-20取扱説明書_操作編

NL-20取扱説明書_操作編 MIC / Preamp A C AMP 1 AMP 2 AMP 3 FLAT FLAT CAL.SIG. OVER LOAD DET. AMP 4 AMP 5 A/D D/A CONV. V ref. AMP 8 AMP 10 DC OUT AC OUT AC DC OUT DATA BUS CPU ADDRESS BUS DSP Start Pause Stop Store Mode Cont

More information

HA8000-bdシリーズ RAID設定ガイド HA8000-bd/BD10X2

HA8000-bdシリーズ RAID設定ガイド HA8000-bd/BD10X2 HB102050A0-4 制限 補足 Esc Enter Esc Enter Esc Enter Main Advanced Server Security Boot Exit A SATA Configuration SATA Controller(s) SATA Mode Selection [Enabled] [RAID] Determines how

More information

RT300i/RT140x/RT105i 取扱説明書

RT300i/RT140x/RT105i 取扱説明書 2 3 4 5 6 7 8 9 10 Bold face Enter Ctrl Tab BS Del Typewriter face RT105i RT300i RT140p RT140f RT140i RT140e RT105i RT300i 11 RARP 9600 bit/s 8 http://www.rtpro.yamaha.co.jp/ ftp.rtpro.yamaha.co.jp 12

More information

dTVIIman.PDF

dTVIIman.PDF dtv.ii SR diffusion TENSOR Visualizer II, the Second Release Rev.0.90 (2005.08.22) dtv 3 6 ROI ROI 10 11 15 21 23 25 2 dtv dtvdiffusion TENSOR Visualizer MR VOLUME-ONE dtv VOLUME-ONE ROI 1.1 dtv.ii SR

More information

Lab GPIO_35 GPIO

Lab GPIO_35 GPIO 6,GPIO, PSoC 3/5 GPIO HW Polling and Interrupt PSoC Experiment Lab PSoC 3/5 GPIO Experiment Course Material 6 V2.02 October 15th. 2012 GPIO_35.PPT (65 Slides) Renji Mikami Renji_Mikami@nifty.com Lab GPIO_35

More information

web06.dvi

web06.dvi 73 6 MATLAB MATLAB GUI GUI M copyright c 2004 Tatsuya Kitamura / All rights reserved. 74 6 6.1 GUI MATLAB GUI property Windows MATLAB UNIX MATLAB GUI Graphical User Interface PC Red Hat Linux 5.2J Vine

More information

RT300/140/105シリーズ 取扱説明書

RT300/140/105シリーズ 取扱説明書 REMOTE & BROADBAND ROUTER RT300i/RT140p/RT140f/RT140i RT140e/RT105p/RT105i/RT105e 2 3 4 5 6 7 8 9 10 Bold face Enter Ctrl Tab BS Del Console RT105i RT300i RT140p RT140f RT140i RT140e RT105p RT105i RT105e

More information

untitled

untitled TEM with CCD Ver. 5.1 18 10 25 6 50 FILAMENT OFF 80 V () FILAMENT 2 25 FILAMENT OFF FILAMENT 1 min 2-5 L TEM(&CCD) FILAMENT ON CCD( ) - 1 - 3 TEM 3 CCD 3 4 5 6 7 (CCD ) 7 CCD 7 10 10 11 CCD &TEM 11-2 -

More information

f(x) x S (optimal solution) f(x ) (optimal value) f(x) (1) 3 GLPK glpsol -m -d -m glpsol -h -m -d -o -y --simplex ( ) --interior --min --max --check -

f(x) x S (optimal solution) f(x ) (optimal value) f(x) (1) 3 GLPK glpsol -m -d -m glpsol -h -m -d -o -y --simplex ( ) --interior --min --max --check - GLPK by GLPK http://mukun mmg.at.infoseek.co.jp/mmg/glpk/ 17 7 5 : update 1 GLPK GNU Linear Programming Kit GNU LP/MIP ILOG AMPL(A Mathematical Programming Language) 1. 2. 3. 2 (optimization problem) X

More information

\\afs001-0m0005\project02\A32\M

\\afs001-0m0005\project02\A32\M Technical Information 2004.09 2009.04 Store Request Query Request Retrieve Request DICOM Client Application Remote SCP Remote Query/Retrieve SCP Image Stored * DICOM Server Application Remote SCU Print

More information

Step 1 Feature Extraction Featuer Extraction Feature Extraction Featuer Extraction Image Analysis Start>Programs>Agilent-Life Sciences>Feature Extract

Step 1 Feature Extraction Featuer Extraction Feature Extraction Featuer Extraction Image Analysis Start>Programs>Agilent-Life Sciences>Feature Extract Agilent G2565AA Feature Extraction Step 1 Feature Extraction Step 2 Step 3 Step 4 ( ) Step 5 ( ) Step 6 Step 7 Step 8 Feature Extraction Step 9 Step 10 Feature Extraction Step 11 Feature Extraction Step

More information

1 # include < stdio.h> 2 # include < string.h> 3 4 int main (){ 5 char str [222]; 6 scanf ("%s", str ); 7 int n= strlen ( str ); 8 for ( int i=n -2; i

1 # include < stdio.h> 2 # include < string.h> 3 4 int main (){ 5 char str [222]; 6 scanf (%s, str ); 7 int n= strlen ( str ); 8 for ( int i=n -2; i ABC066 / ARC077 writer: nuip 2017 7 1 For International Readers: English editorial starts from page 8. A : ringring a + b b + c a + c a, b, c a + b + c 1 # include < stdio.h> 2 3 int main (){ 4 int a,

More information

NL-22/NL-32取扱説明書_操作編

NL-22/NL-32取扱説明書_操作編 MIC / Preamp ATT NL-32 A C ATT AMP 1 AMP 2 AMP 3 FLAT FLAT CAL.SIG. OVER LOAD DET. AMP 4 AMP 5 A/D D/A CONV. AMP 6 AMP 7 A/D CONV. Vref. AMP 8 AMP 10 DC OUT AMP 9 FILTER OUT AC DC OUT AC OUT KEY SW Start

More information

スライド 1

スライド 1 1 1. 2 2. 3 isplever 4 5 6 7 8 9 VHDL 10 VHDL 4 Decode cnt = "1010" High Low DOUT CLK 25MHz 50MHz clk_inst Cnt[3:0] RST 2 4 1010 11 library ieee; library xp; use xp.components.all; use ieee.std_logic_1164.all;

More information

1 VisBAR edu H 2 O.....

1 VisBAR edu H 2 O..... VisBAR edu v1.03 ( ) 25 4 22 1 VisBAR edu 1 1.1....................................................... 1 1.2.................................................. 2 2 3 2.1 H 2 O.........................................

More information

RHT-G15

RHT-G15 4-170-058-03(1) RHT-G15 2010 Sony Corporation m 4 8 9 1 1, 1 2 3 2 ... 2... 4... 9... 10... 11... 13... 15... 16... 17... 17... 31... 36... 38... 39... 42... 18... 21... 21... 23... 25... 25... 27... 27...

More information

LC304_manual.ai

LC304_manual.ai Stick Type Electronic Calculator English INDEX Stick Type Electronic Calculator Instruction manual INDEX Disposal of Old Electrical & Electronic Equipment (Applicable in the European Union

More information

設定手順

設定手順 IP Cluster & Check Point NGX (IPSO 4.0 & Check Point NGX (R60)) 2007 7 IP Cluster & Check Point NGX...2 1 Gateway Cluster...6 1-1 cpconfig...6 1-2 Gateway Cluster...6 1-3 3rd Party Configuration...8 1-4

More information

RX600 & RX200シリーズ アプリケーションノート RX用仮想EEPROM

RX600 & RX200シリーズ アプリケーションノート RX用仮想EEPROM R01AN0724JU0170 Rev.1.70 MCU EEPROM RX MCU 1 RX MCU EEPROM VEE VEE API MCU MCU API RX621 RX62N RX62T RX62G RX630 RX631 RX63N RX63T RX210 R01AN0724JU0170 Rev.1.70 Page 1 of 33 1.... 3 1.1... 3 1.2... 3

More information

リファレンス

リファレンス ii iii iv v vi NEC Corporation 1998 vii C O N T E N T S PART 1 PART 2 viii ix C O N T E N T S PART 3 PART 4 x xi C O N T E N T S PART 5 xii xiii xiv P A R T 1 2 1 3 4 5 1 6 7 1 8 1 9 10 11 1 12 13 1 14

More information

Autumn 2007 1 5 8 12 14 14 15 %!SASROOT/sassetup SAS Installation Setup Welcome to SAS Setup, the program used to install and maintain your SAS software. SAS Setup guides you through a series of menus

More information

10/ / /30 3. ( ) 11/ 6 4. UNIX + C socket 11/13 5. ( ) C 11/20 6. http, CGI Perl 11/27 7. ( ) Perl 12/ 4 8. Windows Winsock 12/11 9. JAV

10/ / /30 3. ( ) 11/ 6 4. UNIX + C socket 11/13 5. ( ) C 11/20 6. http, CGI Perl 11/27 7. ( ) Perl 12/ 4 8. Windows Winsock 12/11 9. JAV tutimura@mist.i.u-tokyo.ac.jp kaneko@ipl.t.u-tokyo.ac.jp http://www.misojiro.t.u-tokyo.ac.jp/ tutimura/sem3/ 2002 11 20 p.1/34 10/16 1. 10/23 2. 10/30 3. ( ) 11/ 6 4. UNIX + C socket 11/13 5. ( ) C 11/20

More information

DL1720/DL1740ディジタルオシロスコープユーザーズマニュアル

DL1720/DL1740ディジタルオシロスコープユーザーズマニュアル DL1720/DL1740 IM 701710-01 http://www.yokogawa.co.jp/measurement/ 0120-137046 tmi-cs@csv.yokogawa.co.jp FAX 0422-52-6624 Disk No. DL30 2nd Edition : July 2001 (YK) All Rights Reserved, Copyright 2001 Yokogawa

More information

0527-PanMark

0527-PanMark Windows Pan-Mark Pan-Mark for Windows User s Guide Version 2.5 Copyright Panduit Corp. 1998, All Rights Reserved COPYRIGHT NOTICE Copyright 1998 Panduit Corp. All rights reserved. No part of this publication

More information

¥¤¥ó¥¿¡¼¥Í¥Ã¥È·×¬¤È¥Ç¡¼¥¿²òÀÏ Âè11²ó

¥¤¥ó¥¿¡¼¥Í¥Ã¥È·×¬¤È¥Ç¡¼¥¿²òÀÏ Âè11²ó 11 2013 6 19 11 (6/19) 6 (18:10-19:40) λ13 UNIX : 2 / 26 UNIX UNIX sort, head, tail, cat, cut diff, tee, grep, uniq, wc join, find, sed, awk, screen 3 / 26 sort sort : $ sort [options] [FILE...] options

More information

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

if clear = 1 then Q <=  ; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst = VHDL 2 1 VHDL 1 VHDL FPGA VHDL 2 HDL VHDL 2.1 D 1 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; regs.vhdl entity regs is clk, rst : in std_logic; clear : in std_logic; we

More information

Copyright c 2006 Zhenjiang Hu, All Right Reserved.

Copyright c 2006 Zhenjiang Hu, All Right Reserved. 1 2006 Copyright c 2006 Zhenjiang Hu, All Right Reserved. 2 ( ) 3 (T 1, T 2 ) T 1 T 2 (17.3, 3) :: (Float, Int) (3, 6) :: (Int, Int) (True, (+)) :: (Bool, Int Int Int) 4 (, ) (, ) :: a b (a, b) (,) x y

More information

2

2 WV-CW970 2 3 4 5 6 7 8 9 10 11 12 DIP SW1 ON 1 2 3 4 5 6 7 8 ON 1 2 3 4 DIP SW2 SW1 S TA RT RS485Setting SW2 13 14 q w q e 15 16 17 18 19 20 ** RS485 SETUP ** UNIT NUMBER SUB ADDRESS BAUD RATE DATA BIT

More information

MCS-8M

MCS-8M 4-296-435-02 (1) Volume I MCS-8M 2011 Sony Corporation m a b c I-2 ...I-6...I-7...I-8...I-8...I-9 1...I-10...I-11 1 2 3 4 5 1 2 3 4 5 2...I-17...I-19...I-21...I-23...I-26 3...I-28...I-31...I-35...I-36...I-37...I-39...I-40...I-41...I-42...I-42...I-46...I-47

More information

jssst-ocaml.mgp

jssst-ocaml.mgp Objective Caml Jacques Garrigue Kyoto University garrigue@kurims.kyoto-u.ac.jp Objective Caml? 2 Objective Caml GC() Standard MLHaskell 3 OCaml () OCaml 5 let let x = 1 + 2 ;; val x : int = 3 ;; val-:

More information

Technische Beschreibung P82R SMD

Technische Beschreibung P82R SMD P26 halstrup-walcher GmbH http://www.krone.co.jp/ Stegener Straße 10 D-79199 Kirchzarten, Germany 124-0023 2-22-1 TEL:03-3695-5431 FAX:03-3695-5698 E-MAIL:sales-tokyo@krone.co.jp 530-0054 2-2-9F TEL:06-6361-4831

More information

2.2 Sage I 11 factor Sage Sage exit quit 1 sage : exit 2 Exiting Sage ( CPU time 0m0.06s, Wall time 2m8.71 s). 2.2 Sage Python Sage 1. Sage.sage 2. sa

2.2 Sage I 11 factor Sage Sage exit quit 1 sage : exit 2 Exiting Sage ( CPU time 0m0.06s, Wall time 2m8.71 s). 2.2 Sage Python Sage 1. Sage.sage 2. sa I 2017 11 1 SageMath SageMath( Sage ) Sage Python Sage Python Sage Maxima Maxima Sage Sage Sage Linux, Mac, Windows *1 2 Sage Sage 4 1. ( sage CUI) 2. Sage ( sage.sage ) 3. Sage ( notebook() ) 4. Sage

More information

Express5800/340Hb-Rユーザーズガイド(セットアップ編)

Express5800/340Hb-Rユーザーズガイド(セットアップ編) 4 B 1 2 Phoenix BIOS Setup Utility Main Advanced Stratus Security Boot Exit System Time : System Date : Primary Master Primary Slave [15:23:34] [01/30/2004] CD-ROM ATRPI Removable Item Specific Help

More information

2 A I / 58

2 A I / 58 2 A 2018.07.12 I 2 2018.07.12 1 / 58 I 2 2018.07.12 2 / 58 π-computer gnuplot 5/31 1 π-computer -X ssh π-computer gnuplot I 2 2018.07.12 3 / 58 gnuplot> gnuplot> plot sin(x) I 2 2018.07.12 4 / 58 cp -r

More information

: gettoken(1) module P = Printf exception End_of_system (* *) let _ISTREAM = ref stdin let ch = ref ( ) let read () = (let c =!ch in ch := inp

: gettoken(1) module P = Printf exception End_of_system (* *) let _ISTREAM = ref stdin let ch = ref ( ) let read () = (let c =!ch in ch := inp 7 OCaml () 1. 2. () (compiler) (interpreter) 2 OCaml (syntax) (BNF,backus normal form ) 1 + 2; let x be 2-1 in x; ::= ; let be in ; ::= + - ::= * / ::= 7.1 ( (printable characters) (tokens) 1 (lexical

More information

A-GAGE High - Resolution MINI ARRAY Instruction Manual Printed in Japan J20005M

A-GAGE High - Resolution MINI ARRAY Instruction Manual     Printed in Japan J20005M A-GAGE High - Resolution MINI ARRAY Instruction Manual E-mail : mail@bannerengineering.co.jp http://www.bannerengineering.com Printed in Japan J20005M4 page 2 page 3 page 4 page 5 page 6 page 7 page 8

More information

HT-CT150

HT-CT150 4-174-097-03(1) HT-CT150 2010 Sony Corporation m 3 5 6 1 1, 1 2 3 2 ,,, 100V 3 4, AV 5,,,,, * 1 II MPEG-2 AAC LC DTS* 2 * 1 Dolby Pro LogicAAC D * 2 5,451,942; 5,956,674; 5,974,380; 5,978,762; 6,487,535

More information

作業手順手引き

作業手順手引き Praat Introduction to Praat: Let's take a look at sounds : * WS Dec/01/'14 : ver. 1.1.4 1. Praat STEP 1: STEP 2: STEP 3: STEP 4: STEP 2 Editor STEP 3 Dynamic menu 2 FAQ: Pitch analysis http://goo.gl/r65la

More information

main.dvi

main.dvi 20 II 7. 1 409, 3255 e-mail: namba@faculty.chiba-u.jp 2 1 1 1 4 2 203 2 1 1 1 5 503 1 3 1 2 2 Web http://www.icsd2.tj.chiba-u.jp/~namba/lecture/ 1 2 1 5 501 1,, \,", 2000 7. : 1 1 CPU CPU 1 Intel Pentium

More information

2

2 L C -60W 7 2 3 4 5 6 7 8 9 0 2 3 OIL CLINIC BAR 4 5 6 7 8 9 2 3 20 2 2 XXXX 2 2 22 23 2 3 4 5 2 2 24 2 2 25 2 3 26 2 3 6 0 2 3 4 5 6 7 8 9 2 3 0 2 02 4 04 6 06 8 08 5 05 2 3 4 27 2 3 4 28 2 3 4 5 2 2

More information

midicontrolsurfaces60_J.book

midicontrolsurfaces60_J.book Pro Tools Version 6.x for TDM or LE Systems on Windows or Macintosh 932911839-01 REV A MNL,MIDI CTRL SURF 6.1,JPN .............................. 1...........................................................

More information

LSM5Pascal Ver 3.2 GFP 4D Image VisArt Carl Zeiss Co.,Ltd.

LSM5Pascal Ver 3.2 GFP 4D Image VisArt Carl Zeiss Co.,Ltd. LSM5Pascal Ver 3.2 GFP 4D Image VisArt 2004.03 LSM5PASCAL V3.2 LSM5PASCAL SW3.2Axiovert200M 1 1 2 3 3 4 4 5 SingleTrack 9 Multi Track 10,18 5 / 21 6 3 27 7 35 8 ( OFF) 40 LSM5PASCAL V3.2 LSM5PASCAL 65

More information

CM1-GTX

CM1-GTX CM1-GTX000-2002 R R i R ii 1-1 1-2 1-3 Process Variables Process Variables Pressure Output Analog Output Sensor Temp. Lower Range Value (0%) Upper Range Value (100%) Pressure Pressure Chart Pressure

More information

スライド 1

スライド 1 isplever CLASIC 1.2 Startup Manual for MACH4000 Rev.1.0 isplever_ CLASIC Startup_for_MACH4000_Rev01.ppt Page: 1 1. Page 3 2. Lattice isplever Design Flow Page 4 3. Page 5 3-1 Page 6 3-2 Page 7 3-3 Page

More information

インターネット入門

インターネット入門 PART 7 PART 8 ii PART 1 PART 2 PART 3 PART 4 PART 7 PART 4 PART 5 PART 6 iii iv v vi NEC Corporation 1998 vii C O N T E N T S PART 1 PART 2 viii PART 3 ix C O N T E N T S PART 4 PART 5 x PART 6 xi C O

More information

TF Editor V4.0 ユーザーガイド

TF Editor V4.0 ユーザーガイド DIGITAL MIXING CONSOLE JA - 2 - - 3 - NOTE - 4 - 1. 2. 3. 1. 2. 3. 4. - 5 - NOTE NOTE - 6 - NOTE - 7 - 1 2 3 4 5 6 7 8 9 0 1 2-8 - 1 NOTE 1-2 - 3 4 1 2 NOTE - 9 - - 10 - 1 2 3 4 5 6 1 2-11 - 1 2 3 4 5-12

More information

TH-42/47/55LF6J,TH-42/47/55LF60J

TH-42/47/55LF6J,TH-42/47/55LF60J - + - + 1 2 M3 HDMI HDMI AV OUT HDMI AV OUT DVD DVD 19 3 1 18 4 2 11 12 13 14 15 16 17 18 19 10 DVD VIDEO OUT VCR AUDIO OUT L R RCA-BNC RCA-BNC Y PB PR OUT RGB OUT L R AUDIO OUT RGB DVD DVI-D PC DVI 5

More information

Copyright c 2008 Zhenjiang Hu, All Right Reserved.

Copyright c 2008 Zhenjiang Hu, All Right Reserved. 2008 10 27 Copyright c 2008 Zhenjiang Hu, All Right Reserved. (Bool) True False data Bool = False True Remark: not :: Bool Bool not False = True not True = False (Pattern matching) (Rewriting rules) not

More information

netcdf

netcdf 1. Anetcdf.rb netcdf C ruby open new create NetCDF C filename String NetCDF NetCDF_open mode r r, w share false true or false open open netcdf filename String NetCDF NetCDF_create noclobber false true

More information

TM-m30 詳細取扱説明書

TM-m30 詳細取扱説明書 M00094101 Rev. B Seiko Epson Corporation 2015-2016. All rights reserved. 2 3 4 5 6 7 8 Bluetooth 9 Bluetooth 10 1 11 Bluetooth 12 1 13 1 2 6 5 4 3 7 14 1 1 2 3 4 5 15 16 ONF 1 N O O N O N N N O F N N F

More information

microSTATION Operation guide

microSTATION Operation guide J 2 - ii iii iv 1 1 2 4 7 8 9 10 11 1 5 6 3 2 10 15 9 11 12 13 14 3 7 6 5 4 3 2 1 4 5 PROGRAM OSC 1 MS1 (Multisample) Drum Kit MS2 (Multisample) MS3 (Multisample) Insert / Master / Total Effect IFX 1 MFX

More information

For_Beginners_CAPL.indd

For_Beginners_CAPL.indd CAPL Vector Japan Co., Ltd. 目次 1 CAPL 03 2 CAPL 03 3 CAPL 03 4 CAPL 04 4.1 CAPL 4.2 CAPL 4.3 07 5 CAPL 08 5.1 CANoe 5.2 CANalyzer 6 CAPL 10 7 CAPL 11 7.1 CAPL 7.2 CAPL 7.3 CAPL 7.4 CAPL 16 7.5 18 8 CAPL

More information

joho09.ppt

joho09.ppt s M B e E s: (+ or -) M: B: (=2) e: E: ax 2 + bx + c = 0 y = ax 2 + bx + c x a, b y +/- [a, b] a, b y (a+b) / 2 1-2 1-3 x 1 A a, b y 1. 2. a, b 3. for Loop (b-a)/ 4. y=a*x*x + b*x + c 5. y==0.0 y (y2)

More information

# let st1 = {name = "Taro Yamada"; id = };; val st1 : student = {name="taro Yamada"; id=123456} { 1 = 1 ;...; n = n } # let string_of_student {n

# let st1 = {name = Taro Yamada; id = };; val st1 : student = {name=taro Yamada; id=123456} { 1 = 1 ;...; n = n } # let string_of_student {n II 6 / : 2001 11 21 (OCaml ) 1 (field) name id type # type student = {name : string; id : int};; type student = { name : string; id : int; } student {} type = { 1 : 1 ;...; n : n } { 1 = 1 ;...; n = n

More information

RHT-G900

RHT-G900 3-291-730-04(1) RHT-G900 2008 Sony Corporation m 4 8 9 1 1, 1 2 3 2 ... 2... 4... 9... 10... 11... 12 HDMI... 14 HDMI... 16... 17... 18... 33... 38... 40... 41... 43... 45... 19... 22... 22... 24... 26...

More information

BRADY Worldwide, Inc. BRADY BRADY BRADY BMP 51 BRADY Worldwide, Inc. Microsoft Windows Microsoft BRADY 2011 BRADY Worldwide, Inc. All Rights Reserved

BRADY Worldwide, Inc. BRADY BRADY BRADY BMP 51 BRADY Worldwide, Inc. Microsoft Windows Microsoft BRADY 2011 BRADY Worldwide, Inc. All Rights Reserved BRADY Worldwide, Inc. BRADY BRADY BRADY BMP 51 BRADY Worldwide, Inc. Microsoft Windows Microsoft BRADY 2011 BRADY Worldwide, Inc. All Rights Reserved 2011 2 2 Brady Worldwide Inc. 6555 West Good Hope Road

More information

42PHD60123_ p65

42PHD60123_ p65 R - STANDBY G POWER INPUT VOL ENTER N SURROUND VOL PLASMA DISPLAY INPUT SOUND SET UP MULTI ZOOM PIP SWAP SELECT MOVE POS. /SIZE PC R ASPECT TIMER 1234 TH-42PHD6 1234567 1234567 TH-5PHD6 1234567 1234567

More information

JavaScript 1.! DOM Ajax Shelley Powers,, JavaScript David Flanagan, JavaScript 2

JavaScript 1.! DOM Ajax Shelley Powers,, JavaScript David Flanagan, JavaScript 2 JavaScript (2) 1 JavaScript 1.! 1. 2. 3. DOM 4. 2. 3. Ajax Shelley Powers,, JavaScript David Flanagan, JavaScript 2 (1) var a; a = 8; a = 3 + 4; a = 8 3; a = 8 * 2; a = 8 / 2; a = 8 % 3; 1 a++; ++a; (++

More information