B 90 Canvas.Pen.Width := PenWidth; NewData; (* FormCreate (*********************** ******************* procedure TFormSorting.DrawOne(No : TDat

Size: px
Start display at page:

Download "B 90 Canvas.Pen.Width := PenWidth; NewData; (* FormCreate (*********************** ******************* procedure TFormSorting.DrawOne(No : TDat"

Transcription

1 B SortingU SortingP Form Name FormSorting Caption Position podesktopcenter const NoMax = 400; DataMax = 600; PenWidth = 2; type TDataNo = 0..NoMax; TData = array [TDataNo] of Integer; // 0 TFormSorting = class(tform) PanelMain: TPanel; private Data0 : TData; // Data : TData; // Form OnCreate procedure TFormSorting.FormCreate(Sender: TObject); ClientWidth := NoMax*PenWidth+PanelMain.Width; ClientHeight := DataMax;

2 B 90 Canvas.Pen.Width := PenWidth; NewData; (* FormCreate (*********************** ******************* procedure TFormSorting.DrawOne(No : TDataNo); with Canvas do (* No Pen.Color := cllime; MoveTo(No*2,DataMax); LineTo(No*2,0); Pen.Color := claqua; LineTo(No*2,Data[No]); (* DrawOne // // procedure TFormSorting.NewData; (* No : TDataNo; for No := 1 to NoMax do // Data0[No] := Random(DataMax); StandBy; (* NewData procedure TFormSorting.StandBy; (* No : TDataNo; Data := Data0; // for No := 1 to NoMax do // DrawOne(No); (* StandBy Image Canvas Form Canvas

3 B Button Name ButtonNew Caption OnClick procedure TFormSorting.ButtonNewClick(Sender: TObject); NewData; (* ButtonNewClick RadioGroup Align altop Name RadioGroupSort Caption Items OnClick procedure TFormSorting.RadioGroupSortClick(Sender: TObject); StandBy; (* RadioGroupSortClick

4 B Button Name ButtonExecute Caption OnClick procedure TFormSorting.ButtonExecuteClick(Sender: TObject); StandBy; case RadioGroupSort.ItemIndex of 0 : BubbleSort0(1,NoMax); 1 : BubbleSort(1,NoMax); (* 2 : InsertSort0(1,NoMax); 3 : InsertSort(1,NoMax); 4 : ShellSort(1,NoMax); 5 : QuickSort(1,NoMax); (* ButtonExecuteClick Form function TFormSorting.Chiisai(No1,No2 : TDataNo) : Boolean; (* No1 No2 Chiisai := Data[No1] < Data[No2]; (* Chiisai procedure TFormSorting.Idou(No1,No2 : TDataNo); (* No1 No2 Data[No2] := Data[No1]; DrawOne(No2); (* Idou procedure TFormSorting.Koukan(No1,No2 : TDataNo); (* No1 No2 (* 0 Idou(No1,0); Idou(No2,No1); Idou(0,No2); (* Koukan

5 B 93 (******************** ******************* procedure TFormSorting.BubbleSort0(IMin,IMax : TDataNo); (* ( IEnd,I : TDataNo; for IEnd := IMax-1 downto IMin do for I := IMin to IEnd do if Chiisai(I,I+1) then Koukan(I,I+1); (* BubbleSort0 procedure TFormSorting.BubbleSort(IMin,IMax : TDataNo); (* ( IEnd,I : TDataNo; IStart,IFirst,ILast : TDataNo; IStart := IMin; IEnd := IMax-1; repeat IFirst := IMax; ILast := IMin; Idou(IStart,0); for I := IStart to IEnd do if Chiisai(0,I+1) then Idou(I+1,I); ILast := I; if I < IFirst then IFirst := I; end else Idou(0,I); Idou(I+1,0); Idou(0,IEnd+1); if IFirst > IMin then IStart := IFirst-1; IEnd := ILast-1; until IStart > IEnd; (* BubbleSort

6 B Panel 3 Align altop altop altop Name PanelHikaku PanelIdou PanelTime Caption HikakuSuu : Integer; // IdouSuu : Integer; // ByouSuu : Real; // procedure TFormSorting.ButtonExecuteClick(Sender: TObject); TimeStart,TimeEnd : TDateTime; StandBy; IdouSuu := 0; HikakuSuu := 0; TimeStart := Now; case RadioGroupSort.ItemIndex of 0 : BubbleSort0(1,NoMax); 1 : BubbleSort(1,NoMax); 2 : InsertSort0(1,NoMax); 3 : InsertSort(1,NoMax); 4 : ShellSort(1,NoMax); 5 : QuickSort(1,NoMax); TimeEnd := Now; ByouSuu := (TimeEnd-TimeStart)*24*60*60; PanelIdou.Caption := Format( %10d,[IdouSuu]); PanelHikaku.Caption := Format( %10d,[HikakuSuu]); PanelJikan.Caption := Format( %10.2f,[ByouSuu]); (* ButtonExecuteClick Now /24 = ( )/(24 60) = 0.7

7 B procedure TFormSorting.Idou(No1,No2 : TDataNo); (* No1 No2 Data[No2] := Data[No1]; DrawOne(No2); Inc(IdouSuu); (* Idou function TFormSorting.Chiisai(No1,No2 : TDataNo) : Boolean; (* No1 No2 Chiisai := Data[No1] < Data[No2]; Inc(HikakuSuu); (* Chiisai subsubsection procedure TFormSorting.InsertSort0(IMin,IMax : TDataNo); (* ( IStart,I : TDataNo; (* (* InsertSort0 procedure TFormSorting.InsertSort(IMin,IMax : TDataNo); (* ( IStart,I : TDataNo; (* (* InsertSort

8 B ShellSort KawaStart 1 TateSuu-Arasa Kawa Kawa+Arasa Dec(Kawa,Arasa) Kawa TKawaNo Kawa TKawaNo Integer KawaStart Arasa+1 TateSuu Kawa-Arasa Kawa Kawa TKawaNo procedure TFormSorting.ShellSort(IMin,IMax : TDataNo); (* const Bairitu = 0.67; IStart,I : TDataNo; Arasa : TDataNo; Arasa := IMax-IMin; repeat (* until Arasa = 1; (* ShellSort

9 B RadioGroup Align altop Name RadioGroupHyouji Caption Items ItemIndex 1 ( ) ( ) Form private Hyouji : Boolean; ButtonExecuteClick Hyouji := RadioGroupHyouji.ItemIndex = 0; Idou Chiisai if Hyouji then PanelIdou.Caption := Format( %10d,[IdouSuu]); PanelIdou.Repaint; (* Idou if Hyouji then PanelHikaku.Caption := Format( %10d,[HikakuSuu]); PanelHikaku.Repaint; (* Chiisai

10 B (1) (2) (3) (4) (5) split

11 B QuickSort procedure TFormSorting.QuickSort(IMin,IMax : TDataNo); (* Hole : TDataNo; procedure Split; (* Data[IMin] No : TDataNo; Idou(IMin,0); // Hole := IMin; // for No := IMin+1 to IMax do // if Chiisai(0,No) // then Idou(No,Hole); // Idou(Hole+1,No); // Inc(Hole); // end Idou(0,Hole); // Split Split; if Hole > IMin+1 then QuickSort(IMin,Hole-1); if Hole < IMax-1 then QuickSort(Hole+1,IMax); (* QuickSort // // //

B Simon (Trump ) SimonU.pas SimonP.dpr Name FormSimon Caption Position podesktopcenter uses Windows, Messages, SysUtils,

B Simon (Trump ) SimonU.pas SimonP.dpr Name FormSimon Caption Position podesktopcenter uses Windows, Messages, SysUtils, B 132 20 1 1 20.1 20.1.1 1 52 10 1 2 3... 7 8 8 8 20.1.2 1 5 6 7 3 20.1.3 1 3 8 20.1.4 13 20.1.5 4 1 (solitaire) B 133 20.2 20.2.1 Simon (Trump ) SimonU.pas SimonP.dpr 20.2.2 Name FormSimon Caption Position

More information

L N P Y F C T V W Z I X Pentomino Form Name Caption Position FormMain podesktopcenter

L N P Y F C T V W Z I X Pentomino Form Name Caption Position FormMain podesktopcenter 1. 1 1 1.1 5 12 60 3 20 4 15 5 12 6 10 12 L N P Y F C T V W Z I X 1.1.1 1.2 Pentomino 1.2.1 Form Name Caption Position FormMain podesktopcenter 1.2.2 unit PentominoU; interface uses Windows, Messages,

More information

1 シミュレーションとは何か?

1 シミュレーションとは何か? Delphi P.1/16 Delphi Delphi Object Pascal Delphi Delphi Delphi (Borland) Windows Turbo Pascal Pascal Delphi Turbo Pascal Windows Pascal FORTRAN BASIC Java Algol Algol Pascal Pascal Pascal Pascal Delphi

More information

初 めての ios アプリケーション iphone Form TLabel TButton. 図. コントロールの 配 置 Button OnClick Button. 00

初 めての ios アプリケーション iphone Form TLabel TButton. 図. コントロールの 配 置 Button OnClick Button. 00 - RAD Studio で Hello world を 作 る - RAD Studio Hello world - RAD Studio Hello world RAD Studio RAD Studio FireMonkey. 図. プロジェクトの 新 規 作 成 メニュー. 図. アプリケーションの 種 類 選 択 ダイアログ 99 初 めての ios アプリケーション iphone Form

More information

CodeGear Developer Camp

CodeGear Developer Camp T2 Delphi チュートリアルセッション Delphiはじめて奮戦記 で学ぶ Delphiチュートリアル 株式会社フルネスコーチング事業部マネージャー田原孝 1 アジェンダ 株式会社フルネスについて Delphiプログラミングの基本 演習 : 計算機のテンキーを作る 演習 : 計算機の四則演算ボタンを作る 練習問題 まとめ 2 株式会社フルネスについて 事業内容 ハンズオン教育サービス コーチングサービス

More information

橡ソート手順比較

橡ソート手順比較 PAGE:1 [Page] 20 1 20 20 QuickSort 21 QuickSort 21 21 22 QuickSort 22 QuickSort 22 23 0 23 QuickSort 23 QuickSort 24 Order 25 25 26 26 7 26 QuickSort 27 PAGE:2 PAGE:3 program sort; { { type item = record

More information

xl 1 program Othello6; 2 {$APPTYPE CONSOLE} 3 uses SysUtils; 4 5 type 6 TMasuNo = 0..99; // 7 TYouso = (Soto,Kara,Kuro,Siro); // 8 TBan = array [TMasu

xl 1 program Othello6; 2 {$APPTYPE CONSOLE} 3 uses SysUtils; 4 5 type 6 TMasuNo = 0..99; // 7 TYouso = (Soto,Kara,Kuro,Siro); // 8 TBan = array [TMasu xl 1 program Othello6; 2 {$APPTYPE CONSOLE 3 uses SysUtils; 4 5 type 6 TMasuNo = 0..99; // 7 TYouso = (Soto,Kara,Kuro,Siro); // 8 TBan = array [TMasuNo] of TYouso; // 10 10 9 TPlayer = Kuro..Siro; // 10

More information

橡挿入法の実践

橡挿入法の実践 PAGE:1 7JFC1121 PAGE:2 7JFC1121 PAGE:3 7JFC1121 Kadai_1.pas program input_file;{7jfc1121 19 20 { type item = record id : integer; math : integer; english : integer; var wfile data flag id_no filename :

More information

Pascal Pascal Free Pascal CPad for Pascal Microsoft Windows OS Pascal

Pascal Pascal Free Pascal CPad for Pascal Microsoft Windows OS Pascal Pascal Pascal Pascal Free Pascal CPad for Pascal Microsoft Windows OS 2010 10 1 Pascal 2 1.1.......................... 2 1.2.................. 2 1.3........................ 3 2 4 2.1................................

More information

2004.11.29 4 Communication1 program communication1(input, output); procedure double; r1, r2: real; r2 := 2 * r1; double; end. Communication1 program communication1(input, output); procedure double; r1,

More information

<リスト1> AD コンバータへのデータの出力例 NEC PC98 用 mov al,22h // CLK -> 1, CS -> 0, DI -> 0 out 32h,al // シリアル ポートにデータ出力 PC/AT 互換機用 mov al,00h // CLK -> 1 mov dx,3fb

<リスト1> AD コンバータへのデータの出力例 NEC PC98 用 mov al,22h // CLK -> 1, CS -> 0, DI -> 0 out 32h,al // シリアル ポートにデータ出力 PC/AT 互換機用 mov al,00h // CLK -> 1 mov dx,3fb AD コンバータへのデータの出力例 NEC PC98 用 mov al,22h // CLK -> 1, CS -> 0, DI -> 0 out 32h,al // シリアル ポートにデータ出力 PC/AT 互換機用 mov al,00h // CLK -> 1 mov dx,3fbh out dx al // シリアル ポートにデータ出力 mov al,03h // CS -> 0,

More information

(2-1) x, m, 2 N(m, 2 ) x REAL*8 FUNCTION NRMDST (X, M, V) X,M,V REAL*8 x, m, 2 X X N(0,1) f(x) standard-norm.txt normdist1.f x=0, 0.31, 0.5

(2-1) x, m, 2 N(m, 2 ) x REAL*8 FUNCTION NRMDST (X, M, V) X,M,V REAL*8 x, m, 2 X X N(0,1) f(x) standard-norm.txt normdist1.f x=0, 0.31, 0.5 2007/5/14 II II agata@k.u-tokyo.a.jp 0. 1. x i x i 1 x i x i x i x x+dx f(x)dx f(x) f(x) + 0 f ( x) dx = 1 (Probability Density Funtion 2 ) (normal distribution) 3 1 2 2 ( x m) / 2σ f ( x) = e 2πσ x m

More information

1. A0 A B A0 A : A1,...,A5 B : B1,...,B12 2. 5 3. 4. 5. A0 (1) A, B A B f K K A ϕ 1, ϕ 2 f ϕ 1 = f ϕ 2 ϕ 1 = ϕ 2 (2) N A 1, A 2, A 3,... N A n X N n X N, A n N n=1 1 A1 d (d 2) A (, k A k = O), A O. f

More information

untitled

untitled ST0001-1- -2- -3- -4- BorderStyle ControlBox, MinButton, MaxButton True False True False Top Left Height,Width Caption Icon True/False -5- Basic Command1 Click MsgBox " " Command1 Click Command1 Click

More information

プログラミングI (手続き)

プログラミングI (手続き) プログラミング 1 ( 手続き ) const n = 3; TVector = array[1..n] of integer; // 3 次元ベクトルを表示するための手続き procedure print(a:tvector); write('('); for i := 1 to n-1 do write(a[i],','); write(a[n],')'); // プログラム本体 vec: TVector;

More information

D0050.PDF

D0050.PDF Excel VBA 6 3 3 1 Excel BLOCKGAME.xls Excel 1 OK 2 StepA D B1 B4 C1 C2 StepA StepA Excel Workbook Open StepD BLOCKGAME.xls VBEditor ThisWorkbook 3 1 1 2 2 3 5 UserForm1 4 6 UsorForm2 StepB 3 StepC StepD

More information

第10回 コーディングと統合(WWW用).PDF

第10回 コーディングと統合(WWW用).PDF 10 January 8, 2004 algorithm algorithm algorithm (unit testing) (integrated testing) (acceptance testing) Big-Bang (incremental development) (goto goto DO 50 I=1,COUNT IF (ERROR1) GO TO 60 IF (ERROR2)

More information

1. A0 A B A0 A : A1,...,A5 B : B1,...,B

1. A0 A B A0 A : A1,...,A5 B : B1,...,B 1. A0 A B A0 A : A1,...,A5 B : B1,...,B12 2. 3. 4. 5. A0 A B f : A B 4 (i) f (ii) f (iii) C 2 g, h: C A f g = f h g = h (iv) C 2 g, h: B C g f = h f g = h 4 (1) (i) (iii) (2) (iii) (i) (3) (ii) (iv) (4)

More information

サービス付き高齢者向け住宅賠償責任保険.indd

サービス付き高齢者向け住宅賠償責任保険.indd 1 2 1 CASE 1 1 2 CASE 2 CASE 3 CASE 4 3 CASE 5 4 3 4 5 6 2 CASE 1 CASE 2 CASE 3 7 8 3 9 10 CASE 1 CASE 2 CASE 3 CASE 4 11 12 13 14 1 1 2 FAX:03-3375-8470 2 3 3 4 4 3 15 16 FAX:03-3375-8470 1 2 0570-022808

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション ループ ループとは? ある条件を満たすまで 指定の命令を繰り返す Do... Loop For Next For Each Next While WEnd ループの種類 Do Loop Do While 条件 ステートメント Loop Do ステートメント Loop While 条件 Do Until 条件 ステートメント Loop Do ステートメント Until Loop 条件 Do Loop

More information

Microsoft PowerPoint - 201409_秀英体の取組み素材(予稿集).ppt

Microsoft PowerPoint - 201409_秀英体の取組み素材(予稿集).ppt 1 2 3 4 5 6 7 8 9 10 11 No Image No Image 12 13 14 15 16 17 18 19 20 21 22 23 No Image No Image No Image No Image 24 No Image No Image No Image No Image 25 No Image No Image No Image No Image 26 27 28

More information

untitled

untitled Fortran90 ( ) 17 12 29 1 Fortran90 Fortran90 FORTRAN77 Fortran90 1 Fortran90 module 1.1 Windows Windows UNIX Cygwin (http://www.cygwin.com) C\: Install Cygwin f77 emacs latex ps2eps dvips Fortran90 Intel

More information

XpressMemoForDash.dvi

XpressMemoForDash.dvi Xpress-MP 1 Ver 1.1 2006. 2. 16 (Ver1.0) 2006. 3. 1 (Ver1.1) 1 2006 3 Xpress-MP Xpress-MP 2006 Xpress-MP,. Dash [1]. mosel,, mosel.,,?, shokosv Xpress-MP,., 2. Example. 1 Xpress-MP 2 mosel 3 mosel 4, shakosv

More information

untitled

untitled I 9 MPI (II) 2012 6 14 .. MPI. 1-3 sum100.f90 4 istart=myrank*25+1 iend=(myrank+1)*25 0 1 2 3 mpi_recv 3 isum1 1 isum /tmp/120614/sum100_4.f90 program sum100_4 use mpi implicit none integer :: i,istart,iend,isum,isum1,ip

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション セッション No.3 Delphi/400 技術セッション 実践!iOS / Android ネイティブ機能開発 ~ バーコード読み取り 署名 オフライン処理 ~ 株式会社ミガロ. RAD 事業部技術支援課吉原泰介 アジェンダ 1. スマートデバイスのネイティブ機能 2.Delphi/400 ネイティブ機能の開発テクニック 2-1. カメラを使ったバーコード読み取り機能 2-2. タッチ操作を使った画面署名機能

More information

Java演習(4) -- 変数と型 --

Java演習(4)   -- 変数と型 -- 50 20 20 5 (20, 20) O 50 100 150 200 250 300 350 x (reserved 50 100 y 50 20 20 5 (20, 20) (1)(Blocks1.java) import javax.swing.japplet; import java.awt.graphics; (reserved public class Blocks1 extends

More information

94 expression True False expression FalseMSDN IsNumber WorksheetFunctionIsNumberexpression expression True Office support.office.com/ja-jp/ S

94 expression True False expression FalseMSDN IsNumber WorksheetFunctionIsNumberexpression expression True Office   support.office.com/ja-jp/ S Excel VBA a Excel VBA VBA IsNumeric IsNumber SpecialCells SpecialCells MSDNMicrosoft Developer NetworkIsNumeric IsNumber SpecialCells IsNumeric VBA IsNumericexpression SpecialCells 94 expression True False

More information

D0020.PDF

D0020.PDF n 3 X n Y n = Z n 17 1995 300 n n 2 3 2 a b c c 2 a 2 b 2 600 2000 322 3 15 2 3 580 3 1 5 4 3 2 1 300 2 1 2 1 1 ExcelVBA 2 VBA 1 VBA 2 API Sleep ExcelVBA 2 100 60 80 50 ExcelVBA API Sleep 3 100 60 (80

More information

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

if clear = 1 then Q <=  ; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst = VHDL 2 1 VHDL 1 VHDL FPGA VHDL 2 HDL VHDL 2.1 D 1 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; regs.vhdl entity regs is clk, rst : in std_logic; clear : in std_logic; we

More information

開発者が知りたい実践プログラミングテクニック! ~明日から使えるテクニック集~

開発者が知りたい実践プログラミングテクニック! ~明日から使えるテクニック集~ セッション No.4 Delphi/400 技術セッション 開発者が知りたい実践プログラミングテクニック! ~ 明日から使えるテクニック集 ~ 株式会社ミガロ. システム事業部システム 2 課辻野健 アジェンダ Delphi/400 技術セッション 1. ユーザビリティの向上 1-1. 同時に複数画面を起動する方法 1-2. グリッド操作時の自動フォーカス制御方法 2. 開発効率 保守性の向上 2-1.

More information

BASICとVisual Basic

BASICとVisual Basic Visual Basic BASIC Visual Basic BASICBeginner's All purpose Symbolic Instruction Code Visual Basic Windows BASIC BASIC Visual Basic Visual Basic End Sub .Visual Basic Visual Basic VB 1-1.Visual Basic

More information

ステップアップ! モバイルアプリケーション開発

ステップアップ! モバイルアプリケーション開発 セッション No.3 Delphi/400 技術セッション ステップアップ! モバイルアプリケーション開発 株式会社ミガロ. RAD 事業部技術支援課吉原泰介 はじめに モバイル機器の企業利用は数年前に比べると格段に増え すでに 7 割近くの企業で導入が進んでいます 同時にモバイルアプリケーションの需要 自社開発も増加しており Delphi/400 テクニカルサポートでもお問い合わせが増えてきました

More information

Lesson 1 1 EXVBA2000 Lesson01 Lesson01.xls 2

Lesson 1 1 EXVBA2000 Lesson01 Lesson01.xls 2 Excel2000VBA L e a r n i n g S c h o o l 1 Lesson 1 1 EXVBA2000 Lesson01 Lesson01.xls 2 3 Module1:(General)- Public Sub () Dim WS As Object Dim DiffDate As Integer Dim MaxRows As Integer, CopyRows As Integer

More information

(Basic Theory of Information Processing) Fortran Fortan Fortan Fortan 1

(Basic Theory of Information Processing) Fortran Fortan Fortan Fortan 1 (Basic Theory of Information Processing) Fortran Fortan Fortan Fortan 1 17 Fortran Formular Tranlator Lapack Fortran FORTRAN, FORTRAN66, FORTRAN77, FORTRAN90, FORTRAN95 17.1 A Z ( ) 0 9, _, =, +, -, *,

More information

manua6.PDF

manua6.PDF Object Pascal A6-1 A6-2 Delphi (X1,Y1) (X2,Y2) (X1,Y1) (X2,Y2) With 1 2 (0,0) 1 2 1 A6-3 A6-4 A6-5 (X1,Y1) (X2,Y2) claqua clblue clblack cldkgray clfuchsia clgray clgreen cllime clltgray clmaroon clnavy

More information

My関数の作成演習問題集

My関数の作成演習問題集 Excel Sum,Average,Max 330 BMI Excel My Excel VBA Visual BASIC Editor AltF11 Visual BASIC Editor My Function Function -1- Function ( As Single, As Single) As Double Function Funciton Funciton As Single

More information

ブロック パニック

ブロック パニック ブロックパニック VB 2005 9 プログラムの概要 壁が迫り来る不思議な空間のオリジナルゲーム ブロックパニック を作成する スタートボタンをクリックし上下左右の矢印キーで白猿を移動させる スペースキーを押すと 向いて居る方向の壁が後退する 左右の壁が合わさると ゲームは終了する 一般的に 実用プログラムに比較するとゲームプログラムは 高度なテクニックを要求される事が多い 此処では ゲームプログラムを作成する事に依り

More information

コンピュータ概論

コンピュータ概論 5.1 VBA VBA Check Point 1. 2. 5.1.1 ( bug : ) (debug) On Error On Error On Error GoTo line < line > 5.1.1 < line > Cells(i, j) i, j 5.1.1 MsgBox Err.Description Err1: GoTo 0 74 Visual Basic VBA VBA Project

More information

Oracle Lite Tutorial

Oracle Lite Tutorial GrapeCity -.NET with GrapeCity - FlexGrid Creation Date: Nov. 30, 2005 Last Update: Nov. 30, 2005 Version: 1.0 Document Control Internal Use Only Author Hiroshi Ota Change Logs Date Author Version Change

More information

Microsoft PowerPoint - DELPHI�礔.ppt

Microsoft PowerPoint - DELPHIå�ºç¤”.ppt Delphi コンポーネントの基礎 Delphi の基本のさわり プログラム関連のファイルの説明 コンポーネント関連のファイルの説明 プロパティエディタ関連のファイルの説明 クラスのアクセス権の説明 クラスツリーの抜粋とファイル拡張子の説明 ちょっと作りましたコンポーネント 簡単便利なコンポーネントの実演説明 ( トラブルなければ ) 文責 寺口隆 Delphi のファイルと構文 ( プログラム ).dproj

More information

目 次 オブジェクト指向 1 3 オブジェクト指向 2 9 二分探索 14 二次元配列 16 ソート 18 ArrayList 25 解答 27 2

目 次 オブジェクト指向 1 3 オブジェクト指向 2 9 二分探索 14 二次元配列 16 ソート 18 ArrayList 25 解答 27 2 全国商業高等学校協会主催 情報処理検定 ( プログラミング部門 ) Java 1 級 問題集 1 目 次 オブジェクト指向 1 3 オブジェクト指向 2 9 二分探索 14 二次元配列 16 ソート 18 ArrayList 25 解答 27 2 問 1. プログラムの説明を読んで プログラムの ( public class Bunkatsu1_1 { コンストラクタを用いて表示す

More information

fiš„v3.dvi

fiš„v3.dvi (2001) 49 2 261 275 Web 1 1 2001 2 21 2001 4 26 Windows OS Web Windows OS, DELPHI, 1. Windows OS. DELPHI Web DELPHI ALGOL PASCAL VISUAL BASIC C++ JAVA DELPHI Windows OS Linux OS KyLix Mac OS (ver 10) JAVA

More information

Microsoft PowerPoint - vp演習課題

Microsoft PowerPoint - vp演習課題 演習課題 (1) 27 Nov., '18 katakan2hiragana.xlsm は, 下図のように 4~8 行目の B 列に漢字で表記した氏名,C 列にカタカナで表記したヨミガナ,D 列にひらがなで表記したよみがなを表示させることを意図している. このシートは, セル範囲 "B4:B8"( 図の赤枠内 ) に, キーボードから漢字で氏名を入力すると C 列にカタカナのヨミガナが自動的に表示されるようになっている.

More information

XcalableMP入門

XcalableMP入門 XcalableMP 1 HPC-Phys@, 2018 8 22 XcalableMP XMP XMP Lattice QCD!2 XMP MPI MPI!3 XMP 1/2 PCXMP MPI Fortran CCoarray C++ MPIMPI XMP OpenMP http://xcalablemp.org!4 XMP 2/2 SPMD (Single Program Multiple Data)

More information

45 VBA Fortran, Pascal, C Windows OS Excel VBA Visual Basic Excel VBA VBA Visual Basic For Application Microsoft Office Office Excel VBA VBA Excel Acc

45 VBA Fortran, Pascal, C Windows OS Excel VBA Visual Basic Excel VBA VBA Visual Basic For Application Microsoft Office Office Excel VBA VBA Excel Acc \n Title 文 系 学 生 のための VBA プログラミング 教 育 についての 考 察 Author(s) 五 月 女, 仁 子 ; Soutome, Hiroko Citation 商 経 論 叢, 46(1): 45-60 Date 2010-10-31 Type Departmental Bulletin Paper Rights publisher KANAGAWA University

More information

Quick Sort 計算機アルゴリズム特論 :2017 年度 只木進一

Quick Sort 計算機アルゴリズム特論 :2017 年度 只木進一 Quick Sort 計算機アルゴリズム特論 :2017 年度 只木進一 2 基本的考え方 リスト ( あるいは配列 )SS の中の ある要素 xx(pivot) を選択 xx より小さい要素からなる部分リスト SS 1 xx より大きい要素からなる部分リスト SS 2 xx は SS 1 または SS 2 に含まれる 長さが 1 になるまで繰り返す pivot xx の選び方として 中央の要素を選択すると効率が良い

More information

卒業論文.PDF

卒業論文.PDF 234 1 1 1.1 1 1.2 4 1.3 4 2 5 2.1 5 2.2 6 2.3 7 2.3.1 7 2.3.2 8 2.3.3 9 2.3.4 9 2.3.5 9 2.3.6 9 3 1 3.1 3.2 3.3 11 3.4 14 3.4.1 14 3.4.2 15 3.5 16 3.6 17 i 4 2 18 4.1 18 4.2 19 4.2.1 2m/sec 2 4.2.2 3m/sec

More information

1. 入力画面

1. 入力画面 指定した時刻に指定したマクロ (VBA) を実行するプログラム (VBA) 益永八尋 様々な業務を行っている場合には 指定した時刻に指定したマクロ (Macro VBA) を実行したくなる場合がある たとえば 9:00 17: 00 や 1 時間 6 時間間隔に指定したマクロ (Macro VBA) を実行する この様な場合に対応できるように汎用性の高いプログラムを作成した この場合に注意する必要があるのは

More information

t1

t1 T1 Delphi/C++ テクニカルセッション VCL ユーザーのための FireMonkey 入門 株式会社シリアルゲームズアプリケーション第 3 開発部取締役細川淳 アジェンダ はじめに 画像付きエディットを作る アニメーションを見る まとめ 2 本文書の一部または全部の転載を禁止します 本文書の著作権は 著作者に帰属します はじめに 3 本文書の一部または全部の転載を禁止します 本文書の著作権は

More information

22nd Embarcadero Developer Camp G6

22nd Embarcadero Developer Camp G6 17 Th Developer Camp ライトニングトーク WMI を もっと使おう! 株式会社シリアルゲームズ 取締役細川淳 1 WMI? WMI とは Windows Management Instrumentation の略 Windows Driver Model の拡張の一種 Windows が管理する情報へのインターフェース 例えば CPU の情報であったり 物理ハードディスクの情報などなどが取れます

More information

Delphi/400開発ノウハウお教えします 「メニュー」開発のテクニック

Delphi/400開発ノウハウお教えします 「メニュー」開発のテクニック セッション No.2 Delphi/400 開発ノウハウお教えします メニュー 開発のテクニック 株式会社ミガロ. システム事業部プロジェクト推進室 小杉智昭 アジェンダ メニュー の種類と基本的な作成方法 メニュー 開発テクニックのご紹介 1メンテナンス性を考慮したメニュー押下制御 2ツリー形式で動的に作成するメニュー 3 使い勝手を向上させるメニュー アプリケーション開発スタイルに応じた メニュー

More information

FPGA TU0135 (v1.0) FPGA Desktop NanoBoard FPGA CUSTOM_INSTRUMENT FPGA GUI ( ) IO GUI IO DelphiScript Desktop NanoBoard NB2DSK01 FPGA Desktop

FPGA TU0135 (v1.0) FPGA Desktop NanoBoard FPGA CUSTOM_INSTRUMENT FPGA GUI ( ) IO GUI IO DelphiScript Desktop NanoBoard NB2DSK01 FPGA Desktop TU0135 (v1.0) 2008 5 17 Desktop NanoBoard FPGA CUSTOM_INSTRUMENT GUI ( ) IO GUI IO DelphiScript Desktop NanoBoard NB2DSK01 Desktop NanoBoard 8 DIP LED DAUGHTER BD TEST/RESET DIP ( ) DAUGHTER BD TEST/RESET

More information

ios 12, Android 9 時代の 今からでも始められるモバイル開発入門 第 36 回エンバカデロ デベロッパーキャンプ 株式会社シリアルゲームズ取締役 / AppDiv3 マネージャー細川淳 本文書の一部または全部の転載を禁止します 本文書の著作権は 著作者に帰属します

ios 12, Android 9 時代の 今からでも始められるモバイル開発入門 第 36 回エンバカデロ デベロッパーキャンプ 株式会社シリアルゲームズ取締役 / AppDiv3 マネージャー細川淳 本文書の一部または全部の転載を禁止します 本文書の著作権は 著作者に帰属します ios 12, Android 9 時代の 今からでも始められるモバイル開発入門 第 36 回エンバカデロ デベロッパーキャンプ 株式会社シリアルゲームズ取締役 / AppDiv3 マネージャー細川淳 本文書の一部または全部の転載を禁止します 本文書の著作権は 著作者に帰属します アジェンダ 最近のモバイル事情 Delphi 10.3 Rio について Delphi 10.3 Rio によるモバイル開発

More information

Microsoft PowerPoint - Visualプログラミング

Microsoft PowerPoint - Visualプログラミング 流れの制御構造 多方向分岐 プログラムの制御構造は下記の 6 つ (1) 連接 ( 連なり ) (2) 所定回数反復 ( 一定回数の繰り返し ) (3) 判断 ( 分かれ ) (4) 多方向分岐 (5) 前判定反復 (6) 後判定反復 上記以外は使ってはいけない. 141 if 文による多方向に分岐する処理 (1) Sub elseif2() Dim a As Integer a = Range("A1").Value

More information

11042 計算機言語7回目 サポートページ:

11042 計算機言語7回目  サポートページ: 11042 7 :https://goo.gl/678wgm November 27, 2017 10/2 1(print, ) 10/16 2(2, ) 10/23 (3 ) 10/31( ),11/6 (4 ) 11/13,, 1 (5 6 ) 11/20,, 2 (5 6 ) 11/27 (7 12/4 (9 ) 12/11 1 (10 ) 12/18 2 (10 ) 12/25 3 (11

More information

y = x 4 y = x 8 3 y = x 4 y = x 3. 4 f(x) = x y = f(x) 4 x =,, 3, 4, 5 5 f(x) f() = f() = 3 f(3) = 3 4 f(4) = 4 *3 S S = f() + f() + f(3) + f(4) () *4

y = x 4 y = x 8 3 y = x 4 y = x 3. 4 f(x) = x y = f(x) 4 x =,, 3, 4, 5 5 f(x) f() = f() = 3 f(3) = 3 4 f(4) = 4 *3 S S = f() + f() + f(3) + f(4) () *4 Simpson H4 BioS. Simpson 3 3 0 x. β α (β α)3 (x α)(x β)dx = () * * x * * ɛ δ y = x 4 y = x 8 3 y = x 4 y = x 3. 4 f(x) = x y = f(x) 4 x =,, 3, 4, 5 5 f(x) f() = f() = 3 f(3) = 3 4 f(4) = 4 *3 S S = f()

More information

JavaScript 1.! DOM Ajax Shelley Powers,, JavaScript David Flanagan, JavaScript 2

JavaScript 1.! DOM Ajax Shelley Powers,, JavaScript David Flanagan, JavaScript 2 JavaScript (2) 1 JavaScript 1.! 1. 2. 3. DOM 4. 2. 3. Ajax Shelley Powers,, JavaScript David Flanagan, JavaScript 2 (1) var a; a = 8; a = 3 + 4; a = 8 3; a = 8 * 2; a = 8 / 2; a = 8 % 3; 1 a++; ++a; (++

More information

新バージョンDelphi/400 XE7ご紹介 - マルチデバイスデザイナ機能で開発効率アップ! -

新バージョンDelphi/400 XE7ご紹介 - マルチデバイスデザイナ機能で開発効率アップ! - セッション No.2 新バージョン Delphi/400 XE7 ご紹介 - マルチデバイスデザイナ機能で開発効率アップ! - 株式会社ミガロ. RAD 事業部技術支援課吉原泰介 アジェンダ 1. マルチデバイス開発とは 2. 新バージョン Delphi/400 XE7 2-1.FireUI によるマルチデバイス開発機能 2-2. アップテザリングによるアプリ連携機能 3. まとめ 1. マルチデバイス開発とは

More information

Microsoft Word 練習問題の解答.doc

Microsoft Word 練習問題の解答.doc 演習問題解答 練習 1.1 Label1.Text = Val(Label1.Text) + 2 練習 1.2 コントロールの追加 Private Sub Button2_Click( 省略 ) Handles Button2.Click Label1.Text = Val(Label1.Text) - 2 練習 2.1 TextBox3.Text = Val(TextBox1.Text) * Val(TextBox2.Text)

More information

バスケットボール

バスケットボール バスケットボール きょうつうへんすうせんげん 共通の変数を宣言する ひょうじ 1. ソリューションエクスプローラで コードの表示をクリックする つぎひょうじところしたかこにゅうりょく 2. 次のコードが表示されるので 1の所に 下の囲いのコードを入力する Imports System.Runtime.InteropServices Public Class Basketball にゅうりょく 1 ここに入力する!

More information

2 1/2 1/4 x 1 x 2 x 1, x 2 9 3x 1 + 2x 2 9 (1.1) 1/3 RDA 1 15 x /4 RDA 1 6 x /6 1 x 1 3 x 2 15 x (1.2) (1.3) (1.4) 1 2 (1.5) x 1

2 1/2 1/4 x 1 x 2 x 1, x 2 9 3x 1 + 2x 2 9 (1.1) 1/3 RDA 1 15 x /4 RDA 1 6 x /6 1 x 1 3 x 2 15 x (1.2) (1.3) (1.4) 1 2 (1.5) x 1 1 1 [1] 1.1 1.1. TS 9 1/3 RDA 1/4 RDA 1 1/2 1/4 50 65 3 2 1/15 RDA 2/15 RDA 1/6 RDA 1 1/6 1 1960 2 1/2 1/4 x 1 x 2 x 1, x 2 9 3x 1 + 2x 2 9 (1.1) 1/3 RDA 1 15 x 1 + 2 1/4 RDA 1 6 x 1 1 4 1 1/6 1 x 1 3

More information

演習室の PC のハードディスクには演習で作成したデータは保管できません 各 PC の ネットワーク接続 ショートカットからメディア情報センターのサーバーにアクセスしてください (Z ドライブとして使用できます ) 演習名 使用するフォルダ 演習 1 Z: Web データ管理 演習

演習室の PC のハードディスクには演習で作成したデータは保管できません 各 PC の ネットワーク接続 ショートカットからメディア情報センターのサーバーにアクセスしてください (Z ドライブとして使用できます ) 演習名 使用するフォルダ 演習 1 Z: Web データ管理 演習 Web データ管理 JavaScript (4) (4 章 ) 2012/1/11( 水 ) 1/22 演習室の PC のハードディスクには演習で作成したデータは保管できません 各 PC の ネットワーク接続 ショートカットからメディア情報センターのサーバーにアクセスしてください (Z ドライブとして使用できます ) 演習名 使用するフォルダ 演習 1 Z: Web データ管理 20120111 演習

More information

LogisticaTRUCKServer-Ⅱ距離計算サーバ/Active-Xコントロール/クライアント 概略   

LogisticaTRUCKServer-Ⅱ距離計算サーバ/Active-Xコントロール/クライアント 概略       - LogisticaTRUCKServer-Ⅱ(SQLServer 版 ) 距離計算サーハ API.NET DLL WindowsForm サンフ ルフ ロク ラム - 1 - LogisticaTRUCKServer-Ⅱ 距離計算サーハ.NET DLL WindowsForm VisualBasic での利用方法 LogisticaTRUCKServer-Ⅱ 距離計算.NET DLLのサンプルプログラムの参照サンフ

More information

1. A0 A B A0 A : A1,...,A5 B : B1,...,B

1. A0 A B A0 A : A1,...,A5 B : B1,...,B 1. A0 A B A0 A : A1,...,A5 B : B1,...,B12 2. 3. 4. 5. A0 A, B Z Z m, n Z m n m, n A m, n B m=n (1) A, B (2) A B = A B = Z/ π : Z Z/ (3) A B Z/ (4) Z/ A, B (5) f : Z Z f(n) = n f = g π g : Z/ Z A, B (6)

More information

120802_MPI.ppt

120802_MPI.ppt CPU CPU CPU CPU CPU SMP Symmetric MultiProcessing CPU CPU CPU CPU CPU CPU CPU CPU CPU CPU CPU CPU CP OpenMP MPI MPI CPU CPU CPU CPU CPU CPU CPU CPU CPU CPU MPI MPI+OpenMP CPU CPU CPU CPU CPU CPU CPU CP

More information

25th Developer Camp

25th Developer Camp C2 Delphi/iOS テクニカルセッション 株式会社シリアルゲームズ取締役細川淳 Delphi ios アプリ開発講座 はじめに - 自己紹介 株式会社シリアルゲームズ クライアント サーバー型アプリケーションをワンストップで提供しています サーバサイドアプリケーション エンターテインメント系アプリケーション ちなみに ios / Android の技術者募集中です そこで主にクライアント OS

More information

untitled

untitled JavaScript HP JavaScript JavaScript Web JavaScript Web JavaScript JavaScript JavaScript HTML HTML HTML JavaScript 1. JavaScript ON/OFF 2. JavaScript 3. 4. 5. 6. 7. 8. 9. 10. if 11. if 12. switch 13. 14.

More information

AC-1 procedure AC-1 (G) begin Q = f(i; j) (i; j) 2 arc(g), i 6= jg repeat begin CHANGE = false for each (i; j) 2 Q do CHANGE = REVISE((i; j)) _ CHANGE

AC-1 procedure AC-1 (G) begin Q = f(i; j) (i; j) 2 arc(g), i 6= jg repeat begin CHANGE = false for each (i; j) 2 Q do CHANGE = REVISE((i; j)) _ CHANGE AC-5, May 7, 2003 Lecture 3-1, (Local Consistency) 4 1. (Node Consistency) 2. (Arc Consistency) 3. (Path Consistency) m (m-consistency) 4. AC-1 AC-2 (Waltz, ) AC-3 AC-4 AC-1 procedure AC-1 (G) begin Q

More information

知って得する!現役ヘルプデスクが答えるDelphiテクニカルエッセンス 9.0

知って得する!現役ヘルプデスクが答えるDelphiテクニカルエッセンス 9.0 セッション No.3 知って得する! 現役ヘルプデスクが答える Delphi テクニカルエッセンス 9.0 株式会社ミガロ. RAD 事業部技術支援課 吉原泰介 アジェンダ お客様より年間 1,000 件以上お問合せ頂いているテクニカルサポートからの技術フィードバック! Q1. PageControl 応用テクニック Q2. DLL モジュールの開発手法 Q1. PageControl 応用テクニック

More information

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

Web プログラミング 1 JavaScript (4) (4 章 ) 2013/7/17( 水 ) 日時 講義内容 4/10 ( 水 ) ガイダンス Web (1 章 ) 4/17 ( 水 ) HTML+CSS (1) (2 章 ) 4/24 ( 水 ) HTML+CSS (2) (2 章 ) 5

Web プログラミング 1 JavaScript (4) (4 章 ) 2013/7/17( 水 ) 日時 講義内容 4/10 ( 水 ) ガイダンス Web (1 章 ) 4/17 ( 水 ) HTML+CSS (1) (2 章 ) 4/24 ( 水 ) HTML+CSS (2) (2 章 ) 5 Web プログラミング 1 JavaScript (4) (4 章 ) 2013/7/17( 水 ) 日時 講義内容 4/10 ( 水 ) ガイダンス Web (1 章 ) 4/17 ( 水 ) HTML+CSS (1) (2 章 ) 4/24 ( 水 ) HTML+CSS (2) (2 章 ) 5/8 ( 水 ) HTML+CSS (3) (2 章 ) 5/15 ( 水 ) HTML+CSS (4)

More information

橡vb_kikai0.PDF

橡vb_kikai0.PDF Visual Basic OS Windows Visual Basic GPIBRS232C A/D Visual Basic Windows Visual Basic Visual Basic Visual Basic Visual Basic Windows GUI( ) OS Visual Basic Form Form 1 Visual Basic Microsoft Visual Basic

More information

D0120.PDF

D0120.PDF 12? 1940 Stanislaw Ulam John von Neumann Cellular Automaton 2 Cellular Automata 1 0 1 2 0 1 A 3 B 1 2 3 C 10 A B C 1 ExcelVBA 1 1 1 1 0 1 1 B7 BD7 road1 B8 BD31 board 0 Road1 50 board 0 1 0 1 Excel 2 2

More information

デザインパフォーマンス向上のためのHDLコーディング法

デザインパフォーマンス向上のためのHDLコーディング法 WP231 (1.1) 2006 1 6 HDL FPGA TL TL 100MHz 400MHz HDL FPGA FPGA 2005 2006 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx,

More information

Gray [6] cross tabulation CUBE, ROLL UP Johnson [7] pivoting SQL 3. SuperSQL SuperSQL SuperSQL SQL [1] [2] SQL SELECT GENERATE <media> <TFE> GENER- AT

Gray [6] cross tabulation CUBE, ROLL UP Johnson [7] pivoting SQL 3. SuperSQL SuperSQL SuperSQL SQL [1] [2] SQL SELECT GENERATE <media> <TFE> GENER- AT DEIM Forum 2017 E3-1 SuperSQL 223 8522 3 14 1 E-mail: {tabata,goto}@db.ics.keio.ac.jp, toyama@ics.keio.ac.jp,,,, SuperSQL SuperSQL, SuperSQL. SuperSQL 1. SuperSQL, Cross table, SQL,. 1 1 2 4. 1 SuperSQL

More information

Oracle Lite Tutorial

Oracle Lite Tutorial GrapeCity -.NET with GrapeCity - InputMan Creation Date: Nov. 30, 2005 Last Update: Nov. 30, 2005 Version: 1.0 GrapeCity Microsoft Visual Studio.NET VB.NET Oracle Tips InputMan InputMan Oracle.NET Oracle

More information

csv csv

csv csv 2009 1 9 2 1. 1 2. 2 2.1......................................... 2 2.2 csv.................................... 3 2.3 csv.................................. 3 3. 4 3.1.........................................

More information

Compatibility list: vTESTstudio/CANoe

Compatibility list: vTESTstudio/CANoe 1.0 および 1.1 で作成されたテストユニットは テスト内で使用されるコマンドに関わらず 必ず下記の最小バージョン以降の CANoe にて実行してください vteststudio 2.0 以上で作成されたテストユニット ( 新機能を使用していない場合 ) は それぞれに応じた最小バージョン以降の CANoe にて実行してください 下記の表にて 各バージョンに対応する要件をご確認ください vteststudio

More information

fp.gby

fp.gby 1 1 2 2 3 2 4 5 6 7 8 9 10 11 Haskell 12 13 Haskell 14 15 ( ) 16 ) 30 17 static 18 (IORef) 19 20 OK NG 21 Haskell (+) :: Num a => a -> a -> a sort :: Ord a => [a] -> [a] delete :: Eq a => a -> [a] -> [a]

More information

10th Developer Camp - A5

10th Developer Camp  - A5 A5 Delphi テクニカルセッション 知って得する! 現役ヘルプデスクが答える Delphi テクニカルエッセンス 3.0 株式会社ミガロ RAD 事業部技術支援課顧客サポート主任吉原泰介 アジェンダ ミガロについて VCL for the Web 基礎知識 VCL for the Web のよくある問合せ Q&A Q1 戻るボタンの対応方法 Q2 Cookie を利用した制御 Q3 IWText

More information

# let rec sigma (f, n) = # if n = 0 then 0 else f n + sigma (f, n-1);; val sigma : (int -> int) * int -> int = <fun> sigma f n ( : * -> * ) sqsum cbsu

# let rec sigma (f, n) = # if n = 0 then 0 else f n + sigma (f, n-1);; val sigma : (int -> int) * int -> int = <fun> sigma f n ( : * -> * ) sqsum cbsu II 4 : 2001 11 7 keywords: 1 OCaml OCaml (first-class value) (higher-order function) 1.1 1 2 + 2 2 + + n 2 sqsum 1 3 + 2 3 + + n 3 cbsum # let rec sqsum n = # if n = 0 then 0 else n * n + sqsum (n - 1)

More information

untitled

untitled Summer 2008 1 7 12 14 16 16 16 SAS Academic News B-8 4 B-9 6 B-11 7 B-15 10 DATA _NULL_; dlm=","; char1="" char2="" char3="15" char4="a",,15,a results=catx(dlm, OF char1-char4); PUT results; DATA

More information

untitled

untitled Visual Basic.NET 1 ... P.3 Visual Studio.NET... P.4 2-1 Visual Studio.NET... P.4 2-2... P.5 2-3... P.6 2-4 VS.NET(VB.NET)... P.9 2-5.NET... P.9 2-6 MSDN... P.11 Visual Basic.NET... P.12 3-1 Visual Basic.NET...

More information

知って得する!現役ヘルプデスクが答えるDelphiテクニカルエッセンス

知って得する!現役ヘルプデスクが答えるDelphiテクニカルエッセンス セッション No.4 知って得する! 現役ヘルプデスクが答える Delphi テクニカルエッセンス 株式会社ミガロ RAD 事業部技術支援課顧客サポート吉原泰介 2 アジェンダ 問合せ分析 よくある問合せ Q&A Q1 Excel 出力パフォーマンスを改善したい! Q2 DBGrid でこんなことがしたい! Q3 クライアント端末の情報を取得したい! Q4 多言語環境に対応するには? これからのサポート

More information

Public Class Class4SingleCall Inherits MarshalByRefObject Public Sub New() End Sub Public Function OneProc(ByVal The As A SC) As A SC Dim The As New A SC The.answer = The.index * 2 + 1000 Return The End

More information

3D回転体プログラム

3D回転体プログラム 3D 回転体プログラム VB 2005 4 プログラムの概要 入力画面で マウスを用いて 側面より見た平面図を描きます マウスの左ボタンをクリックする事で連続線を描き 右ボタンをクリックすると新しい線を描く事が出来る 側面図が完成すると 回転の基本角度を設定して 確定ボタンをクリックすると 平面図を立体図に座標変換する 各軸の回転角度を設定して 表示ボタンをクリックすると 立体図が表示される 各軸の回転角度を変更して

More information

B 5 (2) VBA R / B 5 ( ) / 34

B 5 (2) VBA R / B 5 ( ) / 34 B 5 (2) VBAR / B 5 (2014 11 17 ) / 34 VBA VBA (Visual Basic for Applications) Visual Basic VBAVisual Basic Visual BasicC B 5 (2014 11 17 ) 1 / 34 VBA 2 Excel.xlsm 01 Sub test() 02 Dim tmp As Double 03

More information

橡WINAPLI.PDF

橡WINAPLI.PDF Windows Visual Basic 2.0 8 7 29 8 2 Windows 1. Windows 1 1.1. Windows 1 1.2. 1 2. Visual Basic 2 2.1. VisualBasic 2 2.2. Visual Basic 2 2.2.1. 2 2.2.2. 2 2.2.3. 2 2.2.4. 2 2.2.5. 2 2.3. Visual Basic 3

More information

Microsoft PowerPoint - KHPCSS pptx

Microsoft PowerPoint - KHPCSS pptx KOBE HPC サマースクール 2018( 初級 ) 9. 1 対 1 通信関数, 集団通信関数 2018/8/8 KOBE HPC サマースクール 2018 1 2018/8/8 KOBE HPC サマースクール 2018 2 MPI プログラム (M-2):1 対 1 通信関数 問題 1 から 100 までの整数の和を 2 並列で求めなさい. プログラムの方針 プロセス0: 1から50までの和を求める.

More information

パターン化されたロジックのコンポーネント化

パターン化されたロジックのコンポーネント化 UI C++Builder SEAXER 2 CAD BASIC CISC/RISC C++ Perl Java 3 PM 4 T^T) 5 UNIX 6 7 8 true/false SetLastError(); throw() BOOL abort 9 UML PM OOPS esign attern C/C++ 10 Don t Repeat Yourself 11 Windows3.1 12

More information

II ( ) prog8-1.c s1542h017%./prog8-1 1 => 35 Hiroshi 2 => 23 Koji 3 => 67 Satoshi 4 => 87 Junko 5 => 64 Ichiro 6 => 89 Mari 7 => 73 D

II ( ) prog8-1.c s1542h017%./prog8-1 1 => 35 Hiroshi 2 => 23 Koji 3 => 67 Satoshi 4 => 87 Junko 5 => 64 Ichiro 6 => 89 Mari 7 => 73 D II 8 2003 11 12 1 6 ( ) prog8-1.c s1542h017%./prog8-1 1 => 35 Hiroshi 2 => 23 Koji 3 => 67 Satoshi 4 => 87 Junko 5 => 64 Ichiro 6 => 89 Mari 7 => 73 Daisuke 8 =>. 73 Daisuke 35 Hiroshi 64 Ichiro 87 Junko

More information

Oracle Forms Services R6i

Oracle Forms Services R6i Creation Date: Jul 04, 2001 Last Update: Jul 31, 2001 Version: 1.0 0 0... 1 1...3 1.1... 3 1.2... 3 1.3... 3 2...4 2.1 C/S... 4 2.2 WEB... 5 2.3 WEB... 5 2.4 JAVABEAN... 6 3 JAVABEAN...7 3.1... 7 3.2 JDEVELOPER...

More information

CodeIgniter Con 2011, Tokyo Japan, February

CodeIgniter Con 2011, Tokyo Japan, February CodeIgniter Con 2011, Tokyo Japan, February 19 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 http://www.iviking.org/fx.php/ 25 26 10 27 28 29 30 31

More information

I J

I J I 065763J 8 7 7 31 jikken/ +----- accumulation_demupa.c +----- accumulation_rain.c +----- frequency_demupa.c +----- frequency_rain.c +----- go.sh +----- graph_maker.sh +----- mesure-ryudai/ 2007/4/1 2007/6/30

More information