FPGA TU0135 (v1.0) FPGA Desktop NanoBoard FPGA CUSTOM_INSTRUMENT FPGA GUI ( ) IO GUI IO DelphiScript Desktop NanoBoard NB2DSK01 FPGA Desktop

Size: px
Start display at page:

Download "FPGA TU0135 (v1.0) FPGA Desktop NanoBoard FPGA CUSTOM_INSTRUMENT FPGA GUI ( ) IO GUI IO DelphiScript Desktop NanoBoard NB2DSK01 FPGA Desktop"

Transcription

1 TU0135 (v1.0) Desktop NanoBoard FPGA CUSTOM_INSTRUMENT GUI ( ) IO GUI IO DelphiScript Desktop NanoBoard NB2DSK01 Desktop NanoBoard 8 DIP LED DAUGHTER BD TEST/RESET DIP ( ) DAUGHTER BD TEST/RESET ( ) LED LED 2 - DAUGHTER BD TEST/RESET LED - LED ( 1) Altium Designer \Examples\NanoBoard Common\FPGA Hardware\Custom Instrument Design 1. FPGA Altium Designer TU0116 Getting Started with FPGA Design TU0135 (v1.0) May 17,

2 Desktop NanoBoard FPGA Desktop NanoBoard FPGA Web FPGA Web Actel Designer Libero IDE Web Altera Quartus II Altera Quartus II Web Edition Lattice isplever isplever Starter Web Xilinx ISE Xilinx ISE WebPACK Web Vendor Resources ( Altium Designer Devices (View» Devices View) Tools Vendor Tool Support : Altium Designer 1. FPGA Custom_Instrument_Design.PrjFpg Custom Instrument Tutorial 2. Custom_Instrument_Design.SchDoc 1 1. CUSTOM_INSTRUMENT FPGA Instruments.IntLib DIPSWITCH TEST_BUTTON LED Desktop NanoBoard 8 DIP Desktop NanoBoard DAUGHTER BD TEST/RESET ( ) Desktop NanoBoard LED LED FPGA NB2DSK01 Port-Plugin.IntLib FPGA NB2DSK01 Port-Plugin.IntLib FPGA NB2DSK01 Port-Plugin.IntLib 2 TU0135 (v1.0) May 17, 2008

3 NEXUS_JTAG_CONNECTOR NEXUS_JTAG_PORT INV INV8B Soft JTAG (NEXUS_TMS NEXUS_TCK NEXUS_TDI NEXUS_TDO) Nexus Soft JTAG DAUGHTER BD TEST/RESET 8 8 DIP FPGA NB2DSK01 Port-Plugin.IntLib FPGA Generic.IntLib FPGA Generic.IntLib FPGA Generic.IntLib : 3. CUSTOM_INSTRUMENT Configure Custom Instrument Configuration - AIN[7..0] Data_In[7..0] - AOUT[7..0] Data_Out[7..0] - Override 4. 2 ( Tools» Annotate Schematics Quietly ) TU0135 (v1.0) May 17,

4 Custom Instrument Configuration IO Altium Designer IO GUI Desktop NanoBoard LED DelphiScript 1. Configure Custom Instrument Configuration Signals ( 3) 3. Signals 2. Title Example Instrument 3. Options Synchronize 4. Devices Soft Devices JTAG Use Custom Bitmap Select Bitmap Altium Designer \Examples\NanoBoard Common\FPGA Hardware\Custom Instrument Design ExampleInstrumentIcon.bmp Configuration File (*.Instrument) 5. Configuration File Name Save Configuration File To Custom_Instrument_Example.Instrument Soft Devices JTAG Altium Designer 2 From Project.Instrument Devices 4 TU0135 (v1.0) May 17, 2008

5 From FPGA RAM 6. Configuration Retrieval From Signals 4 4. Signals GUI GUI GUI 1. Custom Instrument Configuration Design GUI ( 5) 5. Design GUI TU0135 (v1.0) May 17,

6 3 - Palette - Properties ( ) - Events ( ) DesignedAreaPanel 2. DesignedAreaPanel Height GUI Palette Instrument Controls 2. TInstrumentCaption 2 TInstrumentGauge 1 TInstrumentProgressBar 8 TInstrumentLEDsPanel 2 TInstrumentLEDDigits 1 TInstrumentGraph 1 TInstrumentNumericPan el 1 TInstrumentLabel 2 TInstrumentButton Progress Bar 6 TU0135 (v1.0) May 17, 2008

7 6. (Properties ) 4. Caption - AutoSize: Disable - Caption: DATA INPUT MONITORING - Font» Color: CaptionText - Font» Height: Font» Name: Tahoma - Style» fsitalic: Enable - Width: Gauge - BigTicks» Color: Lime - BigTicks» Step: 32 - Color: Black - Font» Color: White - Max: MediumTicks» Color: Silver - MediumTicks» Step: 16 - Needle» Kind: gnkteardrop - Numbers» Step: 32 - Position: 0 - SignalName: Data_In[7..0] - SmallTicks» Color: White - SmallTicks» Step: 8 6. Shift 8 Progress Bar - BarColor: Yellow - BarInactiveColor: Gray TU0135 (v1.0) May 17,

8 - BarMiddleColor: Gray - ColorScheme: icscustom - Max: 1 - Position: 0 Progress Bar SignalName Data_In[7..0] Data_In[7] Data_In[6] Data_In[0] 7. LED Panel - DigitsInGroup: 8 - Metrics» EndSpace: 1 - Metrics» Space: 1 - Metrics» StartSpace: 1 - SignalName: Data_In[7..0] 8. LED Digits - DigitsInGroup: 8 - Metrics» Space: 6 - SignalName: Data_In[7..0] 9. Graph - Color: InfoBk - Grid» Visible: Disable - Height: 64 - HighThreshold» Enabled: Enable - HighThreshold» Pen» Color: Teal - HighThreshold» Value: LowThreshold» Enabled: Enable - LowThreshold» Pen» Color: Teal - Max: Min: SignalForm» NormalSignal» Color: Red - SignalName: Data_In[7..0] - Width: Caption - AutoSize: Disable - Caption: DATA OUTPUT CONTROL - Font» Color: CaptionText - Font» Height: Font» Name: Tahoma - Style» fsitalic: Enable - Width: Numeric Panel - Name: Output_Data - UseDefaultDigitClick: Enable 12. InstrumentLabel1 - Caption: NB_Override - Font» Color: Red - Font» Height: Name: NB_Override 8 TU0135 (v1.0) May 17, 2008

9 13. InstrumentLabel2 - Caption: Panel_Override - Font» Color: Red - Font» Height: Name: Panel_Override 14. LED Panel - Color: 3DDkShadow - Digits: 1 - DigitsInGroup: 1 - SignalName: Override - Style: icsgreen 15. Button - AllowAllUp: Enable - Caption: SOFTWARE OVERRIDE - Font» Height: Style» fsbold: Enable - Style» fsitalic: Enable - GroupIndex: 1 - Height: 48 - Name: Panel_Override_Button - Width: High Low Graph InstrumentLabel AutoSize TU0135 (v1.0) May 17,

10 8. Desktop NanoBoard LED Numeric Panel Desktop NanoBoard DAUGHTER BD TEST/RESET SOFTWARE OVERRIDE Numeric Panel 1. Custom Instrument Configuration Code ( 9) 9. Code DelphiScript 10 TU0135 (v1.0) May 17, 2008

11 : DelphiScript Altium Designer Open in Code Editor Code Code Editor 2. // procedure TDesignedAreaPanel.DesignedAreaPanelReadWrite(Sender: TObject); begin // NB_Override.Caption := ''; Panel_Override.Caption := ''; //SignalManager if SignalManager.GetSignalByName('Override').Value = 1 then //NB2DSK01 begin NB_Override.Caption := 'Hardware Override Engaged!'; SignalManager.GetSignalByName('Data_Out[7..0]').Value := 0; end else if Panel_Override_Button.Down then //Software Override begin Panel_Override.Caption := 'Software Override Engaged!'; SignalManager.GetSignalByName('Data_Out[7..0]').Value := 0; end else // /Output_Data begin SignalManager.GetSignalByName('Data_Out[7..0]').Value := Output_Data.Value; end; end; // Software Override procedure TDesignedAreaPanel.Panel_Override_ButtonClick(Sender: TObject); begin Panel_Override_Button.Down := not Panel_Override_Button.Down; end; GUI DelphiScript GUI 1. Custom Instrument Configuration Design 2. DesignedAreaPanel TU0135 (v1.0) May 17,

12 3. Events OnReadWrite DesignedAreaPanelReadWrite ( ) 4. SOFTWARE OVERRIDE Button 5. Events OnClick Panel_Override_ButtonClick ( ) FPGA FPGA ( ) Desktop NanoBoard NB2DSK01 3 Altium Designer Desktop NanoBoard FPGA 1. FPGA 3 NB2DSK Desktop NanoBoard USB ( ) PC Devices (Custom_Instrument_Design.PrjFpg) 5. AR0124 Design Portability, Configurations and Constraints Desktop NanoBoard NB2DSK01 AP0154 Understanding the Desktop NanoBoard NB2DSK01 Constraint System 1. Desktop NanoBoard PC 2. Devices Altium Designer Live Connected 3. Program FPGA ( ) JTAG Devices Reset Programmed 11. Program LED Devices AP0103 Processing the Captured FPGA Design 12 TU0135 (v1.0) May 17, 2008

13 GUI 1. Devices Soft Devices JTAG Running 2. ( GUI) Instrument Rack Soft Devices 12. GUI 3. Desktop NanoBoard DIP / - Gauge - Progress Bar - LED Panel - LED Digit - Graph 4. Numeric Panel ON OFF Desktop NanoBoard LED 5. Numeric Panel 1111 NanoBoard LED DIP TU0135 (v1.0) May 17,

14 6. NanoBoard DAUGHTER BD TEST/RESET - LED DAUGHTER BD TEST/RESET LED SOFTWARE OVERRIDE - LED SOFTWARE OVERRIDE LEDS IO 14 TU0135 (v1.0) May 17, 2008

15 Copyright 2008 Altium Limited.All Rights Reserved. - - Altium Altium Designer Board Insight CAMtastic CircuitStudio Design Explorer DXP Innovation Station LiveDesign NanoBoard NanoTalk OpenBus Nexar nvisage P-CAD Protel SimCode Situs TASKING Topological Autorouting Altium Limited TU0135 (v1.0) May 17,

FPGA 概概 Tutorial TU0116 (v2.0) May 17, 2008 Innovation Station FPGA Altium Designer FPGA NanoBoard FPGA - FPGA Innovation Station Altium Designer Nano

FPGA 概概 Tutorial TU0116 (v2.0) May 17, 2008 Innovation Station FPGA Altium Designer FPGA NanoBoard FPGA - FPGA Innovation Station Altium Designer Nano FPGA 概概 Tutorial TU0116 (v2.0) May 17, 2008 Innovation Station FPGA Altium Designer FPGA NanoBoard FPGA - FPGA Innovation Station Altium Designer NanoBoard FPGA Innovation Station. NanoBoard FPGA NanoBoard

More information

Report Manager Bill of Materials Component Cross Reference Report Manager Report Manager Grouped Columns All Columns 2 All Columns Report Manager 1. S

Report Manager Bill of Materials Component Cross Reference Report Manager Report Manager Grouped Columns All Columns 2 All Columns Report Manager 1. S Tutorial TU0104 (v2.3) May 16, 2008 Report Manager BOM Bill of Materials BOM Component Cross Reference Altium Designer Report Manager PDF Output Job Configuration Report Manager BOM PCB Altium Designer

More information

Tutorial TU0110 (v1.7) May 26, 2008 このチュートリアルでは 回路図エディタからデザイン情報を転送する前の準備として必要に応じて行う ボードシェイプの定義や作画シートの設定 レイヤの設定 キープアウトの設定方法などを紹介します PCB PCB PCB ボードシェイプ

Tutorial TU0110 (v1.7) May 26, 2008 このチュートリアルでは 回路図エディタからデザイン情報を転送する前の準備として必要に応じて行う ボードシェイプの定義や作画シートの設定 レイヤの設定 キープアウトの設定方法などを紹介します PCB PCB PCB ボードシェイプ Tutorial TU0110 (v1.7) May 26, 2008 このチュートリアルでは 回路図エディタからデザイン情報を転送する前の準備として必要に応じて行う ボードシェイプの定義や作画シートの設定 レイヤの設定 キープアウトの設定方法などを紹介します PCB PCB PCB ボードシェイプは 基板外形とも呼ばれ 基本的には閉じた多角形です Altium Designer File» New»

More information

main.dvi

main.dvi CAD 2001 12 1 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape ref0177/html/index.html.,, View Encoding Japanese

More information

Nios II ハードウェア・チュートリアル

Nios II ハードウェア・チュートリアル Nios II ver. 7.1 2007 8 1. Nios II FPGA Nios II Quaruts II 7.1 Nios II 7.1 Nios II Cyclone II count_binary 2. 2-1. http://www.altera.com/literature/lit-nio2.jsp 2-2. Nios II Quartus II FEATURE Nios II

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

HardCopy IIデバイスのタイミング制約

HardCopy IIデバイスのタイミング制約 7. HardCopy II H51028-2.1 Stratix II FPGA FPGA ASIC HardCopy II ASIC NRE Quartus II HardCopy Design Center HCDC Quartus II TimeQuest HardCopy II 2 DR2 TimeQuest TimeQuest FPGA ASIC FPGA ASIC Quartus II

More information

ProVisionaire Control V3.0セットアップガイド

ProVisionaire Control V3.0セットアップガイド ProVisionaire Control V3 1 Manual Development Group 2018 Yamaha Corporation JA 2 3 4 5 NOTE 6 7 8 9 q w e r t r t y u y q w u e 10 3. NOTE 1. 2. 11 4. NOTE 5. Tips 12 2. 1. 13 3. 4. Tips 14 5. 1. 2. 3.

More information

FPGAメモリおよび定数のインシステム・アップデート

FPGAメモリおよび定数のインシステム・アップデート QII53012-7.2.0 15. FPGA FPGA Quartus II Joint Test Action Group JTAG FPGA FPGA FPGA Quartus II In-System Memory Content Editor FPGA 15 2 15 3 15 3 15 4 In-System Memory Content Editor Quartus II In-System

More information

スライド 1

スライド 1 1 1. 2 2. 3 isplever 4 5 6 7 8 9 VHDL 10 VHDL 4 Decode cnt = "1010" High Low DOUT CLK 25MHz 50MHz clk_inst Cnt[3:0] RST 2 4 1010 11 library ieee; library xp; use xp.components.all; use ieee.std_logic_1164.all;

More information

プロセッサ・アーキテクチャ

プロセッサ・アーキテクチャ 2. NII51002-8.0.0 Nios II Nios II Nios II 2-3 2-4 2-4 2-6 2-7 2-9 I/O 2-18 JTAG Nios II ISA ISA Nios II Nios II Nios II 2 1 Nios II Altera Corporation 2 1 2 1. Nios II Nios II Processor Core JTAG interface

More information

Lab GPIO_35 GPIO

Lab GPIO_35 GPIO 6,GPIO, PSoC 3/5 GPIO HW Polling and Interrupt PSoC Experiment Lab PSoC 3/5 GPIO Experiment Course Material 6 V2.02 October 15th. 2012 GPIO_35.PPT (65 Slides) Renji Mikami Renji_Mikami@nifty.com Lab GPIO_35

More information

ネットリストおよびフィジカル・シンセシスの最適化

ネットリストおよびフィジカル・シンセシスの最適化 11. QII52007-7.1.0 Quartus II Quartus II atom atom Electronic Design Interchange Format (.edf) Verilog Quartus (.vqm) Quartus II Quartus II Quartus II Quartus II 1 Quartus II Quartus II 11 3 11 12 Altera

More information

概要 Tutorial TU0106 (v1.6) April 20, 2008 このチュートリアルでは アナログフィルタデザインの回路図を作成して 回路シミュレーションをセットアップします 設定 解析の実行 を回路図上で行い 結果を波形アナライザで表示するまでをカバーします このチュートリアルでは

概要 Tutorial TU0106 (v1.6) April 20, 2008 このチュートリアルでは アナログフィルタデザインの回路図を作成して 回路シミュレーションをセットアップします 設定 解析の実行 を回路図上で行い 結果を波形アナライザで表示するまでをカバーします このチュートリアルでは 概要 Tutorial TU0106 (v1.6) April 20, 2008 このチュートリアルでは アナログフィルタデザインの回路図を作成して 回路シミュレーションをセットアップします 設定 解析の実行 を回路図上で行い 結果を波形アナライザで表示するまでをカバーします このチュートリアルでは 回路シミュレーション解析を実行するために回路図を作成することから始めます 最初に新規プロジェクトファイルを作成してからブランクの新規回路図シートを追加します

More information

スライド 1

スライド 1 isplever CLASIC 1.2 Startup Manual for MACH4000 Rev.1.0 isplever_ CLASIC Startup_for_MACH4000_Rev01.ppt Page: 1 1. Page 3 2. Lattice isplever Design Flow Page 4 3. Page 5 3-1 Page 6 3-2 Page 7 3-3 Page

More information

: 1. File» New» Schematic Files New Schematic Sheet Sheet1.SchDoc Projects Source Documents 2. File» Save As.SchDoc File Name Multivibrator.PrjPCB Sav

: 1. File» New» Schematic Files New Schematic Sheet Sheet1.SchDoc Projects Source Documents 2. File» Save As.SchDoc File Name Multivibrator.PrjPCB Sav Tutorial TU0117 (v2.3) May 21, 2008 PCB PCB D PCB Altium Designer PCB Altium Designer GU0112 Altium Designer PCB Altium Designer ( xxx.prjpcb ASCII CAM PCB FPGA (VHDL) PCB PCB PCB PCB : 1. File» New» Project»

More information

1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll 14 5.1 Cyclone V GX FPGA... 14 5.2 FPGA ROM...

1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll 14 5.1 Cyclone V GX FPGA... 14 5.2 FPGA ROM... Mpression Beryll Board Revision 1.0 2014/2 2014/2 Mpression by Macnica Group http://www.m-pression.com 1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll

More information

0 C C C C C C

0 C C C C C C C TU-HD50 TUNER TU - HD50 0 TU-HD50 C C C C S00-06C D D D 0 C C C C 4 5 6 7 8 9 C C C C C C C C C C C C C C C C C C C C C C TUNER TU - HD50 FGIH 0 C C C 0 FGIH C C C C C C FGIH FG IH FGIH I H FGIH FGIH

More information

1 138

1 138 5 1 2 3 4 5 6 7 8 1 138 BIOS Setup Utility MainAdvancedSecurityPowerExit Setup Warning Item Specific Help Setting items on this menu to incorrect values may cause your system to malfunction. Select 'Yes'

More information

DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( ) DELPHINUS 2

DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( ) DELPHINUS 2 30 1631158 1 29 () 1 DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( 0.010.1 ) DELPHINUS 2 1 4 1.1............................................ 4 1.2 (Lunar Impact Flush)............................. 4 1.3..............................................

More information

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」 ALTIMA Company, MACNICA, Inc Nios II HAL API Modular Scatter-Gather DMA Core Ver.17.1 2018 8 Rev.1 Nios II HAL API Modular Scatter-Gather DMA Core...3...3...4... 4... 5 3-2-1. msgdma... 6 3-2-2. On-Chip

More information

JAJP.indd

JAJP.indd Agilent Application Note 1....1 2. MIMO...2 2.1...2 2.2 MIMO...3 3. Agilent MIMO...4 3.1 P...4 3.2 U2000 USB...7 4....10 4.1 P...11 4.2 U2000...16 2 T 0 T 1 = 1.15 0.26 0.39 1.03 R 0 R 1 CB.log 2 1 C MIMO

More information

BS・110度CSデジタルハイビジョンチューナー P-TU1000JS取扱説明書

BS・110度CSデジタルハイビジョンチューナー P-TU1000JS取扱説明書 C S0 CS Digital Hi-Vision Tuner C C C C S0-0A TQZW99 0 C C C C 4 5 6 7 8 9 C C C C C C C C C C C C C C C C C C C C C C C 0 FGIH C 0 FGIH C C C FGIH FG IH FGIH I H FGIH FGIH 0 C C # $ IH F G 0 # $ # $

More information

Microsoft Word - PIVマニュアル.doc

Microsoft Word - PIVマニュアル.doc (Nikkor 50mm f/1.2) C CCD (PixelFly QE) LAN USB BNC 1 1.1 CCD 注意 CCD CCD 1) 注意 2) 3) LAN LAN 4) 3 2 5) 2 1.2 1) Came Ware Came Ware 2) [Camera] [Camera Control] Camera mode Video Trigger Mode Intern CameraControl

More information

1 シミュレーションとは何か?

1 シミュレーションとは何か? Delphi P.1/16 Delphi Delphi Object Pascal Delphi Delphi Delphi (Borland) Windows Turbo Pascal Pascal Delphi Turbo Pascal Windows Pascal FORTRAN BASIC Java Algol Algol Pascal Pascal Pascal Pascal Delphi

More information

2.5. Verilog 19 Z= X + Y - Z A+B LD ADD SUB ST (X<<1)+(Y<<1) X 1 2 LD SL ST 2 10

2.5. Verilog 19 Z= X + Y - Z A+B LD ADD SUB ST (X<<1)+(Y<<1) X 1 2 LD SL ST 2 10 2.5. Verilog 19 Z= X + Y - Z A+B LD 0 0001 0000 ADD 1 0110 0001 SUB 2 0111 0010 ST 2 1000 0010 (X

More information

0 C C C C C C C

0 C C C C C C C C * This device can only be used inside Japan in areas that are covered by subscription cable TV services. ecause of differences in broadcast formats and power supply voltages, it cannot be used in overseas

More information

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that use microcontrollers (MCUs)

More information

CodeGear Developer Camp

CodeGear Developer Camp T2 Delphi チュートリアルセッション Delphiはじめて奮戦記 で学ぶ Delphiチュートリアル 株式会社フルネスコーチング事業部マネージャー田原孝 1 アジェンダ 株式会社フルネスについて Delphiプログラミングの基本 演習 : 計算機のテンキーを作る 演習 : 計算機の四則演算ボタンを作る 練習問題 まとめ 2 株式会社フルネスについて 事業内容 ハンズオン教育サービス コーチングサービス

More information

Scarlett...5 Mixing & Routing Output...8 Input...10 Scarlett Direct Routing Channel Analogue Channel Anal

Scarlett...5 Mixing & Routing Output...8 Input...10 Scarlett Direct Routing Channel Analogue Channel Anal Scarlett 1.1 ...3...4...4 Scarlett...5 Mixing & Routing...6...7 Output...8 Input...10 Scarlett...13...15 Direct Routing...15 2 Channel Analogue...18 8 Channel Analogue...19 Digital....20 Empty...20...21...21...22.......................................................

More information

quattro.PDF

quattro.PDF Quattro USB Audio Interface 2 M-AUDIO 3 Windows Windows 98 SE/ Windows ME/ Windows 2000/ Windows XP Platinum III 500MHz/ 96kHz Platinum II 400MKz/ 48kHz 128MB RAM / 96kHz 64MB RAM/ 48kHz Macintosh USB

More information

5 1 2 3 4 5 6 7 8 9 10 11 12 1 132 CMOS Setup Utility - Copyright (C) 1984-2000 Award Software Power Management Setup ACPI Suspend Type S3 (STR) Power Management User Define Video Off Method DPMS Video

More information

データベースファイルからコンポーネントへのリンク

データベースファイルからコンポーネントへのリンク 概要 Tutorial TU0119 (v1.1) November 18, 2004 このチュートリアルでは 社内のコンポーネントデータベースから回路図に配置したコンポーネントへリンクさせる方法を説明します データベースリンクは 部品表 (BOM) に含めることができるコンポーネントパラメータへデータベースからデータを移行させることができます DXP では 外部にあるデータベースを PCB プロジェクトの回路図に配置されたコンポーネントにリンクさせることで

More information

Cyclone IIIデバイスのI/O機能

Cyclone IIIデバイスのI/O機能 7. Cyclone III I/O CIII51003-1.0 2 Cyclone III I/O 1 I/O 1 I/O Cyclone III I/O FPGA I/O I/O On-Chip Termination OCT Quartus II I/O Cyclone III I/O Cyclone III LAB I/O IOE I/O I/O IOE I/O 5 Cyclone III

More information

1 I EViews View Proc Freeze

1 I EViews View Proc Freeze EViews 2017 9 6 1 I EViews 4 1 5 2 10 3 13 4 16 4.1 View.......................................... 17 4.2 Proc.......................................... 22 4.3 Freeze & Name....................................

More information

6 2 s µ µµµ µµµµ µ µ h µs µ µµµµ µ µ µ s mµµµµµ µµµ µµ µ u m µmµµµµµ µµ µ µ µ µ µ µ µ µ s 1

6 2 s µ µµµ µµµµ µ µ h µs µ µµµµ µ µ µ s mµµµµµ µµµ µµ µ u m µmµµµµµ µµ µ µ µ µ µ µ µ µ s 1 6 1 6 (1) (2) HTML (3) PDF Copy&Paste 1 Web 1 Web Web 1 Web HTML 6 2 s µ µµµ µµµµ µ µ h µs µ µµµµ µ µ µ s mµµµµµ µµµ µµ µ u m µmµµµµµ µµ µ µ µ µ µ µ µ µ s 1 6 3 1.1 HTML Web HTML(Hyper Text Markup Language)

More information

B Simon (Trump ) SimonU.pas SimonP.dpr Name FormSimon Caption Position podesktopcenter uses Windows, Messages, SysUtils,

B Simon (Trump ) SimonU.pas SimonP.dpr Name FormSimon Caption Position podesktopcenter uses Windows, Messages, SysUtils, B 132 20 1 1 20.1 20.1.1 1 52 10 1 2 3... 7 8 8 8 20.1.2 1 5 6 7 3 20.1.3 1 3 8 20.1.4 13 20.1.5 4 1 (solitaire) B 133 20.2 20.2.1 Simon (Trump ) SimonU.pas SimonP.dpr 20.2.2 Name FormSimon Caption Position

More information

L N P Y F C T V W Z I X Pentomino Form Name Caption Position FormMain podesktopcenter

L N P Y F C T V W Z I X Pentomino Form Name Caption Position FormMain podesktopcenter 1. 1 1 1.1 5 12 60 3 20 4 15 5 12 6 10 12 L N P Y F C T V W Z I X 1.1.1 1.2 Pentomino 1.2.1 Form Name Caption Position FormMain podesktopcenter 1.2.2 unit PentominoU; interface uses Windows, Messages,

More information

1 2

1 2 1 1 2 1 2 3 4 5 3 2 3 4 4 1 2 3 4 5 5 5 6 7 8 1 1 2 1 10 1 3 1 11 2 12 2 3 1 13 2 14 2 3 1 15 2 16 2 3 1 17 2 1 2 3 4 5 18 2 6 7 8 3 1 1 2 19 2 20 2 3 1 21 2 22 2 3 1 23 2 24 2 3 1 25 2 26 2 3 1 27 2 28

More information

LR DEVICE Version 1.1 706434 / 01 04 / 2017 1................................................ 3 1.1............................................... 3 2................................................ 3

More information

ezbus2.PDF

ezbus2.PDF Cool Edit Pro Cubase VST/Nuendo Wavelab Sonar Sound Forge 5.0 I. Cool Edit Pro EZbus Cool Edit Pro Edit Waveform View USB /MIDI Windows Millenium Windows XP EZbus USB MIDI Win 98 SE Win 2000 Cool Edit

More information

~/WWW-local/compIID (WWW IID ) $ mkdir WWW-local $ cd WWW-local $ mkdir compiid 3. Emacs index.html n (a) $ cd ~/WWW/compIID

~/WWW-local/compIID (WWW IID ) $ mkdir WWW-local $ cd WWW-local $ mkdir compiid 3. Emacs index.html n (a) $ cd ~/WWW/compIID 10 10 10.1 1. 2. 3. HTML(HyperText Markup Language) Web [ ][ ] HTML Web HTML HTML Web HTML ~b08a001/www/ ( ) ~b08a001/www-local/ ( ) html ( ) 10.2 WWW WWW-local b08a001 ~b08a001/www/ ~b08a001/www-local/

More information

FFFA001431

FFFA001431 FFFA001431 ...3...3...3...4...4 Mac OS...4 Windows....4...5...5 Mac OS...6 Windows....6 Scarlett 2i2...7 DAW...7...9....9...10...12 Scarlett 2i2...12 Scarlett 2i2...13...14...14...15...16...16...17 Scarlett

More information

Mac OS...4 Windows Mac OS...6 Windows Scarlett Solo...7 DAW

Mac OS...4 Windows Mac OS...6 Windows Scarlett Solo...7 DAW FFFA001410 ...3...3...3...4...4 Mac OS...4 Windows....4...5...5 Mac OS...6 Windows....6...6 Scarlett Solo...7 DAW...7...9...9...10...10...11...13...13...14...15...16...17...17 2 2 Scarlett Solo Focusrite

More information

本機をお使いの方へ

本機をお使いの方へ MA46H/S Windows 98 / NT 4.0 Windows 98 / NT 4.0 MA46H/S BIOS 1999 10 808-877675-132-A 3 AT 6. 1. BIOS P.7 1. Windows 98 Windows Windows 98 Microsoft Windows 98 Windows NT 4.0 Microsoft Windows NT Workstation

More information

WinPSKユーザーズガイド

WinPSKユーザーズガイド 8 ae4jy@mindspring.com - 1 - - 2 - 5 5.. 5 5 5.. 6 6 1 1 1 1 1 1 1 1-3 - Status Bar 36-4 - Peter Martinez, WinPSK MHz Pentium Windows95,98 NT4.0 DX VGA640x480 Help MB RAM CPU WinPSK CPU CPU Too Slow CPU

More information

Introduction Purpose This course explains how to use Mapview, a utility program for the Highperformance Embedded Workshop (HEW) development environmen

Introduction Purpose This course explains how to use Mapview, a utility program for the Highperformance Embedded Workshop (HEW) development environmen Introduction Purpose This course explains how to use Mapview, a utility program for the Highperformance Embedded Workshop (HEW) development environment for microcontrollers (MCUs) from Renesas Technology

More information

Step 1 Feature Extraction Featuer Extraction Feature Extraction Featuer Extraction Image Analysis Start>Programs>Agilent-Life Sciences>Feature Extract

Step 1 Feature Extraction Featuer Extraction Feature Extraction Featuer Extraction Image Analysis Start>Programs>Agilent-Life Sciences>Feature Extract Agilent G2565AA Feature Extraction Step 1 Feature Extraction Step 2 Step 3 Step 4 ( ) Step 5 ( ) Step 6 Step 7 Step 8 Feature Extraction Step 9 Step 10 Feature Extraction Step 11 Feature Extraction Step

More information

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

if clear = 1 then Q <=  ; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst = VHDL 2 1 VHDL 1 VHDL FPGA VHDL 2 HDL VHDL 2.1 D 1 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; regs.vhdl entity regs is clk, rst : in std_logic; clear : in std_logic; we

More information

ProVAL Recent Projects, ProVAL Online 3 Recent Projects ProVAL Online Show Online Content on the Start Page Page 13

ProVAL Recent Projects, ProVAL Online 3 Recent Projects ProVAL Online Show Online Content on the Start Page Page 13 ProVAL Unit System Enable Recording Log Preferred Language Default File Type Default Project Path ProVAL : Unit SystemUse SI Units SI SI USCS Enable Recording Log Language Default File Type Default Project

More information

TOPLON PRIO操作手順

TOPLON PRIO操作手順 TOPLON PRIO 2004/05/24 I/O LON WAGO TOPLON PRIO 1. 1) PCC-10 S/W 2) PC 3) PCC-10 4) Windows Lon WorksR Plug n Play Apply OK 5) Visio LonMaker LonPoint 6) TOPLON PRIO 2. IO-PRO SYM TOPLON-PRIO SNVT NVI

More information

VLD Kazutoshi Kobayashi

VLD Kazutoshi Kobayashi VLD Kazutoshi Kobayashi (kobayasi@kuee.kyoto-u.ac.jp) 2005 8 26-29 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape

More information

Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool

Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that

More information

web06.dvi

web06.dvi 73 6 MATLAB MATLAB GUI GUI M copyright c 2004 Tatsuya Kitamura / All rights reserved. 74 6 6.1 GUI MATLAB GUI property Windows MATLAB UNIX MATLAB GUI Graphical User Interface PC Red Hat Linux 5.2J Vine

More information

1

1 PalmGauss SC PGSC-5G Instruction Manual PalmGauss SC PGSC-5G Version 1.01 PalmGauss SC PGSC5G 1.... 3 2.... 3 3.... 3 3.1... 3 3.2... 3 3.3 PalmGauss... 4 3.4... 4 3.4.1 (Fig. 4)... 4 3.4.2 (Fig. 5)...

More information

2 I I / 61

2 I I / 61 2 I 2017.07.13 I 2 2017.07.13 1 / 61 I 2 2017.07.13 2 / 61 I 2 2017.07.13 3 / 61 7/13 2 7/20 I 7/27 II I 2 2017.07.13 4 / 61 π-computer gnuplot MobaXterm Wiki PC X11 DISPLAY I 2 2017.07.13 5 / 61 Mac 1.

More information

自動シャットタ<3099>ウンクイックインストールカ<3099>イト<3099>.indb

自動シャットタ<3099>ウンクイックインストールカ<3099>イト<3099>.indb OMRON Corporation. 2011 All Rights Reserved. 2 3 4 5 6 7 8 9 10 11 12 13 14 15 title Red Hat Enterprise Linux Server (2.6.18-8.el5xen serial) root (hd0,1) kernel /xen.gz-2.6.18-8.el5 console=vga xencons=ttys16

More information

1 2

1 2 1 1 2 1 2 3 4 5 3 2 3 4 4 1 2 3 4 5 5 5 6 1 1 2 1 8 1 3 1 9 2 10 2 3 1 11 2 12 2 3 1 13 14 2 2 3 1 15 2 1 2 3 4 5 16 2 6 7 8 3 1 1 2 17 2 18 2 3 1 19 2 20 2 3 1 21 2 22 2 3 1 23 2 24 2 3 1 25 2 26 2 3

More information

1 142

1 142 7 1 2 3 4 5 6 7 8 1 142 PhoenixBIOS Setup Utility MainSystem DevicesSecurityPowerOthersBootExit System Time: [XX:XX:XX] Item Specific Help System Date: [XX/XX/XXXX] Floppy Drive: 1.44MB, 3 1 / 2" Hard

More information

137 6 1 2 3 4 5 6 138 6 139 1 2 3 4 5 6 140 6 141 1 2 1 2 142 6 3 143 1 2 144 6 145 1 2 3 4 5 146 6 147 1 1 148 6 1 2 149 1 2 1 2 150 6 151 152 6 1 2 153 1 2 3 154 1 2 6 3 155 156 6 157 158 1 6 2 159 1

More information

Report Template

Report Template f 1 3... 3 PC... 3... 4 12... 12 Web... 12 E-mail FAX... 17... 18 Service Pack 19 UPDATE... 19... 20 web... 21 Version 24 25... 25... 26... 27... 28 29 2 isplever7.1 for Windows isplever7.1 PC isplever7.1

More information

R1RW0408D シリーズ

R1RW0408D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

Axiom_AIR_49_-_UserGuideJP_-_v1.0

Axiom_AIR_49_-_UserGuideJP_-_v1.0 [ WEB ] [ MAIL ] USB MIDI IN MIDI OUT R L R L VOL 3 2 4 5 1 4 8 5 7 3 3 2 2 1 6 B D A C E G F F F F F F 1 2 3 4 5 6 7 8 Appendix MIDI Mode: Messages and Sub-Parameters Modulation Wheel, Fader,

More information

Copyright 2008 All Rights Reserved 2

Copyright 2008 All Rights Reserved 2 Copyright 2008 All Rights Reserved 1 Copyright 2008 All Rights Reserved 2 Copyright 2008 All Rights Reserved 3 Copyright 2008 All Rights Reserved 4 Copyright 2008 All Rights Reserved 5 Copyright 2008 All

More information

ハピタス のコピー.pages

ハピタス のコピー.pages Copyright (C) All Rights Reserved. 10 12,500 () ( ) ()() 1 : 2 : 3 : 2 4 : 5 : Copyright (C) All Rights Reserved. Copyright (C) All Rights Reserved. Copyright (C) All Rights Reserved. Copyright (C) All

More information

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト 3. MAX II IEEE 49. JTAG MII54-.6 PCB PCB Bed-of-nails PCB 98 Joint Test Action Group JTAG IEEE Std. 49. BST PCB BST 3 3. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin Signal Serial Data Out Core

More information

橡EN1165.PDF

橡EN1165.PDF G780(7ZMMP-KK F1C) BIOS Setup 1 G780(7ZMMP-KK F1C) 2 G780(7ZMMP-KK F1C) 3 G780(7ZMMP-KK F1C) 4 G780(7ZMMP-KK F1C) 1st Boot Device 2nd Boot Device 3rd Boot Device S.M.A.R.T. for Hard Disks BootUp Num-Lock

More information

1 2

1 2 1 1 2 3 1 2 3 4 5 2 3 4 4 1 2 3 4 5 5 5 6 1 1 2 3 1 8 1 3 1 9 2 10 2 3 1 11 2 12 13 3 1 2 2 14 2 3 1 15 2 16 2 3 1 17 2 18 2 3 1 19 3 20 3 3 1 21 3 22 3 3 1 23 3 24 3 3 1 25 3 26 3 3 1 27 3 28 3 3 1 29

More information

matrox0

matrox0 Image processing products Hardware/Software Software Hardware INDEX 4 3 2 12 13 15 18 14 11 10 21 26 20 9 8 7 6 5 Hardware 2 MatroxRadient 3 MatroxSolios MatroxMorphis MatroxVio 10 MatroxOrionHD 11 MatroxConcord

More information

FFFA

FFFA FFFA001411-01 ...3...3...3...4...4 Mac OS X...4 Windows....4...5...5...6...6...8 Scarlett 18i8...9...9 USB...9 Mac OS X...9 Windows....9 DAW...10...12....12...13...15 ADAT...16...17 FOCUSRITE CONTROL...18...20...20...22...23...23

More information

C FGIH C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C

C FGIH C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C TUDSR5SET TUDSR5 C 7 8 9 ch DIGITAL CS TUNER C C C C S-A C FGIH C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C

More information

3 SIMPLE ver 3.2: SIMPLE (SIxteen-bit MicroProcessor for Laboratory Experiment) 1 16 SIMPLE SIMPLE 2 SIMPLE 2.1 SIMPLE (main memo

3 SIMPLE ver 3.2: SIMPLE (SIxteen-bit MicroProcessor for Laboratory Experiment) 1 16 SIMPLE SIMPLE 2 SIMPLE 2.1 SIMPLE (main memo 3 SIMPLE ver 3.2: 20190404 1 3 SIMPLE (SIxteen-bit MicroProcessor for Laboratory Experiment) 1 16 SIMPLE SIMPLE 2 SIMPLE 2.1 SIMPLE 1 16 16 (main memory) 16 64KW a (C )*(a) (register) 8 r[0], r[1],...,

More information

Mac OS...4 Windows Mac OS...6 Windows....6 Scarlett 2i4...7 DAW Scarlett 2i

Mac OS...4 Windows Mac OS...6 Windows....6 Scarlett 2i4...7 DAW Scarlett 2i FFFA001395-01 ...3...3...3...4...4 Mac OS...4 Windows....4...5...5 Mac OS...6 Windows....6 Scarlett 2i4...7 DAW...7...9....9...10 Scarlett 2i4................................................... 10 Scarlett

More information

HA8000シリーズ ユーザーズガイド ~BIOS編~ HA8000/RS110/TS10 2013年6月~モデル

HA8000シリーズ ユーザーズガイド ~BIOS編~ HA8000/RS110/TS10 2013年6月~モデル P1E1M01500-3 - - - LSI MegaRAID SAS-MFI BIOS Version x.xx.xx (Build xxxx xx, xxxx) Copyright (c) xxxx LSI Corporation HA -0 (Bus xx Dev

More information

AN 100: ISPを使用するためのガイドライン

AN 100: ISPを使用するためのガイドライン ISP AN 100: In-System Programmability Guidelines 1998 8 ver.1.01 Application Note 100 ISP Altera Corporation Page 1 A-AN-100-01.01/J VCCINT VCCINT VCCINT Page 2 Altera Corporation IEEE Std. 1149.1 TCK

More information

Microsoft Word - PrivateAccess_UM.docx

Microsoft Word - PrivateAccess_UM.docx `````````````````SIRE Page 1 English 3 日本語 7 Page 2 Introduction Welcome to! is a fast, simple way to store and protect critical and sensitive files on any ixpand Wireless Charger. Create a private vault

More information

1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/

1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/ 1 Kazutoshi Kobayashi kobayasi@ieeeorg 2002 12 10-11 1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/kobayasi/kobayasi/refresh/indexhtml,,

More information

PLDとFPGA

PLDとFPGA PLDFPGA 2002/12 PLDFPGA PLD:Programmable Logic Device FPGA:Field Programmable Gate Array Field: Gate Array: LSI MPGA:Mask Programmable Gate Array» FPGA:»» 2 FPGA FPGALSI FPGA FPGA Altera, Xilinx FPGA DVD

More information

2 1,384,000 2,000,000 1,296,211 1,793,925 38,000 54,500 27,804 43,187 41,000 60,000 31,776 49,017 8,781 18,663 25,000 35,300 3 4 5 6 1,296,211 1,793,925 27,804 43,187 1,275,648 1,753,306 29,387 43,025

More information

Specifying the PCB Design Rules and Resolving Violations (PDF Article)

Specifying the PCB Design Rules and Resolving Violations (PDF Article) PCB デザインルールの設定と エラーの解決 アーティクル PCB Design Rule considerations run from schematic capture to final DRC 1 Software, documentation and related materials: Copyright 2002 Altium Limited. All rights reserved.

More information

デジタル回路入門

デジタル回路入門 Open-It FPGA トレーニングコース ( 初級編 ) 第 9 版 2. 組み合わせ回路入門 2.4. 実習 FPGA への実装 2013 年 5 月 10 日修正 まずは動かしてみましょう!! 詳細内容は明日説明します Open-It FPGA トレーニングコース ( 初級 ) 2 FPGA への実装方法 HDL コード Synthesize 論理合成 4 つの要素へ変換 最適化 ISE Implementation

More information

Ver.1 1/17/2003 2

Ver.1 1/17/2003 2 Ver.1 1/17/2003 1 Ver.1 1/17/2003 2 Ver.1 1/17/2003 3 Ver.1 1/17/2003 4 Ver.1 1/17/2003 5 Ver.1 1/17/2003 6 Ver.1 1/17/2003 MALTAB M GUI figure >> guide GUI GUI OK 7 Ver.1 1/17/2003 8 Ver.1 1/17/2003 Callback

More information

2 A I / 58

2 A I / 58 2 A 2018.07.12 I 2 2018.07.12 1 / 58 I 2 2018.07.12 2 / 58 π-computer gnuplot 5/31 1 π-computer -X ssh π-computer gnuplot I 2 2018.07.12 3 / 58 gnuplot> gnuplot> plot sin(x) I 2 2018.07.12 4 / 58 cp -r

More information

Asterix_Users_Manual.book

Asterix_Users_Manual.book 1 Professional Hybrid Creative Tablet (DTH-A1300) 1 2 1 B VCCI-B Cintiq Wacom Adobe Adobe Photoshop Microsoft Windows Apple Apple Mac TM Cintiq Companion Hybrid Version 1.1, Rev B2514 2014 Wacom Co., Ltd.

More information

Rotem Meter View Software

Rotem Meter View Software Rotem Meter View (RMV) Version 2.05 Rotem Meter View Software PRIR42X9.DOC Page 1 1... 3 2... 3 3... 3 4... 4 5... 4 5.1 PC COM... 4 5.2 Excel... 5 5.3... 5 5.3.1... 5 5.3.2 Lost Contact Interval... 6

More information

CAC

CAC VOL.24NO.1 61 IMS Transaction 3270 DataBase Transaction OS/370 IMS Traditional Transaction Web Browser Transaction Internet WWW AP IIS APache WebLogic Websphere DataBase Oracle DB2 SQL Server Web Browser

More information

2

2 L C -60W 7 2 3 4 5 6 7 8 9 0 2 3 OIL CLINIC BAR 4 5 6 7 8 9 2 3 20 2 2 XXXX 2 2 22 23 2 3 4 5 2 2 24 2 2 25 2 3 26 2 3 6 0 2 3 4 5 6 7 8 9 2 3 0 2 02 4 04 6 06 8 08 5 05 2 3 4 27 2 3 4 28 2 3 4 5 2 2

More information

Report Template

Report Template 1 ( ) 4... 4... 4 ispvm system... 5... 6... 6... 7 I/O... 7 USB... 9... 12 ( )... 14... 15 ( ) 16... 16 Dual Boot... 16 Primary Image file... 19 USERCODE/UES... 21 I/O... 22... 24 ATE... 26 SVF... 29 SVF...

More information

untitled

untitled 13 Verilog HDL 16 CPU CPU IP 16 1023 2 reg[ msb: lsb] [ ]; reg [15:0] MEM [0:1023]; //16 1024 16 1 16 2 FF 1 address 8 64 `resetall `timescale 1ns/10ps module mem8(address, readdata,writedata, write, read);

More information

cover1.indd

cover1.indd OMRON Corporation. 2010 All Rights Reserved. Power Credit UPS PowerAct Pro Ver.4.x PA PowerAct Pro PA UPS Power Credit 2 3 4 5 6 7 8 9 10 11 12 13 title Red Hat Enterprise Linux Server (2.6.18-8.el5xen

More information

Nios II Flash Programmer ユーザ・ガイド

Nios II Flash Programmer ユーザ・ガイド ver. 8.0 2009 年 4 月 1. はじめに 本資料は Nios II 開発環境においてフラッシュメモリ または EPCS へのプログラミングを行う際の参考マニュアルです このマニュアルでは フラッシュメモリの書き込みの際に最低限必要となる情報を提供し さらに詳しい情報はアルテラ社資料 Nios II Flash Programmer User Guide( ファイル名 :ug_nios2_flash_programmer.pdf)

More information

PDW-75MD

PDW-75MD 3-270-633-02(1) PDW-75MD 2007 Sony Corporation m a b c 2 ... 2 6 6... 8... 8 1... 10... 10... 12... 13... 13... 19... 23 2... 25... 26... 27... 27... 28... 29... 29... 29... 30... 31... 33 3... 34... 34...

More information

Kaplan-Meierプロットに付加情報を追加するマクロの作成

Kaplan-Meierプロットに付加情報を追加するマクロの作成 Kaplan-Meier 1, 2,3 1 2 3 A SAS macro for extended Kaplan-Meier plots Kengo Nagashima 1, Yasunori Sato 2,3 1 Department of Parmaceutical Technochemistry, Josai University 2 School of Medicine, Chiba University

More information

FFFA

FFFA FFFA001299-01 ...3...4...4 Clarett...5 Mixing & Routing...6...7 Output...8 Input...10 Clarett...13...15 Direct Routing...15 2 Channel Analogue...17 8 Channel Analogue...18 Digital....19 Empty...19...20...20...21.......................................................

More information

Oracle Lite Tutorial

Oracle Lite Tutorial GrapeCity -.NET with GrapeCity - SPREAD Creation Date: Nov. 30, 2005 Last Update: Nov. 30, 2005 Version: 1.0 Document Control Internal Use Only Author Hiroshi Ota Change Logs Date Author Version Change

More information