橡挿入法の実践

Size: px
Start display at page:

Download "橡挿入法の実践"

Transcription

1 PAGE:1 7JFC1121

2 PAGE:2 7JFC1121

3 PAGE:3 7JFC1121

4 Kadai_1.pas program input_file;{7jfc { type item = record id : integer; math : integer; english : integer; var wfile data flag id_no filename : file of item; : item; : integer; : integer; : string[12]; { { writeln(' writeln('created By eucalyptus writeln(' write(' readln(filename); { assign(wfile,filename); rewrite (wfile); { writeln(' flag := 1; id_no := 1000; with data do while flag <> 0 do id_no := id_no + 1; write('* id := id_no; read(math,english); flag := math + english; if (flag <> 0) then PAGE:4 7JFC1121

5 end. end write(wfile,data) close(wfile); writeln(' PAGE:5 7JFC1121

6 kadai_11.pas program kadai_11; {7JFC1121 { type item = record id : integer; math : integer; english : integer type ar_int = array[0..51,0..2] of integer; var readfile : file of item ; data : item ; filename : string[12] ; o_data : ar_int ; temp,flag,flag2,i,j,k,w,x,y,z : integer ; { procedure sort_ins(var o_data : ar_int ; flag,i,j,k,w,x,y,z : integer); for i := 2 to 50 do x := o_data[i,0]; y := o_data[i,1]; z := o_data[i,2]; w := o_data[i,flag]; o_data[0,flag] := w; j := i - 1; while w < o_data[j,flag] do o_data[j+1,0] := o_data[j,0]; o_data[j+1,1] := o_data[j,1]; o_data[j+1,2] := o_data[j,2]; j := j-1 o_data[j+1,0] := x; o_data[j+1,1] := y; o_data[j+1,2] := z; o_data[j+1,flag] := w; end { procedure sort_ins_rev(var o_data : ar_int ; PAGE:6 7JFC1121

7 flag,i,j,k,w,x,y,z : integer); for i := 49 downto 1 do x := o_data[i,0]; y := o_data[i,1]; z := o_data[i,2]; w := o_data[i,flag]; o_data[51,flag] := w; j := i + 1; while w < o_data[j,flag] do o_data[j-1,0] := o_data[j,0]; o_data[j-1,1] := o_data[j,1]; o_data[j-1,2] := o_data[j,2]; j := j+1 o_data[j-1,0] := x; o_data[j-1,1] := y; o_data[j-1,2] := z; o_data[j-1,flag] := w; end { { writeln(' writeln('created By eucalyptus write (' : readln (filename); { assign(readfile,filename); reset(readfile); { with data do for temp := 1 to 50 do read(readfile,data); PAGE:7 7JFC1121

8 o_data[temp,0] := id; o_data[temp,1] := math; o_data[temp,2] := english { close(readfile); { writeln(' write('[0: () 1: 2: ]: readln(flag); write('[1: 2: ]: readln(flag2); { if flag2 = 1 then sort_ins(o_data,flag,i,j,k,w,x,y,z) if flag2 = 2 then sort_ins_rev(o_data,flag,i,j,k,w,x,y,z) { writeln(' write('[ ',filename,' ] if flag = 0 then write(' if flag = 1 then write(' if flag = 2 then write(' write(' if flag2 = 1 then write(' if flag2 = 2 then write(' writeln(' writeln('id: MT: EN: writeln(' writeln('id MT EN ID MT EN ID MT EN ID MT EN ID MT EN for temp := 1 to 10 do i := temp + 10; PAGE:8 7JFC1121

9 j := temp + 20; k := temp + 30; w := temp + 40; write (o_data[temp,0],' ',o_data[temp,1],' ',o_data[temp,2],' write (o_data[i,0],' ',o_data[i,1],' ',o_data[i,2],' write (o_data[j,0],' ',o_data[j,1],' ',o_data[j,2],' write (o_data[k,0],' ',o_data[k,1],' ',o_data[k,2],' writeln(o_data[w,0],' ',o_data[w,1],' ',o_data[w,2],' ') end end. PAGE:9 7JFC1121

10 kadai_1.exe off del autolog.log echo echo seiseki.dat> autolog.dat echo 0>> autolog.dat echo 1>> autolog.dat echo *******************************************************************************>>autolog.log kadai_11 <autolog.dat >autolog.log echo seiseki.dat> autolog.dat echo 0>> autolog.dat echo 2>> autolog.dat echo *******************************************************************************>>autolog.log kadai_11 <autolog.dat >>autolog.log echo seiseki.dat> autolog.dat echo 1>> autolog.dat echo 1>> autolog.dat echo *******************************************************************************>>autolog.log kadai_11 <autolog.dat >>autolog.log echo seiseki.dat> autolog.dat echo 1>> autolog.dat echo 2>> autolog.dat echo *******************************************************************************>>autolog.log kadai_11 <autolog.dat >>autolog.log echo seiseki.dat> autolog.dat echo 2>> autolog.dat echo 1>> autolog.dat echo *******************************************************************************>>autolog.log kadai_11 <autolog.dat >>autolog.log echo seiseki.dat> autolog.dat echo 2>> autolog.dat echo 2>> autolog.dat kadai_11 <autolog.dat >>autolog.log echo *******************************************************************************>>autolog.log kadai_11 <autolog.dat >>autolog.log echo PAGE:10 7JFC1121

11 seiseki.dat PAGE:11 7JFC1121

12 Created By eucalyptus *************************************************** Created By eucalyptus :seiseki.dat [0: () 1: 2: ]:1 [1: 2: ]:1 [ seiseki.dat ] ID: MT: EN: ID MT EN ID MT EN ID MT EN ID MT EN ID MT EN PAGE:12 7JFC1121

13 PAGE:13 7JFC1121

14 PAGE:14 7JFC1121

15 PAGE:15 7JFC1121

橡ソート手順比較

橡ソート手順比較 PAGE:1 [Page] 20 1 20 20 QuickSort 21 QuickSort 21 21 22 QuickSort 22 QuickSort 22 23 0 23 QuickSort 23 QuickSort 24 Order 25 25 26 26 7 26 QuickSort 27 PAGE:2 PAGE:3 program sort; { { type item = record

More information

xl 1 program Othello6; 2 {$APPTYPE CONSOLE} 3 uses SysUtils; 4 5 type 6 TMasuNo = 0..99; // 7 TYouso = (Soto,Kara,Kuro,Siro); // 8 TBan = array [TMasu

xl 1 program Othello6; 2 {$APPTYPE CONSOLE} 3 uses SysUtils; 4 5 type 6 TMasuNo = 0..99; // 7 TYouso = (Soto,Kara,Kuro,Siro); // 8 TBan = array [TMasu xl 1 program Othello6; 2 {$APPTYPE CONSOLE 3 uses SysUtils; 4 5 type 6 TMasuNo = 0..99; // 7 TYouso = (Soto,Kara,Kuro,Siro); // 8 TBan = array [TMasuNo] of TYouso; // 10 10 9 TPlayer = Kuro..Siro; // 10

More information

Pascal Pascal Free Pascal CPad for Pascal Microsoft Windows OS Pascal

Pascal Pascal Free Pascal CPad for Pascal Microsoft Windows OS Pascal Pascal Pascal Pascal Free Pascal CPad for Pascal Microsoft Windows OS 2010 10 1 Pascal 2 1.1.......................... 2 1.2.................. 2 1.3........................ 3 2 4 2.1................................

More information

第10回 コーディングと統合(WWW用).PDF

第10回 コーディングと統合(WWW用).PDF 10 January 8, 2004 algorithm algorithm algorithm (unit testing) (integrated testing) (acceptance testing) Big-Bang (incremental development) (goto goto DO 50 I=1,COUNT IF (ERROR1) GO TO 60 IF (ERROR2)

More information

2004.11.29 4 Communication1 program communication1(input, output); procedure double; r1, r2: real; r2 := 2 * r1; double; end. Communication1 program communication1(input, output); procedure double; r1,

More information

ex01.dvi

ex01.dvi ,. 0. 0.0. C () /******************************* * $Id: ex_0_0.c,v.2 2006-04-0 3:37:00+09 naito Exp $ * * 0. 0.0 *******************************/ #include int main(int argc, char **argv) { double

More information

ビットリアカップ2007けいはんなサイクルレースリザルト

ビットリアカップ2007けいはんなサイクルレースリザルト Page 4/30 Page 5/30 Page 6/30 Page 7/30 Page 8/30 Page 9/30 Page 10/30 Page 11/30 Page 12/30 Page 13/30 Page 14/30 Page 15/30 Page 16/30 Page 17/30 Page 18/30 Page 19/30 Page 20/30 Page 21/30 Page 22/30

More information

Page 1

Page 1 Page 1 Page 2 Page 3 Page 4 620 628 579-41 -6.7-49 -7.9 71 41 47-24 -33.3 6 15.9 11.5 6.5 8.1 36 15 22-14 -38.9 7 43.4 Page 5 277 302 23 24 12/3Q 12/4Q 13/1Q 13/2Q 13/3Q 13/4Q 14/1Q 14/2Q 14/3Q 14/4Q 15/1Q

More information

2005 D Pascal CASL ( ) Pascal C 3. A A Pascal TA TA TA

2005 D Pascal CASL ( ) Pascal C 3. A A Pascal TA TA TA 2005 D 1 1.1 1.2 Pascal CASL ( ) Pascal 1. 2005 10 13 2006 1 19 12 2. C 3. A A 2 1 2 Pascal 1.3 1. 2. TA TA TA sdate@ist.osaka-u.ac.jp nakamoto@image.med.osaka-u.ac.jp h-kido@ist.osaka-u.ac.jp m-nakata@ist.osaka-u.ac.jp

More information

TheRecordx.indd

TheRecordx.indd March 2015 Contents 2/2-13 2/12 THE RECORD 2015-03 2 focus 3 THE RECORD 2015 03 THE RECORD 2015 03 4 focus 5 THE RECORD 2015 03 THE RECORD 2015 03 6 01 02 03 04 05 06 07 08 7 THE RECORD 2015 03 09 10 11

More information

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

if clear = 1 then Q <=  ; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst = VHDL 2 1 VHDL 1 VHDL FPGA VHDL 2 HDL VHDL 2.1 D 1 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; regs.vhdl entity regs is clk, rst : in std_logic; clear : in std_logic; we

More information

2009 D Pascal CASL II ( ) Pascal C 3. A A Pascal TA TA

2009 D Pascal CASL II ( ) Pascal C 3. A A Pascal TA TA 2009 D 1 1.1 1.2 Pascal CASL II ( ) Pascal 1. 2009 10 15 2010 1 29 16 2. C 3. A A 2 1 2 Pascal 1.3 1. 2. TA enshud@image.med.osaka-u.ac.jp TA enshu-d@image.med.osaka-u.ac.jp nakamoto@image.med.osaka-u.ac.jp

More information

18/02/18 14:39 PAGE : : : : : : : : :

18/02/18 14:39 PAGE : : : : : : : : : 18/02/18 14:39 PAGE-1 1 84 3:37.84 2 79 3:43.24 3 83 3:45.45 4 51 3:45.52 5 69 3:50.18 6 85 3:50.88 7 68 3:54.57 8 67 3:56.56 9 73 4:00.78 10 38 4:00.97 11 82 4:01.65 12 32 4:04.21 13 80 4:04.89 14 29

More information

2011 D Pascal CASL II ( ) Pascal C 3. A A Pascal TA TA enshu-

2011 D Pascal CASL II ( ) Pascal C 3. A A Pascal TA TA enshu- 2011 D 1 1.1 1.2 Pascal CASL II ( ) Pascal 1. 2011 10 6 2011 2 9 15 2. C 3. A A 2 1 2 Pascal 1.3 1. 2. TA enshud@fenrir.ics.es.osaka-u.ac.jp TA enshu-d@fenrir.ics.es.osaka-u.ac.jp higo@ist.osaka-u.ac.jp

More information

橡Pascal-Tの挙動を調べる

橡Pascal-Tの挙動を調べる PROGRAM SAMPLE01(INPUT, OUTPUT); BEGIN END. PROGRAM SAMPLE02(INPUT, OUTPUT); VAR X, Y, Z : INTEGER; BEGIN X := 1; Y := 2; Z := X + Y; WRITELN(Z); END. #!/usr/local/bin/perl #PASCAL-T OBJECT MAC FILE

More information

- 1 - - 0.5%5 10 10 5 10 1 5 1

- 1 - - 0.5%5 10 10 5 10 1 5 1 - - - 1 - - 0.5%5 10 10 5 10 1 5 1 - 2 - - - - A B A A A B A B B A - 3 - - 100 100 100 - A) ( ) B) A) A B A B 110 A B 13 - 4 - A) 36 - - - 5 - - 1 - 6-1 - 7 - - 8 - Q.15 0% 10% 20% 30% 40% 50% 60% 70%

More information

TheRecord.indd

TheRecord.indd December 2015 The Record vol.673 Contents 10/26 10/27 11/4 11/8 THE RECORD 2015-12 2 focus 3 THE RECORD 2015 12 THE RECORD 2015 12 4 focus 5 THE RECORD 2015 12 THE RECORD 2015 12 6 focus 1 2 3 5 4 7 THE

More information

基礎情報処理 I (文字型)

基礎情報処理 I (文字型) プログラミング 1 ( 文字型 ) program character1; a,b,c: char; writeln('1 文字づつ3 文字入力してください :'); readln(a); readln(b); readln(c); write(a); write(b); write(c); writeln; a,b,c:char; a:='a'; b:='b'; c:='c'; write(a);

More information

宿泊産業活性化のための実証実験

宿泊産業活性化のための実証実験 121 32 10 12 12 19 2 15 59 40 33 34 35 36 37 38 3637 20 39 12 19 OFF 2008/12/19 2008/12/25 3 1 1 72,000 2008/12/19 2008/12/26 2 1 1 36,000 2008/12/28 2009/1/5 2 1 1 24,000 2009/1/6 2009/1/16 3 1 1 25,200

More information

901 902 2 40 5 786 30 2 2 100 10100200 903 904 2 3 2 12 905 6765 30 3 61016 1 10162532 253240 2 2 1 2 100 24 45 545 1 2 2 510 1515 1010 50 300 0 10 2942 560 2 1 1 2 24 15 2565 2 10 2942 560 3 3 56 03 18

More information

1037 1038 2 40 5 876 30 2 2 100 10100200 1039 1040 2 3 2 12 1041 6765 30 1 1 2 2 1 2 100 24 45 545 1 2 2 510 1515 1010 50 300 0 10 2942 560 2 1 3 2 10 2942 560 3 61016 1 10162532 253240 1 2 24 15 2565

More information

B 90 Canvas.Pen.Width := PenWidth; NewData; (* FormCreate (*********************** ******************* procedure TFormSorting.DrawOne(No : TDat

B 90 Canvas.Pen.Width := PenWidth; NewData; (* FormCreate (*********************** ******************* procedure TFormSorting.DrawOne(No : TDat B 89 14 14.1 14.1.1 SortingU SortingP 14.1.2 Form Name FormSorting Caption Position podesktopcenter 14.1.3 14.1.4 const NoMax = 400; DataMax = 600; PenWidth = 2; type TDataNo = 0..NoMax; TData = array

More information

1. A0 A B A0 A : A1,...,A5 B : B1,...,B

1. A0 A B A0 A : A1,...,A5 B : B1,...,B 1. A0 A B A0 A : A1,...,A5 B : B1,...,B12 2. 3. 4. 5. A0 A B f : A B 4 (i) f (ii) f (iii) C 2 g, h: C A f g = f h g = h (iv) C 2 g, h: B C g f = h f g = h 4 (1) (i) (iii) (2) (iii) (i) (3) (ii) (iv) (4)

More information

B Simon (Trump ) SimonU.pas SimonP.dpr Name FormSimon Caption Position podesktopcenter uses Windows, Messages, SysUtils,

B Simon (Trump ) SimonU.pas SimonP.dpr Name FormSimon Caption Position podesktopcenter uses Windows, Messages, SysUtils, B 132 20 1 1 20.1 20.1.1 1 52 10 1 2 3... 7 8 8 8 20.1.2 1 5 6 7 3 20.1.3 1 3 8 20.1.4 13 20.1.5 4 1 (solitaire) B 133 20.2 20.2.1 Simon (Trump ) SimonU.pas SimonP.dpr 20.2.2 Name FormSimon Caption Position

More information

TheRecordx.indd

TheRecordx.indd Monthly News Digest Contents 1/27 1/28 THE RECORD 2016-03 2 focus 3 THE RECORD 2016 03 THE RECORD 2016 03 4 focus 5 THE RECORD 2016 03 THE RECORD 2016 03 6 01 02 03 04 05 06 07 08 7 THE RECORD 2016 03

More information

untitled

untitled II 4 Yacc Lex 2005 : 0 1 Yacc 20 Lex 1 20 traverse 1 %% 2 [0-9]+ { yylval.val = atoi((char*)yytext); return NUM; 3 "+" { return + ; 4 "*" { return * ; 5 "-" { return - ; 6 "/" { return / ; 7 [ \t] { /*

More information

TheRecordx.indd

TheRecordx.indd Monthly News Digest Contents 4/6 4/26 4/22 26 THE RECORD 2016-06 2 focus 3 THE RECORD 2016 06 THE RECORD 2016 06 4 focus 5 THE RECORD 2016 06 40.9% 37.5% 45.2% 46.7% 56.0% THE RECORD 2016 06 6 focus 7

More information

(18,26) 10/ GHz.xls [ (18GHz) ] GHz.xls [ (26GHz) ] +----all.sh [ 2 10 ] +----mesure-ryudai/ / _csv.log [

(18,26) 10/ GHz.xls [ (18GHz) ] GHz.xls [ (26GHz) ] +----all.sh [ 2 10 ] +----mesure-ryudai/ / _csv.log [ I 065762A 19.08.19 19.08.24 19.08.20 1 (18,26) 10/ +----18GHz.xls [ (18GHz) ] +----26GHz.xls [ (26GHz) ] +----all.sh [ 2 10 ] +----mesure-ryudai/ +----20070401/ +----192.168.100.9_csv.log [ (18GHz) ] +----192.168.100.11_csv.log

More information

netcdf

netcdf 1. Anetcdf.rb netcdf C ruby open new create NetCDF C filename String NetCDF NetCDF_open mode r r, w share false true or false open open netcdf filename String NetCDF NetCDF_create noclobber false true

More information

1 シミュレーションとは何か?

1 シミュレーションとは何か? Delphi P.1/16 Delphi Delphi Object Pascal Delphi Delphi Delphi (Borland) Windows Turbo Pascal Pascal Delphi Turbo Pascal Windows Pascal FORTRAN BASIC Java Algol Algol Pascal Pascal Pascal Pascal Delphi

More information

OS Windows Mac OS Windows Mac OS Windows XP Mac OS X OS Windows 95 Mac OS

OS Windows Mac OS Windows Mac OS Windows XP Mac OS X OS Windows 95 Mac OS About use of the Chinese character which is not in a computer Reuse and sharing of data by the large-scale character set and the Macro program HUKUDA Sinobu 1 125000 800 JIS 2 2000 3 1 488 545 2 JIS X

More information

Microsoft PowerPoint - while.ppt

Microsoft PowerPoint - while.ppt 本日の内容 繰り返し計算 while 文, for 文 例題 1. 自然数の和例題 2. 最大公約数の計算例題 3. ベクトルの長さ while 文例題 4. 九九の表 for 文と繰り返しの入れ子例題 5. ド モアブルの公式計算誤差の累積 今日の到達目標 繰り返し (while 文, for 文 ) を使って, 繰り返し計算を行えるようになること ループカウンタとして, 整数の変数を使うこと 今回も,

More information

AJAN IO制御コマンド コマンドリファレンス

AJAN IO制御コマンド コマンドリファレンス - 1 - Interface Corporation 1 3 2 4 2.1...4 2.2...8 2.3...9 2.4...19 2.5...20 3 21 3.1...21 3.2...23 3.3...24 3.4...28 3.5...29 30 31 Interface Corporation - 2 - 1 AJANI/O Linux Web site GPG-2000 http://www.interface.co.jp/catalog/soft/prdc_soft_all.asp?name=gpg-2000

More information

1. A0 A B A0 A : A1,...,A5 B : B1,...,B12 2. 5 3. 4. 5. A0 (1) A, B A B f K K A ϕ 1, ϕ 2 f ϕ 1 = f ϕ 2 ϕ 1 = ϕ 2 (2) N A 1, A 2, A 3,... N A n X N n X N, A n N n=1 1 A1 d (d 2) A (, k A k = O), A O. f

More information

TheRecordx.indd

TheRecordx.indd Monthly News Digest Contents 6/8 6/24 7/7 THE RECORD 2016-08 2 focus 3 THE RECORD 2016 08 4 THE RECORD 2016 08 5 THE RECORD 2016 08 focus 6 THE RECORD 2016 08 focus 7 THE RECORD 2016 08 COLUMN THE RECORD

More information

XpressMemoForDash.dvi

XpressMemoForDash.dvi Xpress-MP 1 Ver 1.1 2006. 2. 16 (Ver1.0) 2006. 3. 1 (Ver1.1) 1 2006 3 Xpress-MP Xpress-MP 2006 Xpress-MP,. Dash [1]. mosel,, mosel.,,?, shokosv Xpress-MP,., 2. Example. 1 Xpress-MP 2 mosel 3 mosel 4, shakosv

More information

D0020.PDF

D0020.PDF n 3 X n Y n = Z n 17 1995 300 n n 2 3 2 a b c c 2 a 2 b 2 600 2000 322 3 15 2 3 580 3 1 5 4 3 2 1 300 2 1 2 1 1 ExcelVBA 2 VBA 1 VBA 2 API Sleep ExcelVBA 2 100 60 80 50 ExcelVBA API Sleep 3 100 60 (80

More information

プログラミングI (手続き)

プログラミングI (手続き) プログラミング 1 ( 手続き ) const n = 3; TVector = array[1..n] of integer; // 3 次元ベクトルを表示するための手続き procedure print(a:tvector); write('('); for i := 1 to n-1 do write(a[i],','); write(a[n],')'); // プログラム本体 vec: TVector;

More information

情報活用資料-03-20150604

情報活用資料-03-20150604 cp hello.f90 echo.f90 mv echo.f90 echofile.f90 cp echofile.f90 echo.f90 7 8 9 Echo key input program echo character(80):: A read (5,*) A write (6,*) A stop end program echo chracter read 10 Echo key input

More information

fiš„v3.dvi

fiš„v3.dvi (2001) 49 2 261 275 Web 1 1 2001 2 21 2001 4 26 Windows OS Web Windows OS, DELPHI, 1. Windows OS. DELPHI Web DELPHI ALGOL PASCAL VISUAL BASIC C++ JAVA DELPHI Windows OS Linux OS KyLix Mac OS (ver 10) JAVA

More information

untitled

untitled Summer 2008 1 7 12 14 16 16 16 SAS Academic News B-8 4 B-9 6 B-11 7 B-15 10 DATA _NULL_; dlm=","; char1="" char2="" char3="15" char4="a",,15,a results=catx(dlm, OF char1-char4); PUT results; DATA

More information

Lesson 1 1 EXVBA2000 Lesson01 Lesson01.xls 2

Lesson 1 1 EXVBA2000 Lesson01 Lesson01.xls 2 Excel2000VBA L e a r n i n g S c h o o l 1 Lesson 1 1 EXVBA2000 Lesson01 Lesson01.xls 2 3 Module1:(General)- Public Sub () Dim WS As Object Dim DiffDate As Integer Dim MaxRows As Integer, CopyRows As Integer

More information

( ) 1 Windows HTML ( ) ( ) ( ) WWW 10 ( )

( ) 1 Windows HTML ( ) ( ) ( ) WWW 10 ( ) ( ) 1 Windows HTML ( ) ( ) ( ) 1. 2. 3. 4. WWW 10 ( ) 2 1. 2. 1 3. ( ) 4. 5. 3 Windows 2 7 8 MS Word MS Excel 1. MS Word 600 2. MS Excel 1 34 2 83 3 23 4 70 5 100 6 45 7 58 8 29 9 68 10 72 11 37 12 12

More information

Compiled MODELSでのDFT位相検出装置のモデル化と評価

Compiled MODELSでのDFT位相検出装置のモデル化と評価 listsize TPBIG.EXE /Mingw32 ATP (Alternative Transients Program)- EMTP ATP ATP ATP ATP(TPBIG.EXE) EMTP (ATP)FORTAN77 DIMENSION C malloc listsize TACS DIMENSIONEMTP ATP(TPBIG.EXE) listsize (CPU ) RL 4040

More information

num2.dvi

num2.dvi kanenko@mbk.nifty.com http://kanenko.a.la9.jp/ 16 32...... h 0 h = ε () 0 ( ) 0 1 IEEE754 (ieee754.c Kerosoft Ltd.!) 1 2 : OS! : WindowsXP ( ) : X Window xcalc.. (,.) C double 10,??? 3 :, ( ) : BASIC,

More information

TheRecordx.indd

TheRecordx.indd Monthly News Digest Contents 7/27 28 THE RECORD 2016-09 2 focus 67.1% 32.9% 14.1 23.9 22.5 19.7 35.2 32.4 31.0 0 20 40 (%) 70.3 35.9 21.4 9.7 2.8 0 20 40 60 80 (%) 3 THE RECORD 2016 09 57.9% 42.1% 1 19pt

More information

ex01.dvi

ex01.dvi ,. 0. 0.0. C () /******************************* * $Id: ex_0_0.c,v.2 2006-04-0 3:37:00+09 naito Exp $ * * 0. 0.0 *******************************/ #include int main(int argc, char **argv) double

More information

syspro-0405.ppt

syspro-0405.ppt 3 4, 5 1 UNIX csh 2.1 bash X Window 2 grep l POSIX * more POSIX 3 UNIX. 4 first.sh #!bin/sh #first.sh #This file looks through all the files in the current #directory for the string yamada, and then prints

More information

: gettoken(1) module P = Printf exception End_of_system (* *) let _ISTREAM = ref stdin let ch = ref ( ) let read () = (let c =!ch in ch := inp

: gettoken(1) module P = Printf exception End_of_system (* *) let _ISTREAM = ref stdin let ch = ref ( ) let read () = (let c =!ch in ch := inp 7 OCaml () 1. 2. () (compiler) (interpreter) 2 OCaml (syntax) (BNF,backus normal form ) 1 + 2; let x be 2-1 in x; ::= ; let be in ; ::= + - ::= * / ::= 7.1 ( (printable characters) (tokens) 1 (lexical

More information

Autumn 2005 1 9 13 14 16 16 DATA _null_; SET sashelp.class END=eof; FILE 'C: MyFiles class.txt'; /* */ PUT name sex age; IF eof THEN DO; FILE LOG; /* */ PUT '*** ' _n_ ' ***'; END; DATA _null_;

More information

<リスト1> AD コンバータへのデータの出力例 NEC PC98 用 mov al,22h // CLK -> 1, CS -> 0, DI -> 0 out 32h,al // シリアル ポートにデータ出力 PC/AT 互換機用 mov al,00h // CLK -> 1 mov dx,3fb

<リスト1> AD コンバータへのデータの出力例 NEC PC98 用 mov al,22h // CLK -> 1, CS -> 0, DI -> 0 out 32h,al // シリアル ポートにデータ出力 PC/AT 互換機用 mov al,00h // CLK -> 1 mov dx,3fb AD コンバータへのデータの出力例 NEC PC98 用 mov al,22h // CLK -> 1, CS -> 0, DI -> 0 out 32h,al // シリアル ポートにデータ出力 PC/AT 互換機用 mov al,00h // CLK -> 1 mov dx,3fbh out dx al // シリアル ポートにデータ出力 mov al,03h // CS -> 0,

More information

com.ibm.etools.egl.jsfsearch.tutorial.doc.ps

com.ibm.etools.egl.jsfsearch.tutorial.doc.ps EGL JSF ii EGL JSF EGL JSF.. 1................. 1 1:.... 3 Web.......... 3........... 3........ 4......... 7 2:...... 7..... 7 SQL.... 8 JSF.... 10 Web.... 12......... 13 3: OR....... 14 OR... 14.15 OR.....

More information

デザインパフォーマンス向上のためのHDLコーディング法

デザインパフォーマンス向上のためのHDLコーディング法 WP231 (1.1) 2006 1 6 HDL FPGA TL TL 100MHz 400MHz HDL FPGA FPGA 2005 2006 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx,

More information

TheRecord.indd

TheRecord.indd August 2015 The Record vol.669 Contents 6/23 7/13 16 6/26 THE RECORD 2015-08 2 focus 01 02 3 THE RECORD 2015 08 03 04 THE RECORD 2015 08 4 focus 5 THE RECORD 2015 08 THE RECORD 2015 08 6 focus 1 SHOP DATA

More information

untitled

untitled Fortran90 ( ) 17 12 29 1 Fortran90 Fortran90 FORTRAN77 Fortran90 1 Fortran90 module 1.1 Windows Windows UNIX Cygwin (http://www.cygwin.com) C\: Install Cygwin f77 emacs latex ps2eps dvips Fortran90 Intel

More information

L N P Y F C T V W Z I X Pentomino Form Name Caption Position FormMain podesktopcenter

L N P Y F C T V W Z I X Pentomino Form Name Caption Position FormMain podesktopcenter 1. 1 1 1.1 5 12 60 3 20 4 15 5 12 6 10 12 L N P Y F C T V W Z I X 1.1.1 1.2 Pentomino 1.2.1 Form Name Caption Position FormMain podesktopcenter 1.2.2 unit PentominoU; interface uses Windows, Messages,

More information

2004 Copyright by Tatsuo Minohara Programming with Mac OS X in Lambda 21 - page 2

2004 Copyright by Tatsuo Minohara Programming with Mac OS X in Lambda 21 - page 2 Living with Mac OS X in Lambda 21 2004 Copyright by Tatsuo Minohara Programming with Mac OS X in Lambda 21 - page 1 2004 Copyright by Tatsuo Minohara Programming with Mac OS X in Lambda 21 - page 2 2004

More information

MacOSXLambdaJava.aw

MacOSXLambdaJava.aw Living with Mac OS X in Lambda 21 2005 Copyright by Tatsuo Minohara Programming with Mac OS X in Lambda 21 - page 1 2005 Copyright by Tatsuo Minohara Programming with Mac OS X in Lambda 21 - page 2 2005

More information

/

/ / 1 UNIX AWK( ) 1.1 AWK AWK AWK A.V.Aho P.J.Weinberger B.W.Kernighan 3 UNIX AWK GNU AWK 1 1.2 1 mkdir ~/data data ( ) cd data 1 98 MS DOS FD 1 2 AWK 2.1 AWK 1 2 1 byte.data 1 byte.data 900 0 750 11 810

More information

Excel Excel Excel = Excel ( ) 1

Excel Excel Excel = Excel ( ) 1 10 VBA / 10 (2016 06 21 ) Excel Excel Excel 20132 20 = 1048576 Excel 201316 100 10 (2016 06 21 ) 1 Excel VBA Excel Excel 2 20 Excel QR Excel R QR QR BLASLAPACK 10 (2016 06 21 ) 2 VBA VBA (Visual Basic

More information

Oracle Lite Tutorial

Oracle Lite Tutorial GrapeCity -.NET with GrapeCity - FlexGrid Creation Date: Nov. 30, 2005 Last Update: Nov. 30, 2005 Version: 1.0 Document Control Internal Use Only Author Hiroshi Ota Change Logs Date Author Version Change

More information

O(N) ( ) log 2 N

O(N) ( ) log 2 N 2005 11 21 1 1.1 2 O(N) () log 2 N 1.2 2 1 List 3-1 List 3-3 List 3-4? 3 3.1 3.1.1 List 2-1(p.70) 1 1 10 1 3.1.2 List 3-1(p.70-71) 1 1 2 1 2 2 1: 1 3 3.1.3 1 List 3-1(p.70-71) 2 #include stdlib.h

More information

いま本文ー校了データ0822.indd

いま本文ー校了データ0822.indd CONTENTS 02 04 06 page 02 1 2 54.0% 38.0% 35.4% 24.2% 71.8% 98.8% 38.1% 23.4% 21.2% 14.5% 56.7% 1 2 10.8% 18.6% 14.5% 18.2% 37.0% 73.281.5 44.729.5 24.336.7 14.733.9 26.622.8 19.824.6 36.6% 30.9% 25.0%

More information

2012_00表紙

2012_00表紙 02 Network Program 05 1 2 3 4 5 6 1 13 60 5,800 06 07 Program 10 12 Program 14 Program 18 20 Program 22 24 Program 25 26 27 28 29 30 31 32 Program 33 34 35 40 Program 41 42 43 44 45 46 Program

More information

Evoltion of onentration by Eler method (Dirihlet) Evoltion of onentration by Eler method (Nemann).2 t n =.4n.2 t n =.4n : t n

Evoltion of onentration by Eler method (Dirihlet) Evoltion of onentration by Eler method (Nemann).2 t n =.4n.2 t n =.4n : t n 5 t = = (, y, z) t (, y, z, t) t = κ (68) κ [, ] (, ) = ( ) A ( /2)2 ep, A =., t =.. (69) 4πκt 4κt = /2 (, t) = for ( =, ) (Dirihlet ondition) (7) = for ( =, ) (Nemann ondition) (7) (68) (, t) = ( ) (

More information

2

2 1 2 3 4 5 6 7 8 tbody tr div [_im_enclosure] div [_im_repeater] span [_im_enclosure] span [_im_repeater] ol li ul li select option 9 10

More information

Oracle Developer Release 6i

Oracle Developer Release 6i Oracle Developer Release 6i 1.1 ...1...5...6 ORACLE DEVELOPER... 6...6...6 Oracle Developer...6...9...9... 10... 10...10...11...12... 13... 13... 13...14... 14 ORACLE DEVELOPER R6I... 14 R6i...15...15...15

More information

I J

I J I 065763J 8 7 7 31 jikken/ +----- accumulation_demupa.c +----- accumulation_rain.c +----- frequency_demupa.c +----- frequency_rain.c +----- go.sh +----- graph_maker.sh +----- mesure-ryudai/ 2007/4/1 2007/6/30

More information

PR300 電力モニタ 通信インタフェース (RS-485通信,Ethernet通信)

PR300 電力モニタ 通信インタフェース (RS-485通信,Ethernet通信) User s Manual 1 2 3 1 2 3 Ethernet 1 2 3 4 Ethernet (ST-NO) (PCLK1) (PCLK2) (COMM) (M ASC) (M RTU) (M TCP) (RS-485) (B-RT) (PR201) (NONE) (PRI) (EVEN) (ODD) (STP) (DLN) (RS-485) (Ethernet) (IP-1)

More information

2 X Y Y X θ 1,θ 2,... Y = f (X,θ 1,θ 2,...) θ k III 8 ( ) 1 / 39

2 X Y Y X θ 1,θ 2,... Y = f (X,θ 1,θ 2,...) θ k III 8 ( ) 1 / 39 III 8 (3) VBA, R / III 8 (2013 11 26 ) / 39 2 X Y Y X θ 1,θ 2,... Y = f (X,θ 1,θ 2,...) θ k III 8 (2013 11 26 ) 1 / 39 Y X 1, X 2,..., X n Y = f (X 1, X 2,..., X n,θ 1,θ 2,...) (y k, x k,1, x k,2,...)

More information

PowerPoint Presentation

PowerPoint Presentation For experiment coordinators CREST, JST Go IWAI 2004/09/05 Introduction to CLDAQ for experiment coordinators 2 2004/09/05 Introduction to CLDAQ for experiment coordinators 3 2004/09/05 Introduction to CLDAQ

More information

Microsoft Word - Live Meeting Help.docx

Microsoft Word - Live Meeting Help.docx 131011 101919 161719 19191110191914 11191417 101919 1915101919 Microsoft Office Live Meeting 2007 191714191412 1913191919 12 151019121914 19151819171912 17191012151911 17181219 1610121914 19121117 12191517

More information

PBASIC 2.5 PBASIC 2.5 $PBASIC directive PIN type New DEBUG control characters DEBUGIN Line continuation for comma-delimited lists IF THEN ELSE * SELEC

PBASIC 2.5 PBASIC 2.5 $PBASIC directive PIN type New DEBUG control characters DEBUGIN Line continuation for comma-delimited lists IF THEN ELSE * SELEC PBASIC 2.5 PBASIC 2.5 BASIC Stamp Editor / Development System Version 2.0 Beta Release 2 2.0 PBASIC BASIC StampR PBASIC PBASIC PBASIC 2.5 Parallax, Inc. PBASIC 2.5 PBASIC 2.5 support@microbot-ed.com 1

More information

22nd Embarcadero Developer Camp G6

22nd Embarcadero Developer Camp G6 17 Th Developer Camp ライトニングトーク WMI を もっと使おう! 株式会社シリアルゲームズ 取締役細川淳 1 WMI? WMI とは Windows Management Instrumentation の略 Windows Driver Model の拡張の一種 Windows が管理する情報へのインターフェース 例えば CPU の情報であったり 物理ハードディスクの情報などなどが取れます

More information

11042 計算機言語7回目 サポートページ:

11042 計算機言語7回目  サポートページ: 11042 7 :https://goo.gl/678wgm November 27, 2017 10/2 1(print, ) 10/16 2(2, ) 10/23 (3 ) 10/31( ),11/6 (4 ) 11/13,, 1 (5 6 ) 11/20,, 2 (5 6 ) 11/27 (7 12/4 (9 ) 12/11 1 (10 ) 12/18 2 (10 ) 12/25 3 (11

More information

6-1

6-1 6-1 (data type) 6-2 6-3 ML, Haskell, Scala Lisp, Prolog (setq x 123) (+ x 456) (setq x "abc") (+ x 456) ; 6-4 ( ) subtype INDEX is INTEGER range -10..10; type DAY is (MON, TUE, WED, THU, FRI, SAT, SUN);

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 2004/9/27 e- =1= =2= =3= =4= e- e- i - =5= e- =6= CC 1 1 ON CCOFF OFF CCOFF... OFF CCON... CC 2 1 0 0 256 ( ) (email) (ID, ) 1024 [ ] =7= 1 1 =8= (JRE1.3.x ) =9= =10= i i i i i - - -. i i =11= =12= 256

More information