!#" $ %& '( 2.4 q n k k n qn qn [3] )+* & "+-/." ( ) 3.2 Scilab FIR TMS320C DSP 2 Code Composer Studio 1.23 DSP %& '

Size: px
Start display at page:

Download "!#" $ %& '( 2.4 q n k k n qn qn [3] )+* & "+-/." ( ) 3.2 Scilab FIR TMS320C DSP 2 Code Composer Studio 1.23 DSP %& '"

Transcription

1 ! "#./ (0"1 ) A/D 9.3 D/A A/D x(t) (9.1) kt y k x k x k 1... x k n x(t) x(nt) y(nt) y(t) A D!#" D A FIR T x(t) f[hz] {x(0) x(t )... } D/A x k = e j2πfkt (9.2) (9.2) (9.1) {y(0) y(t )... } y(t) A/D 9.2 y k = ( h 0 + h 1 e j2πft + + h n e j2πfnt ) e j2πfkt D/A 9.3 T = 1/f s f s G(f) = h 0 + h 1 e j2πft + + h n e j2πfnt (9.4) (f s /2) x(kt ) y(kt ) x k y k (9.3) y k 2.2 FIR FIR (Finite Impulse Response Filter) 0 FIR FIR h i (9.1) y k = h 0 x k + h 1 x k h n x k n (9.1) "! #%$&('*)+- h i FIR h i (9.3) x k G(f) (9.3) 9-1

2 !#" $ %& '( 2.4 q n k k n qn qn [3] )+* & "+-/." ( ) 3.2 Scilab FIR TMS320C DSP 2 Code Composer Studio 1.23 DSP %& '( 9.4 DSP TMS320C DSP 9.4 DSP 8kHz 9-2

3 Windows (P) DSP DSP DSK Development Tools DSP LED CCStudio DSK C Code Composer Studio ( 9.5) Code Composer Studio C:\ti\myprojects sample Windows Code Composer Studio FD(A:) Code Composer Studio FD(A:) 2. C:\ti\myprojects (C:) ti myprojects 3. C:\ti\myprojects sample fir (C) 1. Code Composer Studio Project (C) 1 New ( 9.7) FD(A:) (P) 2. sample Code Composer Studio (P) DSK Development Tools CCStudio DSK C fir ( 9.9) 9-3

4 9.9 (3) Code Composer Studio 9.6 Code Composer Studio Project + fir.mak (1) 9.10! "$# %& ' )( * + -./ 01! ' %& '29: 8 C 7K7LMN '2 3QP6RS %& '263T;U )( V 3TW Ẍ 7K Y )( fir.mak makefile makefile Code Composer Studio makefile 9.8 (2) rts6201.lib Project Add files to Project... ( 9.11) 9-4

5 9.11 (1) 3.5 FD(A) sample main.c dsk6211.c Object and Library main.c Files(*.o**.lib) rts6201.lib ( ) *.lib ( 9.12) 9.12 (2) dsk6211.lib Project Add files 0 Errors 0 Warnings to Project ( 9.13) FD(A) sample ( ) Object and Library Files(*.o*;*.lib) ( ) File dsk6211.lib Load Program ( 9.14) Load Program ( 9.15) fir.cmd Project Add files to Linker Command File(*.cmd) fir.cmd vectors.asm Project Add files to Project... Asm Source Files(*.a*;*.s*) vectors.asm dsk6211.c Project Add files to Project... C/C++ Source Files(*.cpp;*.cc;*.cxx;*.c;*.sa) dsk6211.c *.cmd vectors.asm dsk6211.c C main.c FIR Project Rebuild All A:\sample fir.out Project... fir.out 9-5

6 DSP DSP File Exit Code Composer Studio FIR Scilab Scilab 9.14 (1) (P) Scilab 2.6 Scilab2.6 design.sci Scilab exec( a:\sample\design.sci ); 9.15 (2) hn.txt hn.txt Code Composer Studio design.sci 9.17 design.sci ( 9.16) 9-6

7 de6fhg FIR eqfir " #$ %&'(')(* +.-/10"' frmag ! 798 :! hm / fr; < = ` a hn.txt b c unix_w( del a:\sample\hn.txt ); hn=eqfir(15[0.1;.2.3;.4.5][1 0 1][ ]); [hmfr]=frmag(hn256); >? hm=20*log10(hm); fr=fr*8000; %@ ACBD EF ;DG H plot(fr(1:255)hm(1:255)); write( a:\sample\hn.txt hn); I? %J -K L(-M ; NOP 6S 74 (' T(K U $ VTWXC@6 Y'Z 4@'X [ \ C] ^ ; <= <= hn.txt /_ QR 9.17 FIR (design.sci)! ( 8kHz) ( ) 0.5 FIR eqfir eqfir design.sci Scilab 2 design.sci hn.txt Scilab Mule Mule 9-7

8 1 1! " $#&%('*) +- *.-/ $#%('0) 2 3 bash q14 bash (P) Cygnus Solutions Cygwin B $ :-; 3 ÏHJFK(LF'*) M CN OPQ <0 $# T(LK U VW BAB'F(0X(Y% L (0.5)R S (-#GZ [ ) a:sample\ hn.txt q14 fir-q14.txt bash cd //a/sample 9.18 eqfir q14.exe hn.txt hn-q14.txt (P) Mule for Win32 Mule for Win main Mulw for Win32 a:\sample main.c (P) main.c LENGTH Mule Mule h LENGTH h Scilab ( hn-q14.txt File Print ) Print(Scilab) Print(Windows) 2 Mule Code Composer Studie File Export Mule q14 Ctrl + x i ( Scilab Ctrl x i ) File Insert File DSP ( ) q14.exe a:\sample Code Composer Studio 9-8

9 G E E r:st)u *+ -./10 2)354 6)- =>?@.)/02 FIR 6h '&)( 7ABDC 9);< EF q14 H I = E x &( 7 08:9;)< "! #%$ '&)( #define DRR 0x18C0000 #define DXR 0x18C0004 #define LENGTH 8 volatile short *drr = (volatile short*) DRR; volatile short *dxr = (volatile short*) DXR; short x[length]={0}; /* History of sound input */ short h[length]={ }; void main() { dsk6211_init(); for (;;) { } } r:st)u j V T%V O k 2 :lzm DSP 02:J 9;)< dsk6211_init KL 0 E M1N >)O DP A:QSRUT V'W1X FIR ZY #%$ [ $ E interrupt void fir(void) { int isum=0; /* Update the history of sound input: Shift the data in x[i] and get current data to x[length-1] */ for(i=0;i<=length-2;i++){ x[i]=x[i+1]; } x[length-1]=*drr; /* Convolution */ for(i=0;i<=length-1;i++){ sum += (((int)x[i]* (int)h[length-1-i]) >> 14) & 0xFFFE; } *dxr = sum & 0xFFFE; } l5m)no Ogp q 0 d egf 7 hsruti bf \]^$_5` 'c1a 2 8a b F main.c DSP DSP DSP DSP DSP DSP (! " ) 100V 100V # $ %&(' )$ 100V FIR (main.c) 9-9

10 3.2.8 $ % & ')( * V! (" # ) 1V -./ / ;: <>= = 20 log 10 (9.5) db( ) DSP (4kHz) DSP 9.22 ) *+ - '. 0/1 2 3 ) *+ 4 $ "! #%$ & (' 100V 100V V

11 POWER SUM 0/ DISK 3 1. ESC AVG START (10) 6 START 8 7 FREQ PAUSE 3. (9) 2 10kHz kHz SELECT 4. (8) ( ) (3) SELECT (5) (8) 100 SELECT (5) 6. (8) POWER SUM(1) SELECT (5) 7. (8) 32 1 SELECT (5) 8. START (7) SELECT (5) 9. (8) AVG STAR T(6) POWER SUM(1) 32/ (8) DAT(12) SELECT (5) 6. (8) GRP SELECT (5) 1. (8) 2. (8) LOAD(11) SELECT (5) 3. (8) STORE SELECT (5) 4. (8) 001(12) 7. (8) EX- DISK(2) SELECT (5) ECUTE(13) SELECT (5) ( 9.24)

12 DISK OPERATION [END] [ LOAD ] -->[FILE]+[001]+[.DAT] [EXECUTE] E E+01..( ) E E ( ) Scilab 5. Scilab File Exec data.sci file001.grp (O) data1.sci Scilab plot(d1(:1)d1(:2))\rt 1. file001.grp data1.sci 2. Mule for Win32 data1.sci File exists but cannot be read. 1 2 Ctrl + x Ctrl + q 3. "ONO SOKKI CF-4220 PERSONAL FFT ANALYZER" "CF-4200""XA11..A411~" " :18""AB11..B411~" " SPECTRUM ""TX" 401"OTFONO SOKKI CF-4220 PERSONAL "Hz ""TS SPECTRUM ~" "dbv""txhz ~" " MAG""TY MAG dbv~" """QV " "" ( 1 ) d1=[0.0000e e+01..( ) E E+01]; d1=[ ]; Scilab d1 9.25! ( ) ( )

13 [2] ( ): A (1993) B [3] : DSP C A A (2000) B B B A A B A B A A B B A B A B [1] : (1986) 9-13

untitled

untitled 1. 9.,, 2, 0 1 2 3 4 t 4 4 2 {01, 11, 10, 01} 2.,, 4 2 0 1 2 3 4 t {01, 11, 11, 01, 01} 0 1 2 3 4 t 4 2 0 1 2 3 4 t 2.1 9.1 9.1, A/D x(t) x(t) x(nt) y(nt) y(t) A D D A 9.1 T {x(0),x(t),...}, D/A {y(0),y(t),...}

More information

HITACHI 液晶プロジェクター CP-AX3505J/CP-AW3005J 取扱説明書 -詳細版- 【技術情報編】

HITACHI 液晶プロジェクター CP-AX3505J/CP-AW3005J 取扱説明書 -詳細版- 【技術情報編】 B A C E D 1 3 5 7 9 11 13 15 17 19 2 4 6 8 10 12 14 16 18 H G I F J M N L K Y CB/PB CR/PR COMPONENT VIDEO OUT RS-232C LAN RS-232C LAN LAN BE EF 03 06 00 2A D3 01 00 00 60 00 00 BE EF 03 06 00 BA D2 01

More information

取扱説明書 -詳細版- 液晶プロジェクター CP-AW3019WNJ

取扱説明書 -詳細版- 液晶プロジェクター CP-AW3019WNJ B A C D E F K I M L J H G N O Q P Y CB/PB CR/PR COMPONENT VIDEO OUT RS-232C LAN RS-232C LAN LAN BE EF 03 06 00 2A D3 01 00 00 60 00 00 BE EF 03 06 00 BA D2 01 00 00 60 01 00 BE EF 03 06 00 19 D3 02 00

More information

2: 3: A, f, φ f(t = A sin(2πft + φ = A sin(ωt + φ ω 2πf 440Hz A ( ( 4 ( 5 f(t = sin(2πf 1t + sin(2πf 2 t = 2 sin(2πt(f 1 + f 2 /2 cos(2πt(f 1 f

2: 3: A, f, φ f(t = A sin(2πft + φ = A sin(ωt + φ ω 2πf 440Hz A ( ( 4 ( 5 f(t = sin(2πf 1t + sin(2πf 2 t = 2 sin(2πt(f 1 + f 2 /2 cos(2πt(f 1 f 12 ( TV TV, CATV, CS CD, DAT, DV, DVD ( 12.1 12.1.1 1 1: T (sec f (Hz T= 1 f P a = N/m 2 1.013 10 5 P a 1 10 5 1.00001 0.99999 2,3 1 2: 3: 12.1.2 A, f, φ f(t = A sin(2πft + φ = A sin(ωt + φ ω 2πf 440Hz

More information

HITACHI 液晶プロジェクター CP-EX301NJ/CP-EW301NJ 取扱説明書 -詳細版- 【技術情報編】 日本語

HITACHI 液晶プロジェクター CP-EX301NJ/CP-EW301NJ 取扱説明書 -詳細版- 【技術情報編】 日本語 A B C D E F G H I 1 3 5 7 9 11 13 15 17 19 2 4 6 8 10 12 14 16 18 K L J Y CB/PB CR/PR COMPONENT VIDEO OUT RS-232C RS-232C RS-232C Cable (cross) LAN cable (CAT-5 or greater) LAN LAN LAN LAN RS-232C BE

More information

WT3000 プレシジョンパワーアナライザ ユーザーズマニュアル

WT3000 プレシジョンパワーアナライザ ユーザーズマニュアル WT3000 IM 760301-01 8th Edition: February 2014 (YMI) All Rights Reserved, Copyright 2004 Yokogawa Electric Corporation All Rights Reserved, Copyright 2013 Yokogawa Meters & Instruments Corporation YOKOGAWA

More information

280PA2629AA-00.0

280PA2629AA-00.0 2 3 4 5 6 7 8 9 10 11 12 13 14 AM1 594 FM1 79.5 AUTO STORE PRESET SCN T 01 00:15 PAUSE EJECT LOADING NO DISC T-SCAN T-REPEAT T-RANDOM D 01 T 01 PAUSE NO MAG T-SCAN T-REPEAT T-RANDOM D-SCAN D-REPEAT D-RANDOM

More information

取扱説明書 [F-02F]

取扱説明書 [F-02F] F-02F 4. 2 3 4 5 6 7 8 9 0 2 3 4 5 6 7 8 a b c d a b c d a b cd 9 e a b c d e 20 2 22 ab a b 23 a b 24 c d e 25 26 o a b c p q r s t u v w d h i j k l e f g d m n a b c d e f g h i j k l m n x 27 o

More information

NL-22/NL-32取扱説明書_操作編

NL-22/NL-32取扱説明書_操作編 MIC / Preamp ATT NL-32 A C ATT AMP 1 AMP 2 AMP 3 FLAT FLAT CAL.SIG. OVER LOAD DET. AMP 4 AMP 5 A/D D/A CONV. AMP 6 AMP 7 A/D CONV. Vref. AMP 8 AMP 10 DC OUT AMP 9 FILTER OUT AC DC OUT AC OUT KEY SW Start

More information

main.dvi

main.dvi 20 II 7. 1 409, 3255 e-mail: namba@faculty.chiba-u.jp 2 1 1 1 4 2 203 2 1 1 1 5 503 1 3 1 2 2 Web http://www.icsd2.tj.chiba-u.jp/~namba/lecture/ 1 2 1 5 501 1,, \,", 2000 7. : 1 1 CPU CPU 1 Intel Pentium

More information

Specview Specview Specview STSCI(Space Telescope SCience Institute) VO Specview Web page htt

Specview Specview Specview STSCI(Space Telescope SCience Institute) VO Specview Web page   htt Specview Specview Specview STSCI(Space Telescope SCience Institute) VO Specview Web page http://www.stsci.edu/resources/software_hardware/specview http://specview.stsci.edu/javahelp/main.html Specview

More information

New version (2.15.1) of Specview is now available Dismiss Windows Specview.bat set spv= Specview set jhome= JAVA (C:\Program Files\Java\jre<version>\

New version (2.15.1) of Specview is now available Dismiss Windows Specview.bat set spv= Specview set jhome= JAVA (C:\Program Files\Java\jre<version>\ Specview VO 2012 2012/3/26 Specview Specview STSCI(Space Telescope SCience Institute) VO Specview Web page http://www.stsci.edu/resources/software hardware/specview http://specview.stsci.edu/javahelp/main.html

More information

1. 2 P 2 (x, y) 2 x y (0, 0) R 2 = {(x, y) x, y R} x, y R P = (x, y) O = (0, 0) OP ( ) OP x x, y y ( ) x v = y ( ) x 2 1 v = P = (x, y) y ( x y ) 2 (x

1. 2 P 2 (x, y) 2 x y (0, 0) R 2 = {(x, y) x, y R} x, y R P = (x, y) O = (0, 0) OP ( ) OP x x, y y ( ) x v = y ( ) x 2 1 v = P = (x, y) y ( x y ) 2 (x . P (, (0, 0 R {(,, R}, R P (, O (0, 0 OP OP, v v P (, ( (, (, { R, R} v (, (, (,, z 3 w z R 3,, z R z n R n.,..., n R n n w, t w ( z z Ke Words:. A P 3 0 B P 0 a. A P b B P 3. A π/90 B a + b c π/ 3. +

More information

新たな基礎年金制度の構築に向けて

新たな基礎年金制度の構築に向けて [ ] 1 1 4 60 1 ( 1 ) 1 1 1 4 1 1 1 1 1 4 1 2 1 1 1 ( ) 2 1 1 1 1 1 1 1996 1 3 4.3(2) 1997 1 65 1 1 2 1/3 ( )2/3 1 1/3 ( ) 1 1 2 3 2 4 6 2.1 1 2 1 ( ) 13 1 1 1 1 2 2 ( ) ( ) 1 ( ) 60 1 1 2.2 (1) (3) ( 9

More information

‚å™J‚å−w“LŁñfi~P01†`08

‚å™J‚å−w“LŁñfi~P01†`08 156 2003 2 3 4 5 6 7 8 9 c f c a g 10 d c d 11 e a d 12 a g e 13 d fg f 14 g e 15 16 17 18 19 20 21 db de de fg fg g gf b eb g a a e e cf b db 22 d b e ag dc dc ed gf cb f f e b d ef 23 f fb ed e g gf

More information

0.2 Button TextBox: menu tab 2

0.2 Button TextBox: menu tab 2 Specview VO 2012 2012/9/27 Specview Specview STSCI(Space Telescope SCience Institute) VO Specview Web page http://www.stsci.edu/resources/software hardware/specview http://specview.stsci.edu/javahelp/main.html

More information

12~

12~ R A C D B F E H I J K A A A A A A A A A A AD B C BD AD E A DB DB ADB D D DB BD A C D B F E AD B B B B BF AD B B DB B B B B DB B DB D D ADB D D D D D AB AD D DB AB B B B F D D B B D D BF DBF B B B FD

More information

PC STATION S200L seriesファーストステップガイド

PC STATION S200L seriesファーストステップガイド 1 2 3 WindowsMe 2 1 2 3 4 5 3 1 2 3 7 3 4 2 1 6 5 6 4 5 6 7 7 Esc Caps Lock Ctrl F1 Alt F2 F3 F4 F5 F6 F7 F8 Alt F9 F10 F11 Ctrl F12 Back Space Print Scrn SysRq Insert Delete Scroll Lock Home End Pause

More information

液晶プロジェクター CP-S317J/X327J 取扱説明書

液晶プロジェクター CP-S317J/X327J 取扱説明書 CP-S317/CP-X327/CP-X328 STANDBY/ON VIDEO RGB SEARCH ASPECT MAGNIFY ON OFF FREEZE POSITION ESC HOME END PAGE DOWN ENTER AUTO PAGE UP BLANK VOLUME MUTE KEYSTONE MENU RESET TANDBY/ON INPUT KEYSTONE RESET

More information

main.dvi

main.dvi 3 Discrete Fourie Transform: DFT DFT 3.1 3.1.1 x(n) X(e jω ) X(e jω )= x(n)e jωnt (3.1) n= X(e jω ) N X(k) ωt f 2π f s N X(k) =X(e j2πk/n )= x(n)e j2πnk/n, k N 1 (3.2) n= X(k) δ X(e jω )= X(k)δ(ωT 2πk

More information

13 Student Software TI-Nspire CX CAS TI Web TI-Nspire CX CAS Student Software ( ) 1 Student Software 37 Student Software Nspire Nspire Nspir

13 Student Software TI-Nspire CX CAS TI Web TI-Nspire CX CAS Student Software ( ) 1 Student Software 37 Student Software Nspire Nspire Nspir 13 Student Software TI-Nspire CX CAS TI Web TI-Nspire CX CAS Student Software ( ) 1 Student Software 37 Student Software 37.1 37.1 Nspire Nspire Nspire 37.1: Student Software 13 2 13 Student Software esc

More information

double float

double float 2015 3 13 1 2 2 3 2.1.......................... 3 2.2............................. 3 3 4 3.1............................... 4 3.2 double float......................... 5 3.3 main.......................

More information

取扱説明書 [F-04F]

取扱説明書 [F-04F] F-04F 3.2 2 3 4 5 6 7 8 9 0 2 3 4 5 6 7 a b c d a b c d 8 a b cd e a b c d e 9 20 2 a b a b 22 23 a c b d 24 25 a b c d e f j klmn o u p v q w r x g h s t i a b c d e f g B h i j k l m n o p q r s t

More information

Excel ではじめる数値解析 サンプルページ この本の定価 判型などは, 以下の URL からご覧いただけます. このサンプルページの内容は, 初版 1 刷発行時のものです.

Excel ではじめる数値解析 サンプルページ この本の定価 判型などは, 以下の URL からご覧いただけます.   このサンプルページの内容は, 初版 1 刷発行時のものです. Excel ではじめる数値解析 サンプルページ この本の定価 判型などは, 以下の URL からご覧いただけます. http://www.morikita.co.jp/books/mid/009631 このサンプルページの内容は, 初版 1 刷発行時のものです. Excel URL http://www.morikita.co.jp/books/mid/009631 i Microsoft Windows

More information

MS-1J/MS-1WJ(形名:MS-1/MS-1W)取扱説明書 - 詳細- 技術情報編

MS-1J/MS-1WJ(形名:MS-1/MS-1W)取扱説明書 - 詳細- 技術情報編 720 x 400 37.9 85.0 VESA TEXT 640 x 480 31.5 59.9 VESA VGA (60Hz) 640 x 480 37.9 72.8 VESA VGA (72Hz) 640 x 480 37.5 75.0 VESA VGA (75Hz) 640 x 480 43.3 85.0 VESA VGA (85Hz) 800 x 600 35.2 56.3 VESA SVGA

More information

NL-20取扱説明書_操作編

NL-20取扱説明書_操作編 MIC / Preamp A C AMP 1 AMP 2 AMP 3 FLAT FLAT CAL.SIG. OVER LOAD DET. AMP 4 AMP 5 A/D D/A CONV. V ref. AMP 8 AMP 10 DC OUT AC OUT AC DC OUT DATA BUS CPU ADDRESS BUS DSP Start Pause Stop Store Mode Cont

More information

¥×¥í¥°¥é¥ß¥ó¥°±é½¬I Exercise on Programming I [1zh] ` `%%%`#`&12_`__~~~ alse

¥×¥í¥°¥é¥ß¥ó¥°±é½¬I  Exercise on Programming I [1zh] ` `%%%`#`&12_`__~~~alse I Exercise on Programming I http://bit.ly/oitprog1 1, 2 of 14 ( RD S ) I 1, 2 of 14 1 / 44 Ruby Ruby ( RD S ) I 1, 2 of 14 2 / 44 7 5 9 2 9 3 3 2 6 5 1 3 2 5 6 4 7 8 4 5 2 7 9 6 4 7 1 3 ( RD S ) I 1, 2

More information

impulse_response.dvi

impulse_response.dvi 5 Time Time Level Level Frequency Frequency Fig. 5.1: [1] 2004. [2] P. A. Nelson, S. J. Elliott, Active Noise Control, Academic Press, 1992. [3] M. R. Schroeder, Integrated-impulse method measuring sound

More information

Windows Cygwin Mac *1 Emacs Ruby ( ) 1 Cygwin Bash Cygwin Windows Cygwin Cygwin Mac 1 Mac 1.2 *2 ls *3 *1 OS Linux *2 *3 Enter ( ) 2

Windows Cygwin Mac *1 Emacs Ruby ( ) 1 Cygwin Bash Cygwin Windows Cygwin Cygwin Mac 1 Mac 1.2 *2 ls *3 *1 OS Linux *2 *3 Enter ( ) 2 September 2016 1 Windows Cygwin Mac *1 Emacs Ruby 1 1.1 ( ) 1 Cygwin Bash Cygwin Windows Cygwin Cygwin Mac 1 Mac 1.2 *2 ls *3 *1 OS Linux *2 *3 Enter ( ) 2 ~/16:00:20> ls 2 2 ls ls -a ~/16:00:20> ls -a

More information

( ) 1 Windows HTML ( ) ( ) ( ) WWW 10 ( )

( ) 1 Windows HTML ( ) ( ) ( ) WWW 10 ( ) ( ) 1 Windows HTML ( ) ( ) ( ) 1. 2. 3. 4. WWW 10 ( ) 2 1. 2. 1 3. ( ) 4. 5. 3 Windows 2 7 8 MS Word MS Excel 1. MS Word 600 2. MS Excel 1 34 2 83 3 23 4 70 5 100 6 45 7 58 8 29 9 68 10 72 11 37 12 12

More information

dp0206_j

dp0206_j 1 2 7 8 9 4 5 6 3 10 11 12 13 20 19 18 16 17 15 14 CONTROL AUDIO 2 AUDIO 1 CN2 CN1 CN20 CN15 1 2 3 4 H C E (E) 1 2 3 E C H 1 2 3 4 C 8 7 6 5 6 7 8 9 5 4 3 2 1 E A B SIGNAL/

More information

リファレンス

リファレンス ii iii iv v vi NEC Corporation 1998 vii C O N T E N T S PART 1 PART 2 viii ix C O N T E N T S PART 3 PART 4 x xi C O N T E N T S PART 5 xii xiii xiv P A R T 1 2 1 3 4 5 1 6 7 1 8 1 9 10 11 1 12 13 1 14

More information

Cleaner XL 1.5 クイックインストールガイド

Cleaner XL 1.5 クイックインストールガイド Autodesk Cleaner XL 1.5 Contents Cleaner XL 1.5 2 1. Cleaner XL 3 2. Cleaner XL 9 3. Cleaner XL 12 4. Cleaner XL 16 5. 32 2 1. Cleaner XL 1. Cleaner XL Cleaner XL Administrators Cleaner XL Windows Media

More information

入試の軌跡

入試の軌跡 4 y O x 4 Typed by L A TEX ε ) ) ) 6 4 ) 4 75 ) http://kumamoto.s.xrea.com/plan/.. PDF) Ctrl +L) Ctrl +) Ctrl + Ctrl + ) ) Alt + ) Alt + ) ESC. http://kumamoto.s.xrea.com/nyusi/kumadai kiseki ri i.pdf

More information

DA100データアクイジションユニット通信インタフェースユーザーズマニュアル

DA100データアクイジションユニット通信インタフェースユーザーズマニュアル Instruction Manual Disk No. RE01 6th Edition: November 1999 (YK) All Rights Reserved, Copyright 1996 Yokogawa Electric Corporation 801234567 9 ABCDEF 1 2 3 4 1 2 3 4 1 2 3 4 1 2

More information

2007-Kanai-paper.dvi

2007-Kanai-paper.dvi 19 Estimation of Sound Source Zone using The Arrival Time Interval 1080351 2008 3 7 S/N 2 2 2 i Abstract Estimation of Sound Source Zone using The Arrival Time Interval Koichiro Kanai The microphone array

More information

dfilterh.dvi

dfilterh.dvi 12.5 3 ISBN4-7856-1194-4 C3055 12.5.1 x(t) =A sin(t) rad/sec T s =1=F s t = nt s n x(nt s )=Asin(nT s ) F s! =T s x(nt s )! x(n) x(n) =A sin(nt s )=Asin(!n)! rad { F (Hz) =2F { f! =2f f {! f F! = T s ==F

More information

Excel97関数編

Excel97関数編 Excel97 SUM Microsoft Excel 97... 1... 1... 1... 2... 3... 3... 4... 5... 6... 6... 7 SUM... 8... 11 Microsoft Excel 97 AVERAGE MIN MAX SUM IF 2 RANK TODAY ROUND COUNT INT VLOOKUP 1/15 Excel A B C A B

More information

DV-RA1000HD_Jpn(b)

DV-RA1000HD_Jpn(b) » D00927901A DV-RA1000HD High Definition Audio Master Recorder á á á è ì í ì ì í í ó è í è í í 2 TASCAM DV-RA1000HD ì ó ó ó ó á í ó ì ì í í ì ó í TASCAM DV-RA1000HD 3 4 TASCAM DV-RA1000HD TASCAM DV-RA1000HD

More information

日立液晶プロジェクター CP-AW2519NJ 取扱説明書- 詳細版-

日立液晶プロジェクター CP-AW2519NJ 取扱説明書- 詳細版- PAGE UP DOWN D- ESC ENTER 1 1 2 2 3 COMPUTER IN1 USB TYPE A DC5V 0.5A USB TYPE B HDMI COMPUTER IN2 LAN CONTROL MONITOR OUT MIC AUDIO IN1 AUDIO IN3 AUDIO OUT R R L L S-VIDEO AUDIO IN2 VIDEO PAGE UP DOWN

More information

Microsoft PowerPoint - dsp12_2006.ppt

Microsoft PowerPoint - dsp12_2006.ppt 第 12 回 信 号 処 理 演 習 割 り 込 み 処 理 プログラミング 教 官 : 小 澤 助 教 授 渡 邉 ( 非 常 勤 講 師 ) 2007/01/25 本 日 の 予 定 ポーリングと 割 り 込 み 割 り 込 み 処 理 の 仕 組 み 割 り 込 み 処 理 による アナログループバックの 作 成 ボイスチェンジャーの 作 成 2 ポーリング (Polling) 目 的 ある

More information

1122 1015 1 Voices 11 11 1 1 1 1 1 1 7 3 4 3 4 3 4 1 1 1 1 1 e 1 f dd 1 d 1 1 1 1 de 1 f 1 d b b bb ef f bb 1 1 882-1111 882-1160 1 1 a 6 1 1 1 f 1 1 c 1 f 1 1 f 1 cf 1 bf 1 1 1 1 a 1 g 1 g 1 af g 1 11

More information

~~~~~~~~~~~~~~~~~~ wait Call CPU time 1, latch: library cache 7, latch: library cache lock 4, job scheduler co

~~~~~~~~~~~~~~~~~~ wait Call CPU time 1, latch: library cache 7, latch: library cache lock 4, job scheduler co 072 DB Magazine 2007 September ~~~~~~~~~~~~~~~~~~ wait Call CPU time 1,055 34.7 latch: library cache 7,278 750 103 24.7 latch: library cache lock 4,194 465 111 15.3 job scheduler coordinator slave wait

More information

‚å™J‚å−w“LŁñ›Ä

‚å™J‚å−w“LŁñ›Ä 2007 172 FFFFFFFFF FFFFFFFFF 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 c d e cc bd b fb ag ag ed ed ed bd b b ef bf f df bd f bff d D f F d f 19 bd 20 21 F C e e f b b b 22 d d e f e f bf bd 23 24 222222222222222222222222222222222222222222222222222222222222222222222222

More information

インターネット入門

インターネット入門 PART 7 PART 8 ii PART 1 PART 2 PART 3 PART 4 PART 7 PART 4 PART 5 PART 6 iii iv v vi NEC Corporation 1998 vii C O N T E N T S PART 1 PART 2 viii PART 3 ix C O N T E N T S PART 4 PART 5 x PART 6 xi C O

More information

合併後の交付税について

合併後の交付税について (1) (2) 1 0.9 0.7 0.5 0.3 0.1 2 3 (1) (a), 4 (b) (a), (c) (a) 0.9 0.7 0.5 0.3 0.1 (b) (d),(e) (f) (g) (h) (a) (i) (g) (h) (j) (i) 5 (2) 6 (3) (A) (B) (A)+(B) n 1,000 1,000 2,000 n+1 970 970 1,940 3.0%

More information

29

29 9 .,,, 3 () C k k C k C + C + C + + C 8 + C 9 + C k C + C + C + C 3 + C 4 + C 5 + + 45 + + + 5 + + 9 + 4 + 4 + 5 4 C k k k ( + ) 4 C k k ( k) 3 n( ) n n n ( ) n ( ) n 3 ( ) 3 3 3 n 4 ( ) 4 4 4 ( ) n n

More information

( )

( ) 18 10 01 ( ) 1 2018 4 1.1 2018............................... 4 1.2 2018......................... 5 2 2017 7 2.1 2017............................... 7 2.2 2017......................... 8 3 2016 9 3.1 2016...............................

More information

VLD Kazutoshi Kobayashi

VLD Kazutoshi Kobayashi VLD Kazutoshi Kobayashi (kobayasi@kuee.kyoto-u.ac.jp) 2005 8 26-29 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape

More information

熊本県数学問題正解

熊本県数学問題正解 00 y O x Typed by L A TEX ε ( ) (00 ) 5 4 4 ( ) http://www.ocn.ne.jp/ oboetene/plan/. ( ) (009 ) ( ).. http://www.ocn.ne.jp/ oboetene/plan/eng.html 8 i i..................................... ( )0... (

More information

V s d d 2 d n d n 2 n R 2 n V s q n 2 n Output q 2 q Decoder 2 R 2 2R 2R 2R 2R A R R R 2R A A n A n 2R R f R (a) 0 (b) 7.4 D-A (a) (b) FET n H ON p H

V s d d 2 d n d n 2 n R 2 n V s q n 2 n Output q 2 q Decoder 2 R 2 2R 2R 2R 2R A R R R 2R A A n A n 2R R f R (a) 0 (b) 7.4 D-A (a) (b) FET n H ON p H 3 ( ) 208 2 3 7.5 A-D/D-A D-A/A-D A-D/D-A CCD D () ( ) A-D (ADC) D-A (DAC) LSI 7.5. - 7.4(a) n 2 n V S 2 n R ( ),, 2 n i i i V S /2 n MOS i V S /2 n 8 256 MOS 7.4(b) DA n R n 2 2R n MOS 2R R 2R 2R OP OP

More information

CLUSTERPRO 3.x データベース監視オプション編

CLUSTERPRO 3.x データベース監視オプション編 CLUSTERPRO for Linux Ver3.0 2004.09.29 4 1 2004/02/27 2 2004/05/25 R2.0-2 update PDF SE 3 R2.0-2 7 DB LE DB 10 12 16 AP PostgreSQL7.3/7.4MySQL4.0 21 400 SE 22 clp_psql73mon clp_mysql40mon 29 -u -p 30 3

More information

‚æ4›ñ

‚æ4›ñ ( ) ( ) ( ) A B C D E F G H I J K L M N O P Q R S T U V W X Y Z a b c d e f g h i j k l m n o p q r s t u v w x y z 0 1 2 3 4 5 6 7 8 9 (OUS) 9 26 1 / 28 ( ) ( ) ( ) A B C D Z a b c d z 0 1 2 9 (OUS) 9

More information

MINI2440マニュアル

MINI2440マニュアル Open-JTAG LPC2388 + GCC + Eclipse http://www.csun.co.jp info@csun.co.jp Ver1.4 2009/7/31 LPC2388 OpenJTAG copyright@2009 http://www.csun.co.jp info@csun.co.jp 1 ...3 ARM...4...5...6 4.2 OpenJTAG...6 4.2...8

More information

untitled

untitled 1 OrCAD PSpice OrCAD PSpice OrCAD PSpice OrCAD Capture OrCAD Capture OrCAD 15.7 Demo OrCAD Capture CIS Demo 1.1 Capture 1 OrCAD Capture 1.2 1.2 OrCAD Capture [File] [New] [Project] 1.3 Project 2 New Project

More information

MPC.dvi

MPC.dvi 1997 1 Copyright c 1997 by Manabu Kano All rights reserved 1 1 3 11 3 12 3 121 3 122 5 13 6 14 6 15 7 2 9 21 9 22 10 221 10 222 11 23 12 24 13 25 14 26 15 27 17 2 1 11 (MPC; Model Predictive Control) 12

More information

欧州特許庁米国特許商標庁との共通特許分類 CPC (Cooperative Patent Classification) 日本パテントデータサービス ( 株 ) 国際部 2019 年 7 月 31 日 CPC 版が発効します 原文及び詳細はCPCホームページのCPC Revision

欧州特許庁米国特許商標庁との共通特許分類 CPC (Cooperative Patent Classification) 日本パテントデータサービス ( 株 ) 国際部 2019 年 7 月 31 日 CPC 版が発効します 原文及び詳細はCPCホームページのCPC Revision 欧州特許庁米国特許商標庁との共通特許分類 CPC (Cooperative Patent Classification) 日本パテントデータサービス ( 株 ) 国際部 2019 年 7 月 31 日 CPC 2019.08 版が発効します 原文及び詳細はCPCホームページのCPC Revisions(CPCの改訂 ) をご覧ください https://www.cooperativepatentclassification.org/cpcrevisions/noticeofchanges.html

More information

N88 BASIC 0.3 C: My Documents 0.6: 0.3: (R) (G) : enterreturn : (F) BA- SIC.bas 0.8: (V) 0.9: 0.5:

N88 BASIC 0.3 C: My Documents 0.6: 0.3: (R) (G) : enterreturn : (F) BA- SIC.bas 0.8: (V) 0.9: 0.5: BASIC 20 4 10 0 N88 Basic 1 0.0 N88 Basic..................................... 1 0.1............................................... 3 1 4 2 5 3 6 4 7 5 10 6 13 7 14 0 N88 Basic 0.0 N88 Basic 0.1: N88Basic

More information

main.dvi

main.dvi 5 IIR IIR z 5.1 5.1.1 1. 2. IIR(Infinite Impulse Response) FIR(Finite Impulse Response) 3. 4. 5. 5.1.2 IIR FIR 5.1 5.1 5.2 104 5. IIR 5.1 IIR FIR IIR FIR H(z) = a 0 +a 1 z 1 +a 2 z 2 1+b 1 z 1 +b 2 z 2

More information

HyRAL®FPGA設計仕様書

HyRAL®FPGA設計仕様書 HyRAL Encryption FPGA HyRAL FPGA 2009/12/ 13 2 2010/01/11 3. FPGA 3.1. Const1, 2,3 3.3.ciphergen 3.3.6. 3.4. Decrypt 4 3 2010/01/26 1. i 1.... 1 2.... 1 2.1. FPGA... 1 2.2.... 1 2.3.... 1 2.4. IP... 1

More information

+DRZ9255SE_01_17

+DRZ9255SE_01_17 3 4 5 6 7 9 8 11 10 13 1 AM1 594 FM1 79.5 AUTO STORE PRESET SCN T 01 00:15 PAUSE EJECT LOADING NO DISC T-SCAN T-REPEAT T-RANDOM D 01 T 01 PAUSE NO MAG T-SCAN T-REPEAT T-RANDOM D-SCAN D-REPEAT D-RANDOM

More information

インテル(R) Visual Fortran Composer XE 2013 Windows版 入門ガイド

インテル(R) Visual Fortran Composer XE 2013 Windows版 入門ガイド Visual Fortran Composer XE 2013 Windows* エクセルソフト株式会社 www.xlsoft.com Rev. 1.1 (2012/12/10) Copyright 1998-2013 XLsoft Corporation. All Rights Reserved. 1 / 53 ... 3... 4... 4... 5 Visual Studio... 9...

More information

<4D F736F F D B B83578B6594BB2D834A836F815B82D082C88C60202E646F63>

<4D F736F F D B B83578B6594BB2D834A836F815B82D082C88C60202E646F63> 単純適応制御 SAC サンプルページ この本の定価 判型などは, 以下の URL からご覧いただけます. http://www.morikita.co.jp/books/mid/091961 このサンプルページの内容は, 初版 1 刷発行当時のものです. 1 2 3 4 5 9 10 12 14 15 A B F 6 8 11 13 E 7 C D URL http://www.morikita.co.jp/support

More information

DL1540/DL1540L/DL1520/DL1520Lディジタルオシロスコープ

DL1540/DL1540L/DL1520/DL1520Lディジタルオシロスコープ / / IM 701510-01J Disk No. DL14 6th Edition: May 1997 (YG) All Rights Reserved, Copyright 1995 Yokogawa Electric Corporation MODEL SUFFIX NO. Made in Japan π CLEAR TRACE

More information

Microsoft Word - Sample_CQS-Report_English_backslant.doc

Microsoft Word - Sample_CQS-Report_English_backslant.doc ***** Corporation ANSI C compiler test system System test report 2005/11/16 Japan Novel Corporation *****V43/NQP-DS-501-1 Contents Contents......2 1. Evaluated compiler......3 1.1. smp-compiler compiler...3

More information

) a + b = i + 6 b c = 6i j ) a = 0 b = c = 0 ) â = i + j 0 ˆb = 4) a b = b c = j + ) cos α = cos β = 6) a ˆb = b ĉ = 0 7) a b = 6i j b c = i + 6j + 8)

) a + b = i + 6 b c = 6i j ) a = 0 b = c = 0 ) â = i + j 0 ˆb = 4) a b = b c = j + ) cos α = cos β = 6) a ˆb = b ĉ = 0 7) a b = 6i j b c = i + 6j + 8) 4 4 ) a + b = i + 6 b c = 6i j ) a = 0 b = c = 0 ) â = i + j 0 ˆb = 4) a b = b c = j + ) cos α = cos β = 6) a ˆb = b ĉ = 0 7) a b = 6i j b c = i + 6j + 8) a b a b = 6i j 4 b c b c 9) a b = 4 a b) c = 7

More information

1990 IMO 1990/1/15 1:00-4:00 1 N N N 1, N 1 N 2, N 2 N 3 N 3 2 x x + 52 = 3 x x , A, B, C 3,, A B, C 2,,,, 7, A, B, C

1990 IMO 1990/1/15 1:00-4:00 1 N N N 1, N 1 N 2, N 2 N 3 N 3 2 x x + 52 = 3 x x , A, B, C 3,, A B, C 2,,,, 7, A, B, C 0 9 (1990 1999 ) 10 (2000 ) 1900 1994 1995 1999 2 SAT ACT 1 1990 IMO 1990/1/15 1:00-4:00 1 N 1990 9 N N 1, N 1 N 2, N 2 N 3 N 3 2 x 2 + 25x + 52 = 3 x 2 + 25x + 80 3 2, 3 0 4 A, B, C 3,, A B, C 2,,,, 7,

More information

compiler-text.dvi

compiler-text.dvi 2018.4 1 2 2.1 1 1 1 1: 1. (source program) 2. (object code) 3. 1 2.2 C if while return C input() output() fun var ( ) main() C (C-Prime) C A B C 2.3 Pascal P 1 C LDC load constant LOD load STR store AOP

More information

1 2 1 0 6 a. b. c. d. e. 1. 1 2. 4 2.1 4 2.2 5 2.3 6 3. 8 4. 9 4.1 9 4.2 11 4.2.1 11 4.2.2 13 4.3 15 4.3.1 15 4.3.2 16 5. 19 5.1 19 5.1.1 19 5.1.2 21 5.1.3 24 5.1.4 27 5.1.5 29 5.1.6 37 5.2 39 5.2.1 39

More information

クイックスタート & フィールド レファレンス ガイド Lake LM 26 Digital Audio Loudspeaker Processor Rev 1.2.3J Item: QSG-LM26

クイックスタート & フィールド レファレンス ガイド Lake LM 26 Digital Audio Loudspeaker Processor Rev 1.2.3J Item: QSG-LM26 クイックスタート & フィールド レファレンス ガイド Lake LM 26 Digital Audio Loudspeaker Processor Rev 1.2.3J Item: QSG-LM26 - 1. - 1.1-1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. 16. 17. 18. 19. 20. 21. 22. US National

More information

VSamp Version: Hiroaki Koyama 1

VSamp Version: Hiroaki Koyama 1 VSamp Version:3.2.6 http://www.kagi.com/smaug/vsamp Hiroaki Koyama 1 3 4 VSamp 4 VSampVST 4 5 5 MIDI 5 MacOS X 5 MacOS 7 8 9 5 6 6 7 7 7 MIDI 7 VST 8 8 8 MIDI 8 VSamp 9 9 9 Amplitude 11 Filter 12 Tuning

More information

画像工学特論

画像工学特論 .? (x i, y i )? (x(t), y(t))? (x(t)) (X(ω)) Wiener-Khintchine 35/97 . : x(t) = X(ω)e jωt dω () π X(ω) = x(t)e jωt dt () X(ω) S(ω) = lim (3) ω S(ω)dω X(ω) : F of x : [X] [ = ] [x t] Power spectral density

More information

P.380 P.3 P.39 P FIX FIX J 379

P.380 P.3 P.39 P FIX FIX J 379 J 33 55 3 330mil3 330mil3 55 3 3330mil3 33 33033 3303 33033 33 55 3 33303 3303 33303 FIX FIX 0163 378 P.380 P.3 P.39 P. 0163 FIX FIX J 379 J B1 B7 H S1 YW JXU--J-TW " #$% & FIX FIX # ()*+ " %! ' ' & &

More information

untitled

untitled Fortran90 ( ) 17 12 29 1 Fortran90 Fortran90 FORTRAN77 Fortran90 1 Fortran90 module 1.1 Windows Windows UNIX Cygwin (http://www.cygwin.com) C\: Install Cygwin f77 emacs latex ps2eps dvips Fortran90 Intel

More information

untitled

untitled FutureNet Microsoft Corporation Microsoft Windows Windows 95 Windows 98 Windows NT4.0 Windows 2000, Windows XP, Microsoft Internet Exproler (1) (2) (3) COM. (4) (5) ii ... 1 1.1... 1 1.2... 3 1.3... 6...

More information

ProVAL Recent Projects, ProVAL Online 3 Recent Projects ProVAL Online Show Online Content on the Start Page Page 13

ProVAL Recent Projects, ProVAL Online 3 Recent Projects ProVAL Online Show Online Content on the Start Page Page 13 ProVAL Unit System Enable Recording Log Preferred Language Default File Type Default Project Path ProVAL : Unit SystemUse SI Units SI SI USCS Enable Recording Log Language Default File Type Default Project

More information

<8E7395F131382E30328C8E8D865F8DC58F492E696E6464>

<8E7395F131382E30328C8E8D865F8DC58F492E696E6464> 生誕96年 妖怪たちと 水木しげる 各会場とタイムテーブル JR境港駅前公園 午前10時 午後2時 食イベント ゲゲゲ食堂 前半 JR境港駅前広場 午前11時 11時30分 鬼太郎列車リニューアル 第2弾出発セレモニー 文化ホール 午後0時20分 無料シャトルバス運行 JR境港駅 文化ホール 午後0時30分 開場 午後1時30分 3時30分 水木しげる生誕祭 午後3時30分 無料シャトルバス運行 文化ホール

More information

ASB-3000 ユーザーズマニュアル

ASB-3000 ユーザーズマニュアル ASB-001-081204 Magic LAB ADTEK SYSTEM SCIENCE Co.,Ltd. 1 1 1 2 3 4 5 7 8 8 9 10 10 14 MagicScope 16 16 19 21 25 28 29 29 29 Function 30 30 30 30 30 31 31 32 33 34 36 36 37 37 38 39 40 41 43 function 1

More information

13)) *.+ ****&" P(. 1 7(DQR 1 7R1 71 7'SE TUVWXOY X /' Z6C[ ]^_ R1 71 7' `1 7abc Z

13)) *.+ ****& P(. 1 7(DQR 1 7R1 71 7'SE TUVWXOY X /' Z6C[ ]^_ R1 71 7' `1 7abc Z 13***9*)-+ 1 7* 1 7*.*+9))9-- 1 71 71 71 71 71 7! 1 7 1 71 7 1 71 7 1 7 1 71 71 71 71 71 7 1 71 71 71 71 71 7!1 7"1 7#1 7 $%&1 71 7 '1 7%1 71 71 7 1 71 71 71 71 7 "1 71 7 1 7$%&1 71 71 7 (1 71 71 71 71

More information

.3. (x, x = (, u = = 4 (, x x = 4 x, x 0 x = 0 x = 4 x.4. ( z + z = 8 z, z 0 (z, z = (0, 8, (,, (8, 0 3 (0, 8, (,, (8, 0 z = z 4 z (g f(x = g(

.3. (x, x = (, u = = 4 (, x x = 4 x, x 0 x = 0 x = 4 x.4. ( z + z = 8 z, z 0 (z, z = (0, 8, (,, (8, 0 3 (0, 8, (,, (8, 0 z = z 4 z (g f(x = g( 06 5.. ( y = x x y 5 y 5 = (x y = x + ( y = x + y = x y.. ( Y = C + I = 50 + 0.5Y + 50 r r = 00 0.5Y ( L = M Y r = 00 r = 0.5Y 50 (3 00 0.5Y = 0.5Y 50 Y = 50, r = 5 .3. (x, x = (, u = = 4 (, x x = 4 x,

More information

数値計算:フーリエ変換

数値計算:フーリエ変換 ( ) 1 / 72 1 8 2 3 4 ( ) 2 / 72 ( ) 3 / 72 ( ) 4 / 72 ( ) 5 / 72 sample.m Fs = 1000; T = 1/Fs; L = 1000; t = (0:L-1)*T; % Sampling frequency % Sample time % Length of signal % Time vector y=1+0.7*sin(2*pi*50*t)+sin(2*pi*120*t)+2*randn(size(t));

More information

解きながら学ぶC言語

解きながら学ぶC言語 printf 2-5 37 52 537 52 printf("%d\n", 5 + 37); 5370 source program source file.c ex00.c 0 comment %d d 0 decimal -2 -p.6 3-2 5 37 5 37-22 537 537-22 printf("537%d\n", 5-37); function function call ( )argument,

More information

I 2 tutimura/ I 2 p.1/??

I 2   tutimura/ I 2 p.1/?? I 2 tutimura@mist.i.u-tokyo.ac.jp http://www.misojiro.t.u-tokyo.ac.jp/ tutimura/ 2002 4 25 I 2 p.1/?? / / Makefile I 2 p.2/?? Makefile make GNU make I 2 p.3/?? Makefile L A T E X I 2 p.4/?? core (1) gcc,

More information

1 3 4 4 6 7 8 9 11 11 12 CD 13 CD 14 15 18

1 3 4 4 6 7 8 9 11 11 12 CD 13 CD 14 15 18 Power Point 2007 1 3 4 4 6 7 8 9 11 11 12 CD 13 CD 14 15 18 8 15 1 bon_odori OK 2 3 4 OK 5 1 Windows Media Windows Video Microsoft Recorded TV Show Windows Media.asf.avi.mpg mpeg dvr-ms wmv 6 Word Excel

More information

Copyright Oracle Parkway, Redwood City, CA U.S. GOVERNMENT END USERS: Oracle programs, including any operating system, integrated softw

Copyright Oracle Parkway, Redwood City, CA U.S. GOVERNMENT END USERS: Oracle programs, including any operating system, integrated softw Oracle Solaris Studio 12.3 Part No: E26466 2011 12 Copyright 2011 500 Oracle Parkway, Redwood City, CA 94065 U.S. GOVERNMENT END USERS: Oracle programs, including any operating system, integrated software,

More information

Microsoft Word - w_mkl_build_howto.doc

Microsoft Word - w_mkl_build_howto.doc MKL 10.0 2007/12/18 XLsoft - 2 - 1....- 4-2. MKL...- 4-3....- 5-3-1....- 5-3-1-1. Microsoft Visual C++ 2005...- 5-3-1-2. C/C++...- 9-3-1-3. Fortran...- 11-3-2. Microsoft Visual Studio...- 13-3-2-1. Microsoft

More information

B1 Ver ( ), SPICE.,,,,. * : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD

B1 Ver ( ), SPICE.,,,,. * : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD B1 er. 3.05 (2019.03.27), SPICE.,,,,. * 1 1. 1. 1 1.. 2. : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD https://www.orcad.com/jp/resources/orcad-downloads.. 1 2. SPICE 1. SPICE Windows

More information

pc725v0nszxf_j

pc725v0nszxf_j PC725NSZXF PC725NSZXF PC725NSZXF PC725 DE file PC725 Date Jun. 3. 25 SHARP Corporation PC725NSZXF 2 6 5 2 3 4 Anode Cathode NC Emitter 3 4 5 Collector 6 Base PC725NSZXF PC725YSZXF.6 ±.2.2 ±.3 SHARP "S"

More information

コンピュータ概論

コンピュータ概論 4.1 For Check Point 1. For 2. 4.1.1 For (For) For = To Step (Next) 4.1.1 Next 4.1.1 4.1.2 1 i 10 For Next Cells(i,1) Cells(1, 1) Cells(2, 1) Cells(10, 1) 4.1.2 50 1. 2 1 10 3. 0 360 10 sin() 4.1.2 For

More information

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」 ALTIMA Company, MACNICA, Inc Nios II HAL API Modular Scatter-Gather DMA Core Ver.17.1 2018 8 Rev.1 Nios II HAL API Modular Scatter-Gather DMA Core...3...3...4... 4... 5 3-2-1. msgdma... 6 3-2-2. On-Chip

More information

280PA2634AA-00.0

280PA2634AA-00.0 DXZ845MC 2 3 4 R 5 6 7 8 9 10 11 12 13 14 Good -bye! 15 ON MESSAGE 16 ad CLOCK (MC-REC MODE) (SCRN SVR) (MSG INPUT) (MESSAGE) (AUTO SCROLL) (DIMMER) (CONTRAST) (BLINK LED) (BEEP) (SUB-WOOFER) (SUB-W LPF)

More information

H H H H H H H H Windows IC USB WindowsXP+FZ1360 WindowsXP+FZ1350 J2SE Runtime Environment 5.0 Window

H H H H H H H H Windows IC USB WindowsXP+FZ1360 WindowsXP+FZ1350 J2SE Runtime Environment 5.0 Window H14.8.1 H15.9.15 H16.4.1 H19.7.1 H20.7.1 H20.12.1 H21.5.1 H22.4.1 Windows IC USB WindowsXP+FZ1360 WindowsXP+FZ1350 J2SE Runtime Environment 5.0 Windows98,Me Windows Vista FZ-1360 IC Windows 7 WindowsNT4.0

More information

DL1720/DL1740ディジタルオシロスコープユーザーズマニュアル

DL1720/DL1740ディジタルオシロスコープユーザーズマニュアル DL1720/DL1740 IM 701710-01 http://www.yokogawa.co.jp/measurement/ 0120-137046 tmi-cs@csv.yokogawa.co.jp FAX 0422-52-6624 Disk No. DL30 2nd Edition : July 2001 (YK) All Rights Reserved, Copyright 2001 Yokogawa

More information

DTXTREME III取扱説明書

DTXTREME III取扱説明書 JA (3)-15 1/4 2 (3)-15 2/4 3 (3)-15 3/4 4 (3)-15 4/4 5 6 7 8 9 w 888 e u q r i t o y!0!1!2!3!4 @6!6!7!8!9 @0 @1 @2 @3 @4 @5 @7 @8!5 @9 #0 #1 q w e r t y u i o!0 10 !1!2!3!4!5 p r f > @4 @5 @6 @7 @8 @9

More information

17 18 2

17 18 2 17 18 2 18 2 8 17 4 1 8 1 2 16 16 4 1 17 3 31 16 2 1 2 3 17 6 16 18 1 11 4 1 5 21 26 2 6 37 43 11 58 69 5 252 28 3 1 1 3 1 3 2 3 3 4 4 4 5 5 6 5 2 6 1 6 2 16 28 3 29 3 30 30 1 30 2 32 3 36 4 38 5 43 6

More information

User Manual, Anybus Communicator for DeviceNet

User Manual, Anybus Communicator for DeviceNet User Manual Anybus Communicator for DeviceNet Rev. 2.52 HMS Industrial Networks AB Germany Japan Sweden U.S.A. France Italy China + 49-721 - 96472-0 + 81-45 - 478-5340 + 46-35 - 17 29 20 + 1-312 - 829-0601

More information

PC Windows 95, Windows 98, Windows NT, Windows 2000, MS-DOS, UNIX CPU

PC Windows 95, Windows 98, Windows NT, Windows 2000, MS-DOS, UNIX CPU 1. 1.1. 1.2. 1 PC Windows 95, Windows 98, Windows NT, Windows 2000, MS-DOS, UNIX CPU 2. 2.1. 2 1 2 C a b N: PC BC c 3C ac b 3 4 a F7 b Y c 6 5 a ctrl+f5) 4 2.2. main 2.3. main 2.4. 3 4 5 6 7 printf printf

More information

Quickstart Guide 3rd Edition

Quickstart Guide 3rd Edition 10 QNX QNX 1 2 3 4 5 QNX Momentics QNX Neutrino RTOS QNX Neutrino 6 7 8 QNX Neutrino 9 10 1 1 QNX Neutrino RTOS QNX Momentics Windows Vista Windows 2000 Windows XP Linux QNX Neutrino QNX Momentics CD http://www.qnx.co.jp/

More information