IPB-J4172

Size: px
Start display at page:

Download "IPB-J4172"

Transcription

1 I/O

2 [] Ver PCI Interface Corporation

3 ID HDLC HDLC HDLC OvROvF RS TX BRG RX BRG RSW HDLC HDLC HDCL HDCL Interface Corporation - 2 -

4 MSET (MDST ) (LOPN ) (LCLS ) DOS BPD APCI BPCI Interface Corporation

5 1 1.1ID ID ID ID ID 1147h 104Ch 1147h 0001h Base Address Register 0 32 CH1HDLC Base Address Register 1 1M CH1HDLC Base Address Register 2 32 CH2HDLC Base Address Register 3 1M CH2HDLC Base Address Register 4 Base Address Register 5 IRQ 1 1.2HDLC CH1, CH2 PCI 00h 01h 04h 08h 09h 0Ah 0Ch0Dh 0Eh0Fh 10h 11h 12h HDLC HDLC OvROvF RS-485 RS-485 TXBRG TXBRG RXBRG RXBRG Interface Corporation - 4 -

6 13h 14h 18h19h 1Ah 1Ch 1Dh 1Fh RSW +00h+1Fh 1.2.1HDLC Offset +00h Byte Word Dword Size 8bit Read Write bit NRDY INT R/W R R NRDY INT 0 HDLC HDLC HDLC Interface Corporation

7 1.2.2HDLC Offset +00h Byte Word Dword Size 8bit Read Write bit CLRI CRST CCRQ R/W W W W CLRI CRST CCRQ 1HDLC HDLC HDLC OvROvF Offset +01h Byte Word Dword Size 8bit Read Write bit OvR OvF R/W R R OvR OvF 0 OvR OvF 0 1 Interface Corporation - 6 -

8 1.2.4 Offset +04h Byte Word Dword Size 8bit Read Write bit CS3CS R/W R/W CS3CS1 Communication Base Clock CS3 CS2 CS MHz MHz MHz MHz MHz MHz MHz Offset +08h Byte Word Dword Size 8bit Read Write bit I R/W R bit C 0 R/W W I C I I I 0 (OFF) 1 (ON) C C C 0 (OFF) 1 (ON) Interface Corporation

9 1.2.6RS-485 Offset +09h Byte Word Dword Size 8bit Read Write bit Tenable STenable Renable Cenable R/W R/W R/W R/W R/W Tenable STenable Renable Cenable T Tenable T Hdenable1 10 Hdenable0 11 ST STenable ST Hdenable1 10 Hdenable0 11 R Renable R Hdenable1 10 Hdenable0 11 C Cenable C Hdenable1 10 Hdenable0 11 HDenable533.3 Interface Corporation - 8 -

10 1.2.7 Offset +0Ah Byte Word Dword Size 8bit Read Write bit DPLL LoopC In/Ex LoopD R/W R/W R/W R/W R/W DPLL DPLL LoopC DPLL loopc Signal used to generate the RX Clock 0 0 RT() 0 1 TX Clock () 1 X DPLL () In/Ex In/Ex Signal used to generate the TX Clock 00 ST() 01 RT() 1X () LoopD loopd RX Data input 0 R 1 TX Data () NRZ, NRZI 15hRx ClockTx ClockTx ClockInternalRx DataTx Data Interface Corporation

11 1.2.8TX BRG Offset +0Ch, Byte Word Dword +0Dh Size 16bit Read Write bit 70 TB7TB R/W R/W bit 158 TB15TB R/W R/W TB15TB0 0000h (+0Dh) 1.2.9RX BRG Offset +0Eh, Byte Word Dword +0Fh Size 16bit Read Write bit 70 RB7RB R/W R/W bit 158 RB15RB R/W R/W RB15RB0 0000h (+0Fh) Interface Corporation

12 Offset +10h Byte Word Dword Size 8bit Read Write bit TD4TD R/W R bit SCLK3SCLK1 TCTL4TCTL R/W W W TD4TD1 SCK3SCK1 TCTL4TCTL1 TD4TD1TCTL4TCTL1 0h 000 SCK3 0 1 SCK SCK1 TCTL4 TCTL3 TCTL2 TCTL m 10m 100m m 20m 200m m 30m 300m m 40m 400m m 50m 500m m 60m 600m m 70m 700m m 80m 800m m 90m 900m m 100m 1000m m 110m 1100m m 120m 1200m m 130m 1300m m 140m 1400m m 150m 1500m TCTL4 TCTL1 0h Interface Corporation

13 Offset +11h Byte Word Dword Size 8bit Read Write bit 71 0 RST R/W W RST Offset +12h Byte Word Dword Size 8bit Read Write bit OvF/OvR IDLE HDLCI INT I R/W R R R R R OvF/OvR IDLE HDLCI INT I 0 OvF/OvR IDLE 0 1 HDLC 0 HDLCI HDLC INT 0 1 I 0 I I 0 1 Interface Corporation

14 Offset +13h Byte Word Dword Size 8bit Read Write bit OvF/OvR IDLE HDLCI INT I R/W R/W R/W R/W R/W R/W OvF/OvR OvF/OvR 0 1 IDLE IDLE 0 1 HDLCI HDLC HDLCI HDLC 0 1 INT INT 0 1 I I I I Interface Corporation

15 Offset +14h Byte Word Dword Size 8bit Read Write bit I R/W R/W I I I I Offset +18h, +19h, Byte Word Dword +1Ah Size 24bit Read Write bit 70 ID7ID R/W R/W bit 158 ID15ID R/W R/W bit 2316 ID23ID R/W R/W ID23ID00 =ID23ID0 1µs h (+1Ah) Interface Corporation

16 Offset +1Ch Byte Word Dword Size 8bit Read Write bit 70 HDED7HDED R/W R/W HDED7 HDED0 = HDED7HDED0 1 / Offset +1Dh Byte Word Dword Size 8bit Read Write bit 70 HDDD7HDDD R/W R/W HDDD7 HDDED0 =HDDD7HDDD0 1 / RSW Offset +1Fh Byte Word Dword Size 8bit Read Write bit BID3BID0 R/W R BID3BID0 IDRSW BID3BID0 RSW E 1111 F Interface Corporation

17 1.3HDLC HDLC CH1,CH21M172HDLC Interface Corporation

18 2HDLC HDLCCPU CPU 2.1 HDCL Interface Corporation

19 2.1.1 HDLC MSET LCWLink Command Word16 nlcwlcwn1n128n2mset CMDN 0xFF 0x00 CMDS 0xFC HDLC 0xFE HDLC 0x0C HDLC INFO Command Table LCW0 LCW1.... CMDN CMDS * * * * * * * * * * * * * * Link Command Word (LCW) 16 bytes LCW(n-1) *: INFO Interface Corporation

20 2.1.2 HDLC LSWLink Status Word16 nlswlswn1n128n2mset STSN INFO FFh FFhHDLC Status Table 0<n<129 LSW0 LSW1.... STSN * * * * * * * * * * * * * * * Link Status Word (LSW) 16 bytes LSW(n-1) *: INFO Interface Corporation

21 2.1.3 HDLC LRBWLink Receive Buffer Address Word4nLRBW LRBWn1n128n2MSET BRDY RBA HDLC00h FFh F0h FFh 00h HDLC00h HDLC LOPN Receive Buffer Address Table 0<n<129 LRBW0 LRBW1.... BRDY RBA (LSBs) RBA RBA (MSBs) The RBA is the actual RX Buffer Address Link RX Buffer Word (LRBW) 4 bytes LRBW(n-1) LRBW(1)LRBW(3)LRBW(0)00h Interface Corporation

22 2.1.4 HDLC MDST HDLC DTSD MSET ADDR h NLCW 32 NLSW 32 NLRBW 16 Memory Address 0x0000 to 0x01FF 0x0200 to 0x03FF 0x0400 to 0x043F Command Table Status Table RX Buffer Address Table 32 LCWs (16 bytes/lcw) 32 LSWs (16 bytes/lsw) 16 LRBWs (4 bytes/lrbw) 0x10000 to 0x4FFFF 0x50000 to 0x8FFFF TX Buffer RX Buffer 16 Buffer Slots (0x4000 bytes/slot) 16 Buffer Slots (0x4000 bytes/slot) Interface Corporation

23 2.3HDCL HDLCCPU FFh h1MSET HDLCCCRQ MSET (1) LCWCMDS FCh, FEh, FFh CMDS FCh, FEh, FFh LCWCMDS FCh, FEh, FFh (2) LCW(2)(15)LCW(0) LCW(1)00hLCW(1) (3) HDLCCCRQ1 CMDS FCh, FEh 2.5 CPUHDLC CCRQ1 (1) (2) HDLCCCRQ 1 Interface Corporation

24 2.6 HDLC HDLCINT1 HDLCHDLC CPUINTHDLC (1) HDLCCLRI1INT (2) (3) LSW(0)FFh (2) 2.7 HDLC 31h DTSD 34h MSET 35h MDST 36h AFST 37h LOPN 38h LCLS 3Dh SIRD Interface Corporation

25 LCW(0) CMDN(31h) LCW(1) LCW(2) CB TXBC LCW(3) LCW(4) LCW(5) LCW(6) LCW(7) LCW(8) LCW(9) LCW(10) LCW(11) LCW(12) LCW(13) LCW(14) LCW(15) BC BUFA TXDT TXBC 08 8 BC BC H3FFFh BUFA CB CB 0 1 TXDT CMDN Interface Corporation

26 LCW(0) CMDN(34h) LCW(1) LCW(2) LCW(3) LCW(4) (L) ADDR (M) (H) LCW(5) NLCW LCW(6) NLSW LCW(7) NLRBW ADDR NLCW NLCW NLSW NLSW NLRBW NLRBW ADDR の下位 4 ビットは 0 を設定してください Interface Corporation

27 LCW(0) CMDN(35h) LCW(1) LCW(2) 0 TFIL CODE SAF DMAW LCW(3) SHORT AUTO LCW(4) 0 LAP 0 0 FCS D LCW(5) TXED STBC LCW(6) LCW(7) RXBS(LSB) LCW(8) RXBS(MSB) LCW(9) MAXD(LSB) LCW(10) MAXD(MSB) LCW(11) LCW(12) TFIL 0 TFIL CODE 0 CODE 0 NRZ 1 NRZI SAF 0 SAF 0 1 Interface Corporation

28 DMAW DMA 4 SHORT 00 SHORT ショートフレームについての注意事項 16bitFCS 設定の場合 フラグ - フラグ間が 24bit 未満のフレームはアボート フレームとして扱われます ( ショート アボート フレーム ) 32bitFCS 設定の場合 フラグ - フラグ間が 40bit 未満のフレームはアボート フレームとして扱われます ( ショート アボート フレーム ) ショート アボート フレームとアボート フレームの見分け方は次の通り ショート アボート フレーム : アボートかつ受信データの最後の 1 バイトが 7Eh アボート フレーム : アボートかつ受信データの最後の 1 バイト 7Eh でない AUTO 00 AUTO LAPD LAPD1LAPD Interface Corporation

29 FCS FCS 0000 Bit3 Bit2 Bit1 Bit0 16-bit/ CRC-16/ Initial Final 32-bit CCITT (*1) XOR XOR CRC CRC-CCITT FCS 16 1 X X X X 1 1 FCS 32 *116bitFCS CRC-CCITT G(x) = x 16 +x 12 +x 5 +1 CRC-16 G(x) = x 16 +x 15 +x 2 +1 TXED TXED 0 TXED 0 1 STBC STBC0 STBCAUTOSHORT AUTO SHORT STBC STBC=0, 2STBC STBC=0, 3STBC STBC=0, 4STBC8 01/ STBC8 01/ STBC8 01/ STBC8 RXBS RXBS h3FFFh Interface Corporation

30 MAXD MAXD RXBS (NLRBW 4) NLRBWMSET MAXD h3FFFh MDST DMAB DMA DMAB 8/ LOAK LOAK LOAK OCT OCT BUFC BUFC BUFE BUFE Interface Corporation

31 LCW(0) CMDN(36h) LCW(1) LCW(2) BC LCW(3) LCW(4) LCW(5) LCW(6) LCW(7) LCW(8) LCW(9) AF LCW(10) LCW(11) LCW(12) LCW(13) LCW(14) AUTO BC MDST AUTO=01 1BC12 AUTO=11 BC= AF AUTO=01LCW3141 AUTO=11LCW31LCW LCW(0) CMDN(37h) LCW(1) TFIL 0 Interface Corporation

32 LCW(0) CMDN(38h) LCW(1) TFIL LCW(0) CMDN(3Dh) LCW(1) SIAK 2.8 HDLC 31h DTRV 37h LOAK 38h LCAK 39h TXED 3Dh SIAK 3Fh CILG 41h GI2C 43h OLSW Interface Corporation

33 LCW(0) STSN(31h) LCW(1) Don t care LCW(2) CB ERR RXBC LCW(3) LCW(4) BC LCW(5) LCW(6) BUFA LCW(7) LCW(8) LCW(9) LCW(10) LCW(11) LCW(12) RXDT LCW(13) LCW(14) LCW(15) RXBC 08 8 ERR DTRV MDSTSAF1 Priority Meaning Code - Normal Frame (no error) (high) Abort Frame Short Frame No Address Long Frame (low) FCS Error Frame 010 BC BC016383(0H3FFFH) CB 0 1 Interface Corporation

34 BUFA RXDT DTRV LCW(0) STSN(37h) LOPN LCW(0) STSN(38h) LCLS LCW(0) LCW(1) STSN(39h) TXEN MDSTTXED=1DTSD TXEN Interface Corporation

35 2.8.5 LCW(0) LCW(1) LCW(2) LCW(3) LCW(4) LCW(5) LCW(6) LCW(7) LCW(8) LCW(9) LCW(10) LCW(11) LCW(12) STSN(3Dh) COUNT OVRN UNRN (00h) SHORT ADDR LONG ABORT FCS (00h) FLSW FLRBW SIRD COUNT LSW(2)LSW(12)00hCOUNT00h LSW(2)LSW(12)01h OVRN FIFO UNRM FIFO SHORT Interface Corporation

36 ADDR MDSTAUTO=01 AFST LONG MDSTRXBS ABORT 7 1 FCS CRCFCS FLSW FLRBW FCS FFhFFh Interface Corporation

37 2.8.6 LCW(0) LCW(1) LCW(2) LCW(3) LCW(4) STSN(3Fh) ILST LSTN (00h) CMDN ILST 00h 02hFIFO 03hDTSD LSTN 00h 02h CMDN Interface Corporation

38 LCW(0) STSN(41h) LCW(1) GI2F GI1F GO2F GO1F GI2 GO1F GO1 GO1F GO1 0 L 1 H GO2F GO2 GO2F GO2 0 L 1 H GI1F GI1 GI1F GI1 0 L 1 H GI2F GI2 GI2F GI2 0 L 1 H GI GO1, GO2, GI Interface Corporation

39 LCW(0) STSN(43h) LCW(1) GI2F GI1F GO2F GO1F LCW(2) LSTN GO1F GO1 GO1F GO1 0 L 1 H GO2F GO2 GO2F GO2 0 L 1 H GI1F GI1 GI1F GI1 0 L 1 H GI2F GI2 GI2F GI2 0 L 1 H LSTN 00h 02h GI GO1, GO2, GI2 Interface Corporation

40 3 3.1 MSET MDST LOPN LCLS Interface Corporation

41 3.1.1 RS (bps) (bps) = (bps) = TXBRGRXBRG TX BRG RX BRG RS-485 TCSTR RS RS HDLC Interface Corporation

42 3.1.2 HDLCFFh MSET MEST h HDLC MSET h0043fh 00000h 001FFh 00200h 003FFh 00400h 0043Fh 00440h FFFFFh Interface Corporation

43 3.1.5(MDST) MDST 3 TFIL 0 CODE 0 NRZ SAF 0 DMAW 4 = 4 SHORT 0 AUTO 0 LAPD 0 LAPD FCS 0 FCS = CRC-16 TXED 0 LSW STBC 0 = 0 RXBS 3FFFh = 3FFFh MAXD 3FFFh = 3FFFh Interface Corporation

44 Interface Corporation 2 HDLC

45 Interface Corporation HDLC

46 Interface Corporation 3.1.6(LOPN) LOPNHDLC LOPN4 MDSTTFIL TFIL HDLCLOPNLOAK 5 HDLC 4LOPN HDLC

47 Interface Corporation HDLC

48 3.1.7 DTSD DTSD6 HDLCMDSTTXED DTSDDTSD 8 DTSDDTSD HDLCDTSDBUFA DTSD 116K DTSD DSTDDTSDCB1 DTSD DTSD HDLCDTRV 7 HDLCDTRV DTRVMDSTSTBC DTRVMDSTSTBC HDLCMDSTRXBSMAXD DTRVBUFABC Interface Corporation

49 HDLC MDSTAUTO AFST AFST MDSTSAF SAF 0 SAF Abort Frame FCS Error Frame Long Frame Short Frame No Address 7 1 FCS MDSTRXBS MDSTSHORT AFST Interface Corporation

50 Interface Corporation 6 HDLC

51 Interface Corporation HDLC

52 Interface Corporation 3.1.8(LCLS) LCLSHDLC HDLCLCLS LCLS8 HDLCLCLSLCAK 8LCLS HDLC

53 3.2 アイドル検出 NRZ NRZI R R 0µs µs µs アイドル検出時間設定時 すでに設定した検出時間以上アイドル状態が続いていれば 直ちに割り込みが出力されます Interface Corporation

54 3.3 半二重制御 LAP-D LAP-DRS-485T, ST, C, RHdenable RS-485 HDenableHDLCGO3 GI2 /GO3 HDLC HDLC /GI2 HDLC HDLC HDenableGI GO3GO Interface Corporation

55 第 4 章 DOS からのリソース取得 (BPD-0805) 4.1 概要 DOSPCIBPD-0805 BPD-0805Web sitereadme.txt 4.2 プログラム作成時の注意 4.3 対応言語 PC-9801/9821 IBM PC/AT Borland C++ Ver. 4.0 Borland C++ Ver. 4.0 Borland C++ Ver. 4.5 Borland C++ Ver. 4.5 Borland C++ Ver. 5.0 Borland C++ Ver. 5.0 Turbo C nd Edition Turbo C++ Ver. 4.0 Turbo C++ Ver. 4.0 Microsoft C Ver. 6.0 Microsoft C Ver. 6.0 Microsoft C/C++ Ver. 7.0 Microsoft C/C++Ver. 7.0 Interface Corporation

56 4.4 関数説明 PciGetConfigReg PCI BIOSPCI int PciGetConfigReg( int index, unsigned int ven_id, unsigned int dev_id, unsigned long bar[], char *irq ) index RSW1 1 ven_id ID ID1147h dev_id ID ID C bar[6] BaseAddress0BaseAddress5 bar[0]baseaddress0ch1 HDLC bar[1]baseaddress1ch1 HDLC bar[2]baseaddress2ch2 HDLC bar[3]baseaddress3ch2 HDLC irq 0 1PCI BIOS Interface Corporation

57 #include <stdio.h> #include GETBAR.H void main ( void ) { unsigned long bar[6], adr0, adr1, adr2, adr3; char irq; } PciGetConfigReg ( 1, 0x1147, 4172, bar, &irq ); // Adr0 = bar[0]; // CH1 HDLC Adr1 = bar[1]; // CH1 HDLC Adr2 = bar[2]; // CH2 HDLC Adr3 = bar[3]; // CH2 HDLC printf ( CH1 %8x, %8x n, adr0, adr1); printf ( CH2 %8x, %8x n, adr2, adr3); printf ( %d n, irq ); Interface Corporation

58 PciGetConfigRegEx PCI BIOSPCI int PciGetConfigRegEx( int index, unsigned int ven_id, unsigned int dev_id, unsigned int subven_id, unsigned int subsys_id, unsigned int *bus_info, PPCI_CONFIG PciData ) index RSW1 11 ven_id ID ID1147h dev_id ID ID Ch subven_id ID ID1147h subsys_id ID ID0001h bus_info bit15bit8 bit7bit3 bit2bit0 PciData PCI 0 1PCI BIOS Interface Corporation

59 #include <stdio.h> #include GETBAR.H void main ( void ) { unsigned long adr0, adr1, adr2, adr3; unsigned int bus_info; PCI_CONFIG PciData; PciGetConfigRegEx ( 1, 0x1147, 4172, 0x1147, 0x0001, &bus_info, &PciData ); // } adr0 = bar[0]; // CH1 HDLC adr1 = bar[1]; // CH1 HDLC adr2 = bar[2]; // CH2 HDLC adr3 = bar[3]; // CH2 HDLC printf ( CH1 %8x, %8x n, adr0, adr1); printf ( CH2 %8x, %8x n, adr2, adr3); Interface Corporation

60 PCI_CONFIG PCI typedef struct _PCI_CONFIG { unsigned short VendorID; // 00: Vendor ID unsigned short DeviceID; // 02: Device ID unsigned short Command; // 04: Command unsigned short Status; // 06: Status unsigned char RevisionID; // 08: Revision unsigned char ProgIf; // 09: ProgIf unsigned char SubClass; // 0A: Sub Class unsigned char BaseClass; // 0B: Base unsigned char CacheLineSize; // 0C: Cache Line unsigned char LatencyTimer; // 0D: Latency unsigned char HeaderType; // 0E: Header unsigned char BIST; // 0F: BIST unsigned long BaseAddresses[6]; // 10: Base Address unsigned long CIS; // 28: CIS Pointer unsigned short SubVendorID; // 2C: Subsystem Vendor ID unsigned short SubSystemID; // 2E: Subsystem ID unsigned long ROMBaseAddress; // 30: ROM Base Address unsigned char CapabilitiesPtr; // 34: Capabilities Pointer unsigned char Reserved1[3]; // 35: Reserved unsigned long Reserved2[1]; // 38: Reserved unsigned char InterruptLine; // 3C: INT Line unsigned char InterruptPin; // 3D: INT Pin unsigned char MinimumGrant; // 3E: MIN_GNT unsigned char MaximumLatency; // 3F: MAX_LAT unsigned char DeviceSpecific[192]; // 40: } PCI_CONFIG, *PPCI_CONFIG; Interface Corporation

61 BaseAddresses[6] BaseAddress0BaseAddress5 bar[0]baseaddress0ch1 HDLC bar[1]baseaddress1ch1 HDLC bar[2]baseaddress2ch2 HDLC bar[3]baseaddress3ch2 HDLC InterruptLine RevisionID Revision PCI Interface Corporation

62 付録 A PCI-4159 との機能比較 HDLC *1 (*1) PCI-4159 M M DTSD '0' MSET MDST AFST LOPN LCLS MARD MDRD AFRD SIRD GOWR GPRD SIRE GRPE MDSE AFSE DTRV '0' TXUR TOUT LOAK LCAK TXED MAAK MDAK AFAK SIAK CILG GI1C GI2C GPAK OLSW SIAF GPAE NRZ NRZI FCS / CRC-16 CRC-ITU-T FCS-16 FCS-32 1/8bit 2/8bit 1/16bit *1 0µs µs 8ms 100ms Interface Corporation

63 付録 B PCI-4159 とのレジスタマップ比較 00h 01h 04h 08h + 09h 0Ah 0Ch 0Dh 0Eh 0Fh 10h 11h 12h 13h 14h 18h 19h 1Ah 1Ch 1Dh 1Fh PCI-4159 HDLC µpd72103 HDLC µpd72103 OvROvF RS-485 / RS-485 / TXBRG TXBRG BRG RXBRG RXBRG BRG RSW RSW1 Interface Corporation

64 重要な情報 / USER S MANUAL Interface Corporation

65 I/O IPB-J4172Ver.1.1 Dream & Freedom TEL FAX Interface Corporation

66 IPB-J4172Ver. 1.1Vol. 1/1

C-ISAバス製品からPCIバス製品への移行マニュアル MS-DOS編 LAP-B [VCD-4115→GPD-4115への移行]

C-ISAバス製品からPCIバス製品への移行マニュアル MS-DOS編 LAP-B [VCD-4115→GPD-4115への移行] TUT-0005 Ver 1.1 www.interface.co.jp www.interface.co.jp Ver. 1.0 2001 9 1.1 2005 9 (,), Web site ( ) - 1 - Interface Corporation / () Interface Corporation - 2 - 8 1 MS-DOS PCI 9 1.1...9 1.2...11 1.3...11

More information

tutorial_lc.dvi

tutorial_lc.dvi 00 Linux v.s. RT Linux v.s. ART-Linux Linux RT-Linux ART-Linux Linux kumagai@emura.mech.tohoku.ac.jp 1 1.1 Linux Yes, No.,. OS., Yes. Linux,.,, Linux., Linux.,, Linux. Linux.,,. Linux,.,, 0..,. RT-Linux

More information

Gpci4ソフト_下位DLL_説明書3版.PDF

Gpci4ソフト_下位DLL_説明書3版.PDF G-PCI4 ... 3 WINDOWSNT...3 WINDOWS2000/XP...3... 4...4 DLL WINDOWSNT/2000/XP...4...4... 5...5... 6...6...6...6...6...7...9...11... 11... 11... 11... 12... 12...12 ...13...14 1... 14 2... 15 3... 16 4...

More information

M12-J4172

M12-J4172 HARDWARE MANUAL CPZ-1 HDLC RS()( 外部クロック ) CH RS-() 00m 1M Mbps HDLC 安全にお使いいただくために HARDWARE MANUAL!! 00 Interface Corporation 製品のマニュアル, ドキュメントのご紹介 API I/O Web CD-ROM - 1 - Interface Corporation 弊社製品は 人命にかかわるような状況下で使用される機器に用いられることを目的として設計,

More information

IO-PCIソフト仕様書3版.PDF

IO-PCIソフト仕様書3版.PDF DLL iopcisb.dll95/98/me iopcisbnt.dllnt/2000/xp WindowsSystem iopcisb.lib(95/98/me) iopcisbnt.lib(nt/2000/xp) VisualC/C++ IOPCIapi.h HANDLEhVxD // hvxd=iopciopen(1); // (1) ID // // intret; ret=iopciclose(

More information

SE-800 INSTRUCTION BOOK

SE-800 INSTRUCTION BOOK 1 2 3 4 1b 1a 2 3 6 7 8 9 1c 1d 1e 4 5 11a 10 11b 11c 11d 13 12 14 5 6 7 3 4 5 6 7 8 1 2 24 23 22 9 10 11 21 20 19 18 16 17 15 14 13 12 8 9 10 11 12 13 14 1. 2. 3. 4. 5. 1. 2. 15 16 17 18 19 20 datavideo

More information

MDH-J0004

MDH-J0004 SOFTWARE USER S GUIDE DOS System( 日本語環境モデル ) システム構築ガイド 安全にお使い頂くために SOFTWARE USER S GUIDE,!!, 2007, 2012 Interface Corporation, 製品ドキュメントのご紹介 本製品に関する情報を下記の通りご用意しております 必要に応じて 適切なものをご利用ください マニュアル 内容 システム構築ガイド

More information

スライド 1

スライド 1 RX62N 周辺機能紹介データフラッシュ データ格納用フラッシュメモリ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ データフラッシュの概要 プログラムサンプル 消去方法 書き込み方法 読み出し方法 FCUのリセット プログラムサンプルのカスタマイズ 2 データフラッシュの概要 3 データフラッシュとは フラッシュメモリ

More information

WinDriver PCI Quick Start Guide

WinDriver PCI Quick Start Guide WinDriver PCI/PCI Express/PCMCIA 5! WinDriver (1) DriverWizard (2) DriverWizard WinDriver (1) Windows 98/Me/2000/XP/Server 2003/Vista Windows CE.NET Windows Embedded CE v6.00 Windows Mobile 5.0/6.0 Linux

More information

A Responsive Processor for Parallel/Distributed Real-time Processing

A Responsive Processor for Parallel/Distributed Real-time Processing E-mail: yamasaki@{ics.keio.ac.jp, etl.go.jp} http://www.ny.ics.keio.ac.jp etc. CPU) I/O I/O or Home Automation, Factory Automation, (SPARC) (SDRAM I/F, DMAC, PCI, USB, Timers/Counters, SIO, PIO, )

More information

thesis.dvi

thesis.dvi H8 e041220 2009 2 Copyright c 2009 by Kentarou Nagashima c 2009 Kentarou Nagashima All rights reserved , H8.,,,..,.,., AKI-H8/3052LAN. OS. OS H8 Write Turbo. H8 C, Cygwin.,., windows. UDP., (TA7279P).,.

More information

WAGO Profibus /-833及び三菱MELSEC Q02HCPU/QJ71PB92Dのコンフィグレーション

WAGO Profibus /-833及び三菱MELSEC Q02HCPU/QJ71PB92Dのコンフィグレーション Version2.0(2009.2.6) Copyright 2008 by WAGO Kontakttechnik GmbH All rights reserved. WAGO Kontakttechnik GmbH Hansastraße 27 D-32423 Minden Phone: +49 (0) 571/8 87 0 Fax: +49 (0) 571/8 87 1 69 E-Mail:

More information

User Manual, Anybus Communicator for DeviceNet

User Manual, Anybus Communicator for DeviceNet User Manual Anybus Communicator for DeviceNet Rev. 2.52 HMS Industrial Networks AB Germany Japan Sweden U.S.A. France Italy China + 49-721 - 96472-0 + 81-45 - 478-5340 + 46-35 - 17 29 20 + 1-312 - 829-0601

More information

Express5800/R110a-1Hユーザーズガイド

Express5800/R110a-1Hユーザーズガイド 4 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Xeon Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0B60: DIMM group #1 has been disabled. : Press to resume, to

More information

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」 ALTIMA Company, MACNICA, Inc Nios II HAL API Modular Scatter-Gather DMA Core Ver.17.1 2018 8 Rev.1 Nios II HAL API Modular Scatter-Gather DMA Core...3...3...4... 4... 5 3-2-1. msgdma... 6 3-2-2. On-Chip

More information

Quartus II ハンドブック Volume 5、セクションIV. マルチプロセッサの調整

Quartus II ハンドブック  Volume 5、セクションIV. マルチプロセッサの調整 IV. SOPC Builder Nios II 9 Avalon Mutex 10 Avalon Mailbox 9 10 / 9 v5.1.0 2005 5 v5.0.0 Nios II 2004 12 v1.0 10 v5.1.0 2005 5 v5.0.0 Altera Corporation IV 1 Quartus II Volume 5 IV 2 Altera Corporation

More information

エクセルソフト株式会社 WinDriver PCI 5! WinDriver 1. DriverWizard 2. DriverWizard WinDriver 1. Windows 98/Me NT/2000/XP Windows CE/CE.NET Windows Server 2003 Lin

エクセルソフト株式会社 WinDriver PCI 5! WinDriver 1. DriverWizard 2. DriverWizard WinDriver 1. Windows 98/Me NT/2000/XP Windows CE/CE.NET Windows Server 2003 Lin 5! WinDriver 1. DriverWizard 2. DriverWizard WinDriver 1. Windows 98/Me NT/2000/XP Windows CE/CE.NET Windows Server 2003 Linux Solaris VxWorks Web http://www.xlsoft.com/jp/products/windriver/ 2. WinDriver

More information

Intel Memory Protection Extensions(Intel MPX) x86, x CPU skylake 2015 Intel Software Development Emulator 本資料に登場する Intel は Intel Corp. の登録

Intel Memory Protection Extensions(Intel MPX) x86, x CPU skylake 2015 Intel Software Development Emulator 本資料に登場する Intel は Intel Corp. の登録 Monthly Research Intel Memory Protection Extensions http://www.ffri.jp Ver 1.00.01 1 Intel Memory Protection Extensions(Intel MPX) x86, x86-64 2015 2 CPU skylake 2015 Intel Software Development Emulator

More information

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices XAPP858 (v1.1) 2007 1 9 : Virtex-5 FPGA Virtex-5 DDR2 SDRAM : Karthi Palanisamy Maria George (v1.1) DDR2 SDRAM Virtex -5 I/O ISERDES (Input Serializer/Deserializer) ODDR (Output Double Data Rate) DDR2

More information

WinDriver を使用して、簡単にデバイス ドライバを作成

WinDriver を使用して、簡単にデバイス ドライバを作成 WinDriver Jungo WinDriver OS 1 2 OS WinDriver OS Windows Linux Solaris VxWorks OS WinDriver ( ) Web http://www.xlsoft.com/jp/products/downloa d/ WinDriver Ring-0 API I/O PCI/ISA USB 1 WinDriver 1. WinDriver

More information

目次 1 本アプリケーションノートの目的 送信手順 基本的な送信方法 キャリアセンスなし送信 キャリアセンスあり送信 ACK 期待送信 フレームメモリへのデータの設定 INFO

目次 1 本アプリケーションノートの目的 送信手順 基本的な送信方法 キャリアセンスなし送信 キャリアセンスあり送信 ACK 期待送信 フレームメモリへのデータの設定 INFO フレーム送受信方法 パナソニック ( 株 ) AIS 社セミコンダクター事業部 1 AP-MN87400_401-002 目次 1 本アプリケーションノートの目的...3 2 送信手順...3 2.1 基本的な送信方法...3 2.1.1 キャリアセンスなし送信...3 2.1.2 キャリアセンスあり送信...4 2.1.3 ACK 期待送信...4 2.2 フレームメモリへのデータの設定...5

More information

untitled

untitled FPGA SATA AE/ AVNET, INC. : 1921 : 1955 / : 1960 NYSE - AVT ( Sector : Technology ) CEO: Roy Vallee ( : : : 11,000 : KPMG LLP : 6 30 Fortune 500 ( 2006 212 ) InformationWeek 500 ( 2004 3 ) Fortune Top50

More information

Microsoft PowerPoint - IO_Pass-through_XenSummitTokyo2008_jp.ppt

Microsoft PowerPoint - IO_Pass-through_XenSummitTokyo2008_jp.ppt パススルー I/O の実装と今後 2008 年 11 月 21 日島田雄二 NECシステムテクノロジー 目次 1. パススルー I/O の実装 2. 今後の予定 3. パススルー I/O の課題 4. まとめ 2 NEC Corporation 2008 1. パススルー I/O の実装 パススルー I/O とは Domain へ I/O デバイスを割り当て Guest ソフトウェアから直接制御する

More information

R1EV5801MBシリーズ データシート

R1EV5801MBシリーズ データシート 1M EEPROM (128-kword 8-bit) Ready/Busy and function R10DS0209JJ0100 Rev.1.00 131072 8 EEPROM ROM MONOS CMOS 128 2.7V 5.5V 150ns (max) @ Vcc=4.5V 5.5V 250ns(max) @ Vcc=2.7V 5.5V 20mW/MHz (typ) 110µW (max)

More information

8ビットデータバスでアクセスする場合は、16ビットレジスタを上位バイト、下位バイトに分けてアクセスします

8ビットデータバスでアクセスする場合は、16ビットレジスタを上位バイト、下位バイトに分けてアクセスします はじめにこのたびは 弊社 T-IADA168 をお買い上げ頂きましてまことにありがとうございます このマニュアルは T-IADA168 の概要等について説明しています 各 LSI についての詳細は必要に応じてデータシートを参照してください ハードウエアの不具合に関しましてのサポートはいたしますが RTOS を含めたソフト面のサポートは基本的にはしておりません 注意事項 (1) 本書の内容に関しては将来予告なしに変更することがあります

More information

基本条件 (1Slot 版用 ) 機能 MR-SHPC 端子名 設定内容 備考 CS 空間 -CS CS6 空間 ( キャッシュ無し ) キャッシュ無し空間を使用 (B h) RA25 0 固定 レジスタ空間 RA24 0 固定 RA23 0 固定 B83FFFE 4h~B83FFFF

基本条件 (1Slot 版用 ) 機能 MR-SHPC 端子名 設定内容 備考 CS 空間 -CS CS6 空間 ( キャッシュ無し ) キャッシュ無し空間を使用 (B h) RA25 0 固定 レジスタ空間 RA24 0 固定 RA23 0 固定 B83FFFE 4h~B83FFFF SH4 基本システム構成例 IRLn A25-0 D15-0 -CSn -BS -RD -WE1-0 -RDY CKIO -RESET SIRQ 3-0 SA25-0 SD15-0 -CS -BS -SRD -SWE1-0 -WAIT /-RDY CKIO -RESET RA25-22 ENDIAN TEST 任意の設定値 SH4 MR-SHPC-01 V2 CA25-0 -CCE2-1 -CREG

More information

API-PAC(W32)RM/AIO

API-PAC(W32)RM/AIO PC-MODULE / PC-HELPER API-PAC(W32) AIO http://www.contec.co.jp/ http://www.contec.com/ http://www.contec.com.cn/ PDF / ( ) E-mail(tsc@contec.co.jp) FAX E-mail Question FAX Question FAX Question API-PAC(W32)RM/AIO

More information

NI P1200 Release Notes Cover

NI P1200 Release Notes Cover PEX-H2994W Board Support Package Installation on RedHawk Release Notes July 4, 2019 1. はじめに 本書は Concurrent Real Time IncCCRT) の RedHawk 上で動作する インターフェース社製 PEX- H2994W PCI Express ボードサポートパッケージ用リリースノートです

More information

HN58V256Aシリーズ/HN58V257Aシリーズ データシート

HN58V256Aシリーズ/HN58V257Aシリーズ データシート HN58V256A HN58V257A 256k EEPROM (32-kword 8-bit) Ready/Busy and RES function (HN58V257A) RJJ03C0132-0600 Rev. 6.00 2007. 05. 24 HN58V256A HN58V257A 32768 8 EEPROM ROM MNOS CMOS 64 3V 2.7 5.5V 120ns (max)

More information

2 JN2100 from firmware 2.1.0 80011481/00 10/2014 261-7118 2-6-1 WBG 18F 0I20-78-2070 E-Mail info.jp@ifm.com website www.ifm.com/jp 1................................................ 4 1.1...............................................

More information

00-COVER.P65

00-COVER.P65 AHA-2910C R AHA-2910C PCI-to-Fast SCSI 1998 Adaptec, Inc. All rights reserved. Adaptec, Inc., 691 South Milpitas Blvd., Milpitas, CA 95035 Adaptec Adaptec Adaptec AHA PhaseEngine SCSISelect Adaptec Adaptec

More information

E for LINUX UNIX Windows 10

E for LINUX UNIX Windows 10 E for LINUX UNIX Windows 10 ...3...4 DASmini...5 1...5...6...7 DASmini...8 3.1 inet_io_open...9 3.2 inet_io_close... 10 3.3 inet_io_packet... 11 3.4 inet_io_cond... 12 3.5 inet_io_stat... 13 3.6 inet_io_stop...

More information

HN58C256A シリーズ/HN58C257A シリーズ データシート

HN58C256A シリーズ/HN58C257A シリーズ データシート HN58C256A HN58C257A 256k EEPROM (32-kword 8-bit) Ready/Busy and RES function (HN58C257A) RJJ03C0133-0600Z Rev. 6.00 2006. 10. 26 HN58C256A HN58C257A 32768 8 EEPROM ROM MNOS CMOS 64 5V±10% 85ns/100ns (max)

More information

SerialATA ATA Embedded Clocking 8B10B coding 2 pair Hot Plug ATA ATA (150MB/s ) 10 roadmap 2004/2/17 SATA Overview Page 2

SerialATA ATA Embedded Clocking 8B10B coding 2 pair Hot Plug ATA ATA (150MB/s ) 10 roadmap 2004/2/17 SATA Overview Page 2 2004.2.17 akinori_maeda@agilent.com SerialATA ATA Embedded Clocking 8B10B coding 2 pair Hot Plug ATA ATA (150MB/s ) 10 roadmap 2004/2/17 SATA Overview Page 2 SATA PC JBOD 2004/2/17 SATA Overview Page 3

More information

N Express5800/R320a-E4 N Express5800/R320a-M4 ユーザーズガイド

N Express5800/R320a-E4  N Express5800/R320a-M4  ユーザーズガイド 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

Express5800/R320a-E4, Express5800/R320b-M4ユーザーズガイド

Express5800/R320a-E4, Express5800/R320b-M4ユーザーズガイド 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

4

4 I/O 2AO DC0-10V/ 10V 16Bit Ver. 1.0.0 2 750-562 Copyright 2006 by WAGO Kontakttechnik GmbH All rights reserved. 136-0071 1-5-7 ND TEL 03-5627-2059 FAX 03-5627-2055 http://www.wago.co.jp/io/ WAGO Kontakttechnik

More information

TD-UARTip 設計仕様書

TD-UARTip 設計仕様書 TD-HDLCip 設計仕様書 Version.23 -- TD-HDLCip 変更履歴 Ver.2 インレビアム初版 Ver.22 26/8/3 6 頁 25 頁 26 頁 XTLAST の機能説明修正 CPU リード / ライトタイミングに注意事項追記 送信 DMA ライトタイミングに注意事項追記 誤字脱字 文字の統一 Ver.22-2/4/3 6 頁 修正 Ver.23 24/4/ 8-22

More information

S5U1C8F360T1 Manual (S1C8F360 DEMO Board)

S5U1C8F360T1 Manual (S1C8F360 DEMO Board) MF-0 CMOS -BIT SINGLE CHIP MICROCOMPUTER SUCF0T Manual (SCF0 DEMO Board) Hardware/Software SEIKO EPSON CORPORATION 00 S C 0 F 0A0 00 SU C D 00 SUCF0T Manual I HARDWARE SUCF0T MANUAL EPSON I-i (SCF0 DEMO

More information

4

4 I/O 2AO 0/4-20mA / DC6-18V 16Bit Ver. 1.0.0 2 750-563 Copyright 2006 by WAGO Kontakttechnik GmbH All rights reserved. 136-0071 1-5-7 ND TEL 03-5627-2059 FAX 03-5627-2055 http://www.wago.co.jp/io/ WAGO

More information

MPL115A

MPL115A MPL115A2(I2C を用いた絶対圧力計 ) のデータ取り込み ストロベリーリナックスなどを通して, 購入可能な,I2C 通信で行う絶対圧力センサ MPL115A2 と PSoC を用い,RS232 で出力するようにプログラムをする PSoC には,P16 に Rx,P27 に Tx を接続し, シリアル通信できるようにした MPL115A2 との配線は次のようにする MPL115A2 PSoC

More information

MDH-J0006

MDH-J0006 SOFTWARE USER S GUIDE,!!, 2014 Interface Corporation, Intel(R) Atom(TM) Interface DOS System() Intel(R) Atom(TM) DOS System() Intel(R) Atom(TM) DOS System() :Web site(www.interface.co.jp) :() - 1 - Interface

More information

FreeBSD 1

FreeBSD 1 FreeBSD 1 UNIX OS 1 ( ) open, close, read, write, ioctl (cdevsw) OS DMA 2 (8 ) (24 ) 256 open/close/read/write Ioctl 3 2 2 I/O I/O CPU 4 open/close/read/write open, read, write open/close read/write /dev

More information

13 I/O

13 I/O 13 I/O 98-0997-3 14 2 7 Linux OS OS OS I/O I/O TS-I/O I/O I/O TS-I/O TS-I/O 3 1 7 2 9 2.1..................... 9 2.2.................. 10 2.3 2...................... 12 2.4 Linux................... 14

More information

Express5800/R320a-E4/Express5800/R320b-M4ユーザーズガイド

Express5800/R320a-E4/Express5800/R320b-M4ユーザーズガイド 7 7 障害箇所の切り分け 万一 障害が発生した場合は ESMPRO/ServerManagerを使って障害の発生箇所を確認し 障害がハー ドウェアによるものかソフトウェアによるものかを判断します 障害発生個所や内容の確認ができたら 故障した部品の交換やシステム復旧などの処置を行います 障害がハードウェア要因によるものかソフトウェア要因によるものかを判断するには E S M P R O / ServerManagerが便利です

More information

Express5800/320Fa-L/320Fa-LR

Express5800/320Fa-L/320Fa-LR 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

Express5800/320Fc-MR

Express5800/320Fc-MR 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

DeviceNet ROBONET RACON / RPCON ROBONET

DeviceNet ROBONET RACON / RPCON ROBONET DeviceNet ROBONET RACON / RPCON 1....1 2....1 3....2 4....3 5....3 5.1....3 5.2....4 6....6 6.1....6 6.2....7 6.3. ROBONET...8 6.4....14 6.5....30 7....40 7.1....40 8....41 1 1. Man.No. SCCC-308 DeviceNet

More information

M G

M G M0005351G TYPE S / TYPE C Q-SETUP ....... 1 1....3 1.1.... 3 1.2.... 4 1.2.1....4 1.2.2....4 1.3.... 8 2....11 2.1....11 2.2....11 3....12 3.1.... 12 3.2.... 13 3.3.... 18 3.4.... 18 3.4.1. ->...18 3.4.2.

More information

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装 LIM Corp. Nios II - PIO を使用した I 2 C-Bus (2 ワイヤ ) マスタの実装 ver.1.0 2010 年 6 月 ELSEN,Inc. 目次 1. はじめに... 3 2. 適用条件... 3 3. システムの構成... 3 3-1. SOPC Builder の設定... 3 3-2. PIO の設定... 4 3-2-1. シリアル クロック ライン用 PIO

More information

untitled

untitled EPX-64S Rev 1.2 1.. 3 1.1.......... 3 1.2....... 3 1.3....... 4 1.4... 4 1.5... 4 2........ 5 2.1.... 5 EPX64S_GetNumberOfDevices........ 5 EPX64S_GetSerialNumber........ 6 EPX64S_Open....... 7 EPX64S_OpenBySerialNumber

More information

REX-5051fx,ex User's Manual

REX-5051fx,ex User's Manual REX-5051fx REX-5051ex ...1...1...1...2...2...4 1. REX-5051...1-1...1-1 OS( )...1-2...1-2 (REX-5051fx)...1-3 (REX-5051ex)...1-4...1-5 2....2-1 REX-5051fx...2-1 REX-5051ex...2-2...2-3 REX-5051fx...2-4 REX-5051fx...2-4

More information

7 7

7 7 7 7 w w AmbientTempAlm00 AmbientTempAlm02 AmbientTempAlm07 AmbientTempAlm09 BMC Unsync BMC0 Not Ready BMC1 Not Ready Cor0 +12vAlm 00 Cor0 +12vAlm 02 Cor0 +12vAlm 07 Cor0 +12vAlm 09 Cor0 +2.5vAlm 00 Cor0

More information

Microsoft Word - Sample_CQS-Report_English_backslant.doc

Microsoft Word - Sample_CQS-Report_English_backslant.doc ***** Corporation ANSI C compiler test system System test report 2005/11/16 Japan Novel Corporation *****V43/NQP-DS-501-1 Contents Contents......2 1. Evaluated compiler......3 1.1. smp-compiler compiler...3

More information

u302.book

u302.book Text Search Filter Library Version 3 3000-6-302-10 P-1MD3-3831* Text Search Filter Library Version 3 03-10 OS AIX 5L V5.2 AIX 5L V5.3 P-24D3-3834 Text Search Filter Library Version 3 03-10 OS Windows 2000

More information

Complex Lab – Operating Systems - Graphical Console

Complex Lab – Operating Systems - Graphical Console Complex Lab Operating Systems Graphical Console Martin Küttler Last assignment Any questions? Any bug reports, whishes, etc.? 1 / 13 We are here Pong Server Paddle Client 1 Paddle Client 2 Memory Management

More information

CoIDE 用 STM32F4_UART2 の説明 V /03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000

CoIDE 用 STM32F4_UART2 の説明 V /03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000 CoIDE 用 STM32F4_UART2 の説明 V002 2014/03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000000 です デバッグが可能です 提供する PC のアプリケーションの Access_SerialPort

More information

DA100データアクイジションユニット通信インタフェースユーザーズマニュアル

DA100データアクイジションユニット通信インタフェースユーザーズマニュアル Instruction Manual Disk No. RE01 6th Edition: November 1999 (YK) All Rights Reserved, Copyright 1996 Yokogawa Electric Corporation 801234567 9 ABCDEF 1 2 3 4 1 2 3 4 1 2 3 4 1 2

More information

LIN (Local Interconnect Network) マスタ編

LIN (Local Interconnect Network) マスタ編 お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

Express5800/320Fa-L/320Fa-LR/320Fa-M/320Fa-MR

Express5800/320Fa-L/320Fa-LR/320Fa-M/320Fa-MR 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

untitled

untitled 4 1 1 7 7 10 10 HDD 15 CD-ROM 15 FDD 16 16 17 18 BIOS 18 BIOS 18 OSWindowsXP 22 22 30 33 IC CPU ICAND,OR NOT IC CPU IC IC 1-1 (Hard Disk Drive) CD-ROM (Floppy Disk Drive) 1 Input Output CPU CPU CPU CPU

More information

FUJITSU ULTRA LVD SCSI Host Bus Adapter Driver 3.0 説明書

FUJITSU ULTRA LVD SCSI Host Bus Adapter Driver 3.0 説明書 C120-E285-10Z2 FUJITSU ULTRA LVD SCSI Host Bus Adapter Driver 3.0 - for Oracle Solaris - () FUJITSU ULTRA LVD SCSI Host Bus Adapter 3.0 SCSI/SAS SCSI/SAS HBA(Host Bus Adapter) WARNING:

More information

R7G4HML3 6 LC2 取扱説明書 リモート I/O R7G4H シリーズ M E C H A T R O L I N K - Ⅲ 用 モニタ出力付 絶縁 2 点 ねじ端子台ロードセル入力ユニット 形式 R7G4HML3-6 - LC EU CE 許容電圧範囲 消費電流 直流

R7G4HML3 6 LC2 取扱説明書 リモート I/O R7G4H シリーズ M E C H A T R O L I N K - Ⅲ 用 モニタ出力付 絶縁 2 点 ねじ端子台ロードセル入力ユニット 形式 R7G4HML3-6 - LC EU CE 許容電圧範囲 消費電流 直流 取扱説明書 リモート I/O R7G4H シリーズ M E C H A T R O L I N K - Ⅲ 用 モニタ出力付 絶縁 2 点 ねじ端子台ロードセル入力ユニット 形式 R7G4HML3-6 - LC2...1...2 EU CE 許容電圧範囲 消費電流 直流電源 24 V DC 24 V DC 10 % 160 ma -10 +55 30 90 % RH 10 NM-7772-AX 初版

More information

AN-1077: ADXL345 Quick Start Guide

AN-1077: ADXL345 Quick Start Guide 09119-002 TOP 09119-001 ADXL345 Quick Start Guide by Tomoaki Tsuzuki APPLICATION NOTE PHYSICAL MOUNTING ADXL345 は 3 軸の加速度センサーです 検出軸方向を Figure1 に示します ADXL345 は検出軸の正方向に加速されると正極性の出力になります 重力は検出軸方向の逆方向の極性が出力されるので注意が必要です

More information

EVI-D100/D100P

EVI-D100/D100P A-AYS-100-11(1) EVI-D100/D100P 2001 Sony Corporation ... 3... 4... 5... 7... 18 D30/D31... 40... 46... 48... 49 2 3 VIDEO S S S VIDEO VISCA 1 VISCA IN VISCA OUT RS-232C EVI-D100/P VISCA IN AC DC IN 12V

More information

REX-5051W/FW/EW PC Card Adapter

REX-5051W/FW/EW PC Card Adapter REX-5051W REX-5051FW REX-5051EW ...1...1...1...2...2...4 1. REX-5051...1-1...1-1 OS( )...1-2...1-2 (REX-5051W,REX-5051FW)...1-3 (REX-5051EW)...1-4...1-5 2....2-1 REX-5051FW...2-1 REX-5051W...2-2 REX-5051EW...2-3...2-4

More information

1

1 Ver.1.04 Reference Document For LCD Module Product No Documenet No 1B3GB02 SPC1B3GB02V104 Version Ver.1.04 REPRO ELECTRONICS CORPORATION Maruwa Building 2F,2-2-19 Sotokanda,Chiyoda-ku,Tokyo 1001-0021 Japan

More information

電磁流量計 WZ-C Modbus RTU 通信仕様書 ver.8.225

電磁流量計 WZ-C Modbus RTU 通信仕様書 ver.8.225 30181210 電磁流量計 WZ-C Modbus RTU 通信仕様書 ver.8.225 1. 概要本通信仕様書は 電磁流量計 WZ-C に適用します 通信の手順に限った説明書となっておりますので 通信以外の操作説明等に関しましては WZ-C に付属している取扱説明書をご覧ください 2. ご使用になる前に 2.1 接続流量計の取扱説明書をご参照のうえ お客様の上位通信機器と接続を行ってください

More information

1 1 TA, ,9 1. ( 2. TM TM GUI TM 1. P7-13 TM Notepad, Meadow, ( P109 ). 2. (shisaku01/sys test)

1 1 TA, ,9 1. (  2. TM TM GUI TM 1. P7-13 TM Notepad, Meadow, ( P109 ). 2. (shisaku01/sys test) 1 1 TA, 20 10 6,9 1. (http://www.cyb.mei.titech.ac.jp/2008ss2/main.htm) 2. TM TM GUI TM 1. P7-13 TM Notepad, Meadow, ( P109 ). 2. (shisaku01/sys test) H src c startup ncrt0 ss2.a30 sect30 ss2.inc 1 1 /

More information

SystemC 2.0を用いた簡易CPUバスモデルの設計

SystemC 2.0を用いた簡易CPUバスモデルの設計 SystemC 2.0 CPU CPU CTD&SW CT-PF 2002/1/23 1 CPU BCA UTF GenericCPU IO (sc_main) 2002/1/23 2 CPU CPU CQ 1997 11 Page 207 4 Perl Verilog-HDL CPU / Verilog-HDL SystemC 2.0 (asm) ROM (test.hex) 2002/1/23

More information

joho07-1.ppt

joho07-1.ppt 0xbffffc5c 0xbffffc60 xxxxxxxx xxxxxxxx 00001010 00000000 00000000 00000000 01100011 00000000 00000000 00000000 xxxxxxxx x y 2 func1 func2 double func1(double y) { y = y + 5.0; return y; } double func2(double*

More information

ARM gcc Kunihiko IMAI 2009 1 11 ARM gcc 1 2 2 2 3 3 4 3 4.1................................. 3 4.2............................................ 4 4.3........................................

More information

VM-53PA1取扱説明書

VM-53PA1取扱説明書 VM-53PA1 VM-53PA1 VM-53 VM-53A VM-52 VM-52A VM-53PA1 VM-53PA1 VM-53A CF i ii VM-53 VM-53A VM-52 VM-52A CD-ROM iii VM-53PA1 Microsoft Windows 98SE operating system Microsoft Windows 2000 operating system

More information

1 8 Z80 Z GBA ASIC 2 WINDOWS C 1

1 8 Z80 Z GBA ASIC 2 WINDOWS C 1 1 8 Z80 Z80 20 8080 GBA ASIC 2 WINDOWS C 1 2.1 Z-80 A 0 - A 15 CPU Z80 D 0- D 7 I/O Z80 1: 1 (1) CPU CPU Z80 CPU Z80 AND,OR,NOT, (2) CPU (3) I/O () Z80 (4) 2 Z80 I/O 16 16 A 0, A 1,, A 15 (5) Z80I/O 8

More information

MAX191 EV J

MAX191 EV J -0; Rev ; / µ µ PART TEMP. RANGE BOARD TYPE MAXEVSYS-DIP 0 C to +0 C Through-Hole MAXEVKIT-DIP 0 C to +0 C Through-Hole 0CMODULE-DIP 0 C to +0 C Through-Hole Evaluates: MAX Maxim Integrated Products Evaluates:

More information

Express5800/110Ee Pentium 1. Express5800/110Ee N N Express5800/110Ee Express5800/110Ee ( /800EB(256)) ( /800EB(256) 20W) CPU L1 L2 CD-

Express5800/110Ee Pentium 1. Express5800/110Ee N N Express5800/110Ee Express5800/110Ee ( /800EB(256)) ( /800EB(256) 20W) CPU L1 L2 CD- Express5800/110Ee Pentium 1. Express5800/110Ee N8500-654 N8500-655 Express5800/110Ee Express5800/110Ee ( /800EB(256)) ( /800EB(256) 20W) CPU L1 L2 CD-ROM LAN Windows NT Server 4.0 Pentium 800EBMHz 1 (

More information

UID S307-NDEF

UID S307-NDEF [White Paper] Ubiquitous ID Center Specification DRAFT 2012-05-15 NFC ucode タグのメモリフォーマット規定 Standard of memory format of NFC ucode tag Number: Title: NFC ucode タグのメモリフォーマット規定 Standard of memory format of

More information

MDH-J0004

MDH-J0004 SOFTWARE USER S GUIDE DOS System( 日本語環境モデル ) システム構築ガイド 安全にお使い頂くために SOFTWARE USER S GUIDE,!!, 2007, 2008 Interface Corporation, DOS System( ) 製品ドキュメントのご紹介 DOS System( ) : Web site (www.interface.co.jp)

More information

橡EN1165.PDF

橡EN1165.PDF G780(7ZMMP-KK F1C) BIOS Setup 1 G780(7ZMMP-KK F1C) 2 G780(7ZMMP-KK F1C) 3 G780(7ZMMP-KK F1C) 4 G780(7ZMMP-KK F1C) 1st Boot Device 2nd Boot Device 3rd Boot Device S.M.A.R.T. for Hard Disks BootUp Num-Lock

More information

ACON / PCON PLC

ACON / PCON PLC ACON / PCON 1....1 2....1 3....2 4....3 5....3 5.1....3 5.2....4 6....5 6.1....5 6.2....6 6.3....7 6.4....8 6.5....9 6.6. PLC...14 6.7....27 7....31 7.1....31 7.2....31 8....32 8.1....32 8.2....36 8.3....38

More information

cpu2007lectureno2.ppt

cpu2007lectureno2.ppt Cache Cache Cache cache cache 17.10.2007 1 17.10.2007 2 Cache Register:FF circuits Cache:Bipolar,CMOS SRAM Main Storage:SRAM,DRAM Disk Cache:DRAM 17.10.2007 3 SRAM Cell Structure (1 bit) 17.10.2007 4 temporal

More information

本機をお使いの方へ

本機をお使いの方へ MA46H/S Windows 98 / NT 4.0 Windows 98 / NT 4.0 MA46H/S BIOS 1999 10 808-877675-132-A 3 AT 6. 1. BIOS P.7 1. Windows 98 Windows Windows 98 Microsoft Windows 98 Windows NT 4.0 Microsoft Windows NT Workstation

More information

2 (4)-7

2 (4)-7 2 (4)-7 (4)-7 3 4 p r f > 5 6 7 8 9 10 11 r q!1 o!0!2!3!4!5 w e t y u i!6!7 q w e r t y 12 u i o!0!1!7!2!3!4!5 p r f >!6!7 13 !8!8!9!9 @0 @0 14 @1 @2 @3 @4 @5 @6 @7 @8 @9 @1 @2 @3 @5 @6 @7 @8 @9 @4 15

More information

PRECISION DIGITAL PROCESSOR DC-101

PRECISION DIGITAL PROCESSOR DC-101 PRECISION DIGITAL PROCESSOR Accuphase warranty is valid only in Japan. 2 3 1 4 5 IN 6 10 11 7 8 9 12 3 INPUT LEVEL(dB) 2 4 5 PRECISION DIGITAL PROCESSOR STEREO MHZ SELECTIVITY METER NORMAL SIGNAL MEMORY

More information

2

2 3G DN-300SE USB 2013 3 12 2 1.... 4 2.... 6 2.1.... 6 2.2. OS... 6 3.... 7 3.1.... 7 3.2.... 7 4....8 4.1.... 8 4.2. ALARM STOP... 10 4.3.... 10 5.... 11 5.1.... 11 6.... 12 6.1. (Windows Vista / 7 / 8

More information

Express5800/110Ee (2002/01/22)

Express5800/110Ee (2002/01/22) (2002/01/22) 1. N8100-691 ( /1BG(256)) CPU L1 L2 CD-ROM LAN OS Pentium 1.0BGHz 1 32KB 256KB 128MB 1.5GB ( IDE 60GB 3( IDE 2)) ( SCSI 18.1GB 3) 14 40 100BASE-TX 10BASE-T 640 480 1280 1024(VRAM 8MB) 2. CD-ROM

More information

EPSON PX-V500 プリンタ操作ガイド

EPSON PX-V500 プリンタ操作ガイド A4 L... 6... 6... 7... 7... 8 EPSON PhotoQuicker... 8... 8... 14 1... 14 2... 15... 16... 16... 17... 17... 19... 19 A4 L... 23... 23... 24... 24... 25... 25... 29... 29... 29... 31... 31... 35... 35...

More information

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ arduino プログラミング課題集 ( Ver.5.0 2017/06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイコンから伝える 外部装置の状態をマイコンで確認する 信号の授受は 入出力ポート 経由で行う (2) 入出力ポートとは?

More information

II ( ) prog8-1.c s1542h017%./prog8-1 1 => 35 Hiroshi 2 => 23 Koji 3 => 67 Satoshi 4 => 87 Junko 5 => 64 Ichiro 6 => 89 Mari 7 => 73 D

II ( ) prog8-1.c s1542h017%./prog8-1 1 => 35 Hiroshi 2 => 23 Koji 3 => 67 Satoshi 4 => 87 Junko 5 => 64 Ichiro 6 => 89 Mari 7 => 73 D II 8 2003 11 12 1 6 ( ) prog8-1.c s1542h017%./prog8-1 1 => 35 Hiroshi 2 => 23 Koji 3 => 67 Satoshi 4 => 87 Junko 5 => 64 Ichiro 6 => 89 Mari 7 => 73 Daisuke 8 =>. 73 Daisuke 35 Hiroshi 64 Ichiro 87 Junko

More information

BPC-0821 Help for Windows

BPC-0821 Help for Windows IFMEM4G.WIN BPC-0821 Windows 用メモリアクセスツール Help for Windows www.interface.co.jp 目次 第 1 章はじめに 2 1.1 概要...2 1.2 特長...2 第 2 章製品仕様 3 2.1 基本仕様...3 2.2 製品構成...3 第 3 章導入方法 4 3.1 インストール手順...4 3.2 実行手順...4 第 4 章リファレンス

More information

LMC-CA64U2K

LMC-CA64U2K LMC-CA64U2K V04 INTERNAL USB 2.0 Memory Card READER ... 1... 4... 5... 5... 6... 8... 8... 12 USB 2.0... 14... 15... 15 USB... 16 USB... 19... 24 Windows XP... 24 Windows Me... 25 Windows 98... 28 WIndows

More information

MU120138A 10ギガビットイーサネットモジュール 製品紹介

MU120138A 10ギガビットイーサネットモジュール 製品紹介 Product Introduction MU120138A 10 ギガビットイーサネットモジュール MD1230B データクオリティアナライザ MP1590B ネットワークパフォーマンステスタ MU120138A 次世代 10GbE 測定モジュール 製品紹介 アンリツ株式会社 Slide 1 Express Flow 10GbE module MU120138A - 10 Gigabit Ethernet

More information

M42-J421204

M42-J421204 HARDWARE MANUAL TSM-4104 HDLC モジュール RS485(4)( 外部クロック ) 4CH/DIO4 点 CPU:SH-3(13MHz) :4MB 4 RS-485(4) 1MB HDLC 4Mbps 4 安全にお使い頂くために HARDWARE MANUAL,!!, 005,00 Interface Corporation, 製品ドキュメントのご紹介 I/O API, I/O,

More information

Express5800/120Ed

Express5800/120Ed Pentium 60% 1. N8500-570A N8500-662 N8500-663 N8500-664 ( /800EB(256)) ( /800EB(256)-9W) ( /800EB(256)-9W2) ( /1BG(256)) Windows NT Server 4.0 Windows 2000 HDD HDD CPU Pentium 800EBMHz1 Pentium 1BGHz1

More information

EPSON PX-500 プリンタ操作ガイド

EPSON PX-500 プリンタ操作ガイド A4 L... 6... 6... 7... 7... 8 EPSON PhotoQuicker... 8... 8... 12 1... 12 2... 13... 14... 14... 15... 15... 17... 17 A4 L... 19... 19... 20... 20... 21... 21... 23... 23... 23... 25... 25... 27... 27...

More information

1 142

1 142 7 1 2 3 4 5 6 7 8 1 142 PhoenixBIOS Setup Utility MainSystem DevicesSecurityPowerOthersBootExit System Time: [XX:XX:XX] Item Specific Help System Date: [XX/XX/XXXX] Floppy Drive: 1.44MB, 3 1 / 2" Hard

More information

VR-509DN

VR-509DN VR-509DN LST0729-001C ... 4... 5... 8... 10... 45... 45... 46... 47... 48... 48... 49... 50... 51... 52... 52... 15... 16... 17 ON/OFF... 17... 18... 19... 20... 22... 24... 25... 26... 26... 27... 28...

More information

Happy Link LAN ------------------------------------------------------------------------------------------------------------ 3 -------------------------------------------------------------------------------------------------------

More information

セキュアVMの アーキテクチャ概要

セキュアVMの アーキテクチャ概要 2008 年 11 月 18 日 ( 火 ) セキュア VM ワークショップ ( 公開用修正版 ) 筑波大学講師品川高廣 セキュア VM BitVisor の アーキテクチャ概要 背景と目的 情報漏洩事件の増加 PC USBメモリ等の紛失 盗難 インターネット経由 ウィルスやファイル交換ソフトなど 仮想マシンモニタ (VMM) による安全性向上 暗号化 認証を VMM で強制する ストレージ及びネットワークの暗号化

More information

V850E2/ML4 マイクロコンピュータ Peripheral LibUSB Demo アプリケーションノート

V850E2/ML4 マイクロコンピュータ Peripheral LibUSB Demo アプリケーションノート R01AN1098JJ0100 Rev.1.00 V850E2/ML4 USB PC V850E2/ML4 CPU LED V850E2/ML4(uPD70F4022) 1.... 2 2.... 3 3.... 4 4.... 6 5.... 9 6.... 18 R01AN1098JJ0100 Rev.1.00 Page 1 of 27 1. 1.1 V850E2/ML4 USB PC LibUSB

More information