untitled

Similar documents
QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

DDR2 SDRAM をフレームバッファに使用した CMOS カメラ表示回路の実装

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

Microsoft PowerPoint - 3.3タイミング制御.pptx

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

電気的特性 (Ta=25 C) 項目 記号 条件 Min. Typ. Max. 単位 読み出し周波数 * 3 fop khz ラインレート * Hz 変換ゲイン Gc ゲイン =2-5 - e-/adu トリガ出力電圧 Highレベル Vdd V -

VLSI工学

スライド 1

CCD リニアイメージセンサ用駆動回路 C CCD リニアイメージセンサ (S11155/S ) 用 C は 当社製 CCDリニアイメージセンサ S11155/S 用に開発された駆動回路です S11155/S11156-

スライド 1

Microsoft Word - 実験4_FPGA実験2_2015

目次 1 I2Cとは 13 結線写真 2 センサの多くがI2Cに対応 14 WHO_AM_I 3 マイコンでのI2C通信例 15 I2C読込みプログラム 4 とは 16 I2C読込みスクリプト概要① 5 タイミングパラメータ 17 I2C読込みスクリプト概要② 6 書込み 18 センサ読込みプログラ

Microsoft PowerPoint LC_15.ppt

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

スライド 1

スライド 1

スライド 1

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用]

履歴 修正日 内容 2011/01/18 第 1 版制定 2012/10/ 版 内容 Bee Beans Technologies 社から配布されているネットワーク プロセッサ (SiTCP) のライブラリ使用方法を解説した文書です SiTCP の概要や各信号意味などは別文書 SiTCP

教材ドットコムオリジナル教材 0から始めるiアプリ (3) 0 から始める i アプリ (3) i アプリを作れるようになったならば次は公開です i アプリでは前述したように たとえエミュレータで動作確認ができたからといって実機のテストを怠ってはいけません 実機での処理速度 発色 プログラム等の耐性

8051 개발보드 메뉴얼

AN1545 LCD-KIT-D02 + VS-CAM-01 サンプルプログラム解説(AP-RX651-0A)

回路 7 レジスタ ( 同期イネーブル及び非同期リセット付 ) 入力データを保持するのに用いる記憶素子 使用用途として, マイクロプロセッサ内部で演算や実行状態の保持に用いられる Fig4-2 のレジスタは, クロック信号の立ち上がり時かつ 信号が 1 のときに外部からの 1 ビットデータ R をレ

AKI-PIC16F877A開発キット (Ver1

Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M

1. ボードの複数台制御 コンフィグ ROM から FPGA が起動できる場合を想定しています FPGA 回路には 特定のレジスタアドレスにプリセットしたデータが必要です 製品出荷時のサンプル FPGA 回路では レジスタ No.3 を 8bit 幅に設定し FPGA 外部の 4bit ディップスイ

Microsoft Word - Quadro Mシリーズ_テクニカルガイド_R1-2.doc

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE

Microsoft PowerPoint - RL78G14_動画マニュアル_タイマRD.ppt [互換モード]

TULを用いたVisual ScalerとTDCの開発

オンチップ・メモリ クイック・ガイド for Cyclone III

Virtex-6 Clocking

ortustech_yamaha_gdc_j(v110).doc

FPGAによる24時間時計回路

UMB-CP2114 User's Manual

スイッチ ファブリック

<91E63589F161>

<4D F736F F F696E74202D FEE95F18F88979D8B5A8F702E B93C782DD8EE682E890EA97705D205B8CDD8AB B83685D>

Microsoft PowerPoint - フェリカ通信仕様書_

GTR Board

また RLF 命令は 図 2 示す様に RRF 命令とは逆に 各ビットを一つずつ 左方向に回転 ( ローテイト ) する命令である 8 ビット変数のアドレスを A とし C フラグに 0 を代入してから RLF A,1 を実行すると 変数の内容が 左に 1 ビットシフトし 最下位ビット (LSB)

スライド 1

VelilogHDL 回路を「言語」で記述する

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな

MW100 Modbusプロトコルによるデータ通信の設定について

SICE東北支部研究集会資料(2009年)

ComputerArchitecture.ppt

内容 1. APX-3302 の特長 APX-3312 から APX-3302 へ変更するためには 差分詳細 ハードウェア ハードウェア性能および仕様 ソフトウェア仕様および制限 Ini ファイルの設

スライド 1

三菱電機マイコン機器ソフトウエア株式会社

Photo Sensor – 적외선 센서

RP-VL-S-01, RP-VL-R-01

計算機アーキテクチャ

ソフトウェア基礎技術研修

RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for

タイトル

SVO-03-MIPIハードウェア仕様書

HW-Slides-05.ppt

コンピュータの仕組み(1)ハードウェア

Microsoft Word - N-TM307取扱説明書.doc

PowerPoint プレゼンテーション

Microsoft Word - QEX_2014_feb.doc

SimscapeプラントモデルのFPGAアクセラレーション

ディジタル回路 第1回 ガイダンス、CMOSの基本回路

SVI-06ハードウェア仕様書

データ収集用 NIM/CAMAC モジュールマニュアル 2006/5/23 目次 クレート コントローラ CC/ NIM ADC 1821 (Seiko EG&G)...3 ADC インターフェイス U デッドタイム

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一

ターゲット項目の設定について

PowerPoint プレゼンテーション

スライド 1

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

NI 6601/6602 キャリブレーション手順 - National Instruments

スライド タイトルなし

モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサ

「電子政府推奨暗号の実装」評価報告書

1. A/D 入力について分解能 12bit の A/D コンバータ入力です A/D 入力電圧とディジタル値との対応は理論上 入力電圧 0V : 0 入力電圧 +3V : 4095 です 実際はオフセットと傾きがあり ぴったりこの数値にはなりません 2. A/D 入力に使用する信号 STM32L_A

2015/04/01 改定 オムロン DeviceNet ユニット CJ1W-DRM21 に関するコンフィグレーション作業について 1. 概要 DeviceNet ユニット CJ1W-DRM21 を装着したオムロン製 CJ2 シリーズと WAGO-I/0-SYSTEM DeviceNet 対応バスカ

スライド 1

計算機ハードウエア

問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN

Microsoft Word - XPC4ソフトマニュアル.doc

パルス波高値計測回路の製作

改訂履歴 改訂日付 改訂内容 2014/11/01 初版発行 2017/01/16 Studuino web サイトリニューアルに伴う改訂 2017/04/14 Studuino web サイトリニューアルに伴うアクセス方法の説明変更 2018/01/22 Mac 版インストール手順変更に伴う改訂

ディジタル電子回路 設計演習課題

DS099-E09: XC3S5000 FPGA エラッタおよび Spartan-3 データシートの確認

デジタル回路入門

スライド 1

FTP_RW_ProgramDescription_jp_V100

1/4 型 VGA-CMOS カメラモジュール NCM03-V VGA(DSP 内蔵 ) カメラモジュール NCM03-V NCM03-V は VGA 画素に準拠した 信号処理内蔵カラーカメラモジュールです 垂直 480 画素 水平 640 画素を有し 1/4 インチ光学フォーマットに適合します 低

ydez-usb マニュアル 写真 やなごデザイン有限会社

スライド 1

スライド 1

PowerPoint プレゼンテーション

Microsoft Word - SKY操作マニュアル.doc

(Microsoft Word - DDR\203\215\203W\203A\203i\215\\\220\254-ver0619.doc)

CommCheckerManual_Ver.1.0_.doc

Transcription:

FPGA を使用した CMOS カメラ ディスプレイ回路の製作 小野雅晃 筑波大学 システム情報工学等支援室装置開発班 概要 CMOS カメラから出力されたデータを使用して ディスプレイに表示する回路を FPGA (Feild Programmable Gate Array) で作成した CMOS カメラから出力された YUV422 データのうちの Y ( 輝度 ) データを FPGA に取り込んで 一旦 SRAM (Static RAM) に記憶する その記憶した Y データを再び FPGA に取り込んで VGA (Video Graphics Array) 信号を生成してディスプレイに送り 白黒画像を表示した 1 はじめに筑波大学 システム情報工学研究科 知能機能システム専攻 延原講師から VGA 信号をハードウェアで圧縮したいとの依頼を受けた そこで イーエスピー企画の画像ベースボード デジタル CMOS カメラ 208 ピン [3], [5], Spartan3E XC3S500+2M 高速 SRAM 基板 [6] を使って CMOS カメラからの画像を加工することにした その第一段階として CMOS カメラ出力された YUV422 データのうちの Y ( 輝度 ) データを そのまま白黒画像としてディスプレイに表示してみることにした CMOS カメラから出力される Y データは 640 480 ドット 30 フレーム / 秒なので 640 480 ドット 60 フレーム / 秒の VGA 信号にそのままは出力することができない 一旦 CMOS カメラから出力される Y データを SRAM に記憶し その Y データを SRAM から読み出しながら VGA 信号を生成することにした 画像ベースボード デジタル CMOS カメラ 208 ピン Spartan3E XC3S500+2M 高速 SRAM 基板の写真を図 1 に示す 図 1: 画像ベースボード デジタル CMOS カメラ 208 ピン Spartan3E XC3S500+2M 高速 SRAM 基板の写真

[1] 2 CMOSカメラの仕様 CMOS カメラは シキノハイテックの CMOS カメラ KBCR-M03VG を使用している この CMOS カメラは CMOS イメージセンサに OmniVision 社の OV7640 を使用している OV7640 は SCCB シリアルインターフェースから SCCB 設定レジスタを設定することにより いろいろなモードを選択することができる 今回はリセット後のデフォルト設定を使用している その設定は VGA モード (640 480, 30 フレーム / 秒 ) YUV422 モード YUV フォーマットは UYVYUYVY モードである YUV の画像データは 8 ビット幅で U が 8 ビット Y が 8 ビット V が 8 ビット Y が 8 ビットというフォーマットで出力される 白黒画像のため そのうちの Y データのみを利用することにした HERF のが1の間 Y[7:0] には UYVY の順番に画像データが出力される その様子を図 2 に示す 図 2 の HREF が立ち上がった時に 最初の U データ U 0 最初の Y データ Y 0 最初の V データ V 0 2 番目の Y データ Y1 という順番で出力される 図 2: CMOS カメラからの画像データ出力タイミング [1] CMOS カメラの 1 フレームのタイミング全体を図 3 に示す 最初に VSYNC が 3 trow( 水平表示期間 1 trow = 1528 tpclk) の間 1 となる VSYNC が 0 になってから 11 trow 後に HREF が 1 となる HREF は 1280 tpclk (PCLK 期間 1 tpclk = 1/24MHz 41.67 ns) の間 1 になる HREF が 1 の間は図 2 に示すように CMOS カメラからの画像データが出力される その後 248 tpclk 間隔が開いて もう一度 HREF が 1 となり 画像データが出力される 最後の HREF が 1 の期間の終了から 31 trow 後に VSYNC が 1 となり 1 フレームが終了する 525 trow 図 3: CMOS カメラの 1 フレームのタイミング [1] 3 VGA 信号出力のタイミング VGA 信号出力のタイミングは CMOS カメラの映像信号のタイミングの 1/2 の時間間隔に設定した [4] このタイミングを用いると カメラの映像 1 フレームにつき VGA が 2 フレームとなる カメラの VSYNC 後の HREF のタイミングに VGA 信号の出力を合わせることにした VGA 信号出力タイミング パラメータを表 1 に示す

ドットクロック水平ライン水平表示区間水平フロントポーチ水平同期信号水平バックポーチ垂直期間垂直表示区間垂直フロントポーチ垂直同期信号垂直バックポーチ 表 1 VGA 信号出力パラメータ 24MHz(CMOSカメラのPCLKに同期 )41.7ns 764ドットクロック 31.8us 640ドットクロック 26.7us 16ドットクロック 0.667us 64ドットクロック 2.67us 44ドットクロック 1.83us 525ライン 16.7ms 480ライン 15.3ms 11ライン 0.350ms 2ライン 0.0637ms 32ライン 1.02ms VGA 信号のフレームレートは 表 1 の 1/( 水平期間 16.7 ms) 60Hz なので CMOS カメラの画像データのフレームレート 30Hz のちょうど 2 倍となっている つまり CMOS カメラから 1 枚の画像データを取り込むうちに 2 回ディスプレイを表示する換算となる 4 CMOSカメラ ディスプレイ回路のブロック図 図 4: CMOS カメラ ディスプレイ回路のデータパス 制御部分のブロック図 図 4 に CMOS カメラ ディスプレイ回路のデータパス 制御部分のブロック図を示す 図 4 に示すように CMOS カメラ ディスプレイ回路は CAMERA_CONTROLLER, VGA_DISPLAY_CONTROLLER, SYNCHRONIZER, SRAM_CONTROLLER, そして 図 5 に示す DCM_module_24MHz で構成される CMOS カメラから入ってきた映像信号 YUV422 は SRAM_CONTROLLER に渡される SYNCHRONIZER は CAMERA_CONTROLLER から VSYNC, HREF を受け取って同期信号を SRAM_CONTROLLER と VGA_DISPLAY_CONTROLLER に渡す その同期信号で SRAM_CONTROLLER と

図 5: クロック関連のブロック図 VGA_DISPLAY_CONTROLLER は垂直 0 ライン 水平映像信号の 0 ドット目に同期する VGA_DISPLAY_CONTROLLER は SRAM から READ した Y データを RGB に変換 ( 白黒なので RGB 同じ値にする ) し DAC(ADV7125) に出力する HSYNC, VSYNC は直接 VGA コネクタに出力する 図 5 に示すように水晶発振器から出力された 48MHz クロックは FPGA の DCM で 24MHz に変換されて IO ブロックにある DDR レジスタを駆動する DDR レジスタから出力された 24MH zのクロックは CMOS カメラの CLK に入力される CMOS カメラから出力された PCLK(24MHz) は FPGA の別の DCM で受けた後 FPGA 内部のマスタークロック (mclk) として使用される mclk は FPGA 全体で使用するクロックである なお DCM1/2 の LOCKED 信号を DDR レジスタのイネーブル信号として使い DCM1/2 がロックしないうちは CMOS カメラにクロックが供給されないように工夫した DCM1/1 の LOCKED 信号は 論理を反転して FPGA 回路全体のリセット信号として使用する (reset はアクティブハイ ) それぞれのモジュールは VHDL(VHSIC(Very High Speed Integrated Circuits)Hardware Description Language)) で記述されている 5 CMOSカメラ ディスプレイ回路の動作タイミング CMOS カメラ ディスプレイ回路の動作タイミングの説明方法として SRAM をアクセスするタイミングを中心に説明する 2M バイト高速 SRAM は アクセスタイム 10ns 16 ビット幅の SRAM(IS61LV25616AL) を使用している アクセスタイムは 10ns だが 非同期動作なので 周期が 10ns クロックで動作することはできない 現実的には Spartan3E ボードの 48MHz クロックを 1/2 分周した 24MH zで使用する Write 用のパルスは DDR レジスタにより出力される DDR レジスタを使用することにより 1/2 クロック周期分アクティブ (0) とすることができた SRAM のデータは 16 ビット幅なので 8 ビットの Y データを格納する際は最初に上位 8 ビットに Y データを書き込む 次の Y データは下位 8 ビットに書き込む つまり 24MHz クロック 2 クロックに 1 回 8 ビットずつ書き込みを行う 図 6 に SRAM をアクセスするタイミングチャートを示す

図 6: SRAM をアクセスするタイミングチャート CMOS カメラに 24MHz クロックを供給し カメラから帰ってくるのが図 6 に示す PCLK である PCLK も 24MHz のクロックとなっている MD はメモリに読み書きするデータで DISPLAY_DATA の時が 表示用のデータをリードしている場合である この時は 8 ビットの Y データ 2 つ分 2 バイトを読み出してディスプレイに表示する 2 クロックに 1 回の読み出しなので 2 バイトのデータをもらう必要がある VOID は書き込みのタイミングだが 書き込むデータがそろっていないので書き込めないことを示す 最初の Y データ (CAMERA Y0) が来たら上位 8 ビットに書き込む (CAMERA Y0,XX) 次の CAMERA Y1 は下位 8 ビットに書き込む (XX, CAMERA Y1) SRAM の UB, LB 信号を用いて上位 8 ビット 下位 8 ビットだけに書き込むことができる R/W は Read/Write を表す MADDR は SRAM のアドレスを示す アドレスを使用しない場合は 3FFFF と書かれている その下の CAMERA U0, CAMERA Y0... は CMOS カメラから出力される YUV422 のデータが出てくるタイミングを示している その下が HREF の信号となる HREF は CMOS カメラの映像信号の1 水平フレームを表している 6 CMOSカメラ ディスプレイ回路のシミュレーション各モジュールの VHDL ファイル作成後にシミュレーションを行った その際に CMOS カメラのシミュレーション用モデル (OV7640_Model.vhd) と SRAM のシミュレーション用モデル (IS61LV25616_model.vhd) を作成した さらに CMOS カメラ ディスプレイ回路と作成したモデルを接続するテストベンチファイルを作成して ModelSim でシミュレーションを行った いろいろな間違いがあったが一つ一つトラブルシュートしながら正常動作に近づけていった 図 7 に ModelSim の wave 画面のシミュレーション波形を示す

図 7: CMOS カメラ ディスプレイ回路のシミュレーション波形 ( 一部 ) 図 7 のシミュレーション波形は CMOS カメラの VSYNC (cam_vsync) が 1 から 0 になってから 最初の HREF(cam_href) が 1 になった時の動作を表している cam_vsync が 1 になってから 初めて cam_href が 1 になって CMOS カメラの Y データのサンプルや VGA への映像信号の出力が開始される時点が 最初のカーソル ( 黄色の縦線 ) の位置である cam_ydata を見ると FF, 00, FE, 01... と出力されている これは CMOS カメラモデルが U, Y, V, Y と出力しているので そのうちの Y データ つまり 00, 01... というようにキャプチャすればよい 次に mem_data を見ると 下の n_mem_we が 0 の時が CMOS カメラの Y データの書き込みで 書き込みアドレスは mem_addr に出力されている 本当は 00000 からなのだが わかりやすいように CMOS カメラのデータを書き込むアドレスはスタートを 00100 にしてある 最初の書き込みはまだデータが出力されていないのでダミーの書き込みで 次からが Y データの書き込みとなる 2 番目の n_mem_we が 0 の時 (CMOS カメラデータのメモリへの書き込み ) は n_mem_upperb = '0', n_mem_lowerb = '1' となっていて 上位 8 ビットへの書き込みであることが分かる 3 番目の n_mem_we が 0 の時は 今度は n_mem_upperb = '1', n_mem_lowerb = '0' となり 下位 8 ビットへの書き込みであることが分かる それぞれ "00XX", "XX01" を書き込んでいる n_mem_rd が 0 の時には SRAM モデルの mem_data が 55AA からスタートして 上位 下位 8 ビットごとに +1 したデータを出力している mem_data を見ると n_mem_rd が 0 の時のデータが "55AA", "56AB"... と上位 下位 8 ビットごとに +1 されているのが分かる このデータは VGA_Display_Controller.vhd でサンプルされて dac_red, dac_green, dac_blue に同じ値が出力されている (2 番目のカーソルの位置 ) [2], [7] 7 CMOS カメラ ディスプレイ回路のインプリメントと実機でのテスト CMOS カメラ ディスプレイ回路を Xilinx 社の FPGA ツール ISE11.3 でインプリメントし 実機にダウンロードしてテストを行った その結果 CMOS カメラの VSYNC や HREF が出力されなかった ChipScope Pro(FPGA 内のロジックアナライザ ) を用いて調査を行い CMOS カメラの RESET の極性を勘違いしていたことが分かった CMOS カメラのデータシートには RESET の極性が書いてなかったため RESET の極性を 0 と思いこんでいたが 実際は 1 であることが分かった RESET を 0 に固定したところ HREF, VSYNC やデータが出力され 正常な表示ではないが 図 8 に示すようにディスプレイに表示が出始めた

図 8: 正常な表示でないときのディスプレイの画像いろいろトラブルシュートを試みたが バグの原因は VGA_DISPLAY_CONTROLLER のアドレスカウンタだった VGA_DISPLAY_CONTROLLER のアドレスカウンタのバグを修正後 CMOS カメラからの画像を正常にディスプレイに表示することができた ディスプレイに表示された画像を図 9 に示す 図 9: 正常なディスプレイの画像 8 まとめイーエスピー企画の画像ベースボード デジタル CMOS カメラ 208 ピン Spartan3E XC3S500+2M 高速 SRAM 基板を使用して CMOS カメラの輝度データをディスプレイに表示する回路を VHDL で作成した その回路の表示画素数は 640 480 ドットで 60 フレーム / 秒の VGA 信号を出力して CMOS カメラの白黒画像をディスプレイに表示することができる いろいろなバグがあったがバグを修正して CMOS カメラの輝度データをディスプレイに正常に表示することができた これからは 独自の画像変換回路を FPGA 上に構成してディスプレイにその画像変換結果を表示する予定である

参考文献 [1] OV7640 Color CMOS VGA (640 x 480) CAMERACHIP TM デ ー タ シ ー ト (http://www.alldatasheet.jp/datasheet-pdf/pdf/121707/etc/ov7640.html) [2] Spartan-3 ジ ェ ネ レ ー シ ョ ン FPGA ユ ー ザ ー ガ イ ド (http://japan.xilinx.com/support/documentation/user_guides/j_ug331.pdf) [3] 江崎雅康 7 月号付属 Spartan-3E ボードで始める画像回路入門 Design Wave Magazine 2007 年 8 月 号 p20 - p28 [4] 江崎雅康 VGA ディジタル CMOS カメラ モジュールからの入力回路を作ろう Design Wave Magazine 2007 年 8 月号 p51 - p67 [5] 江崎雅康 画像フレーム メモリと FPGA を使った画像プラットフォーム Design Wave Magazine 2007 年 10 月号 p66 - p72 [6] 江崎雅康 フレーム メモリを備えた画像処理回路の設計 Design Wave Magazine 2007 年 10 月号 p85 - p96 [7] FPGA の部屋まとめサイト 画像処理 (http://marsee101.web.fc2.com/image_processing.html)