MAX 10 FPGA開発キット・ユーザー・ガイド

Size: px
Start display at page:

Download "MAX 10 FPGA開発キット・ユーザー・ガイド"

Transcription

1 MAX 10 FPGA 開発キット ユーザー ガイド 更新情報 UG Innovation Drive San Jose, CA

2 目次 -2 目次 このボードについて ボードの取り扱い 使用開始に際して Quartus II ウェブ エディション ソフトウェア 開発キットのインストール USB-Blaster ドライバのインストール ボード アップデート ポータル ボード テスト システム Configure メニューの使用 System Info タブ GPIO タブ Flash タブ HSMC タブ DDR3 タブ ADC タブ HDMI タブ Sleep Mode タブ パワー モニタ クロック コントロール ボード コンポーネント このボードについて 主要なデバイス コンフィギュレーション Quartus II Programmer の使用 内部コンフィギュレーション手法の選択 スイッチおよびジャンパの設定 ステータス エレメント 設定エレメント 汎用ユーザー入出力 クロック回路 オンボード オシレータ オフボード クロック入出力 コンポーネントとインタフェース /100/1000 イーサネット PHY デジタル - アナログ コンバータ

3 目次 -3 HDMI ビデオ出力 HSMC Pmod コネクタ USB - UART 変換器 メモリ DDR3 リビジョン B ボード DDR3 リビジョン C ボード フラッシュ 電源分配システム 追加情報... A-1 ボードおよびユーザー ガイドの改訂履歴... A-1 コンプライアンスと適合に関して...A-2 CE EMI 適合への注意...A-2

4 このボードについて 1 UG 更新情報 MAX 10 FPGA 開発ボードは アルテラ MAX 10 デバイスの性能と機能を評価するためのハードウェア プラットフォームを提供します 開発キットには 以下のコンポーネントが付属する RoHS- および CE- 準拠の MAX 10 FPGA 開発ボードが含まれます 主要なデバイス : MAX 10 FPGA(10M50D デュアル電源 F484 パッケージ ) Enpirion EN2342QI インダクタ統合型 4 A PowerSoC 電圧モード同期降圧コンバータ Enpirion EN6337QI インダクタ統合型 3 A 高効率 PowerSoC DC-DC 降圧コンバータ Enpirion EP5358xUI インダクタ統合型 600 ma PowerSoC DC-DC 降圧コンバータ MAX II CPLD EPM1270M256C4N( オンボード USB-Blaster II) プログラミングとコンフィギュレーション : エンベデッド USB-Blaster II(JTAG) オプションで 10 ピン ヘッダを介する JTAG 直接接続 メモリ デバイス : 64 Mx16 1 Gb DDR3 SDRAM とソフト メモリ コントローラ 128 Mx8 1 Gb DDR3 SDRAM とソフト メモリ コントローラ 512 Mb Quad シリアル ペリフェラル インタフェース (QSPI) フラッシュ 通信ポート : ギガビット イーサネット (GbE)RJ-45 ポート 2 個 イーサネット ポート A( 下 ) イーサネット ポート B( 上 ) ミニ USB2.0 UART 1 個 高精細マルチメディア インタフェース (HDMI) ビデオ出力 1 個 ユニバーサル高速メザニン カード (HSMC) コネクタ 1 個 12 ピン Digilent Pmod 互換コネクタ 2 個 All rights reserved. ALTERA, ARRIA, CYCLONE, ENPIRION, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos are trademarks of and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as trademarks or service marks are the property of their respective holders as described at Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. ISO 9001:2008 登録済 Innovation Drive, San Jose, CA 95134

5 1-2 このボードについて アナログ : MAX 10 FPGA アナログ - デジタル コンバータ (ADC)SMA 入力 2 個 2x10 ADC ヘッダ ADC へのポテンショメータ入力 外部 16 ビット デジタル - アナログ コンバータ (DAC) デバイスと SMA 出力 1 個 クロック : 25 MHz シングル エンド外部オシレータ クロック ソース Silicon labs クロック ジェネレータとプログラマブル周波数 GUI オンボード USB-Blaster II 用のミニ USB ケーブル 2 A 電源およびコード 無償の Quartus II ウェブ エディション開発ソフトウェア ( ソフトウェアとライセンスはウェブサイトからダウンロード ) 包括的な関連資料 ユーザー マニュアル 部品表 回路図 ボード ファイル UG このボードについて

6 UG 図 1-1: MAX 10 FPGA ボード コンポーネント ( 表面 ) 2x10 PIN HEADER (J20) POT1 USB BLASTER (J12) USB to UART (J11) CLOCK GENERATION CHIP JTAG HEADER (J14) MAX II USB-BLASTER II CIRCUIT HDMI CONNECTOR (J8) FPGA_CPU_RESET BUTTON SMA - ANAIN2 (J19) SMA - ANAIN1 (J18) SMA - DACOUT (J1) 16-Bit DAC DUAL ETHERNET CONNECTOR (RJ1) Ethernet A (Bottom) Ethernet B (Top) PMOD CONNECTOR (J5) USER PUSH BUTTONS PMOD CONNECTOR (J4) FPGA RECONFIGURE BUTTON POWER SWITCH (SW3) USER PB3 USER PB2 USER PB1 USER PB0 DC INPUT 12 V (J15) USER LEDs LED4 LED3 LED2 LED1 LED0 DDR3 64Mx16 SDRAM Enpirion EN6337 3A PowerSoC HSMC CONNECTOR (J2) Enpirion EN2342QI 4A PowerSoC このボードについて

7 1-4 図 1-2: MAX 10 FPGA ボード コンポーネント ( 裏面 ) UG 注意 : ボードの裏面にあるシリアル番号からボードのリビジョンを確認できます QUAD SPI FLASH ENPIRION EN6337 USER DIP SWITCH (SW2) USER DIP SWITCH (SW1) DDR3 128Mx8 SDRAM (U6) BOARD REVISON ENPIRION EN6337 このボードについて

8 UG ボードの取り扱い 1-5 図 1-3: システム ブロック図 HDMI TX USB Blaster FTDI + MAXII/ V USB to UART DC Supply HSMC 2x 1 GbE DDR Mb x16 QSPI Flash 1 Gb x1 6 PMO D PMO D 2x10 ADC IN/GPI O AIN1 DAC AIN2 DACOUT Potentiometer Qsci llator ~ User LEDs FPGA _RESET JTAG User DIP Switches User Push Buttons ボードの取り扱い ボードを取り扱う際には 静電気防止の注意を順守してください 注意 : 適切な静電対策がなければ ボードが損傷する恐れがあります ボードに触れる際には静電防止対策を実施してください このボードについて

9 使用開始に際して 2 UG 更新情報 Quartus II ウェブ エディション ソフトウェア Quartus II ウェブ エディション ソフトウェアは無償であり ライセンスも不要です ウェブ エディション ソフトウェアはアルテラ ウェブサイトからダウンロードできます あるいは DVD を請求することもできます 関連情報 Quartus II ウェブ エディション ソフトウェア Altera IP and Software DVD Request Form Altera Quartus II Software - Subscription Edition vs. Web Edition 開発キットのインストール 1. MAX 10 開発キットのインストーラをアルテラ ウェブサイトの MAX 10 FPGA Development Kit のページからダウンロードします あるいは 開発キットの DVD をアルテラ ウェブサイトの Altera Kit Installations DVD Request Form のページから請求することもできます 2. MAX 10 FPGA 開発キットのインストーラを起動します 3. 画面の案内に従ってインストール プロセスを完了します インストレーション ディレクトリには Quartus II ソフトウェアのインストレーションと同じ位置を選択してください インストール プログラムが 以下の図に示す開発キットのディレクトリ構造を作成します 注意 : BTS GUI が.sof ファイルを MAX 10 デバイスをコンフィギュレーションする また関連するテストを開始するために使用します.sof ファイルを *\examples\board_test_system ディレクトリから移動させないでください All rights reserved. ALTERA, ARRIA, CYCLONE, ENPIRION, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos are trademarks of and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as trademarks or service marks are the property of their respective holders as described at Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. ISO 9001:2008 登録済 Innovation Drive, San Jose, CA 95134

10 2-2 USB-Blaster ドライバのインストール 図 2-1: インストールされる開発キットのディレクトリ構造 UG <install dir> The default Windows installation directory is C:\altera\<version>\. kits <device name> board_design_files demos documents examples factory_recovery 表 2-1: インストールされるディレクトリ内容 ディレクトリ名 board_design_files demos documents examples factory_recovery 内容の説明 回路図 レイアウト アセンブリ および部品表の ボード デザイン ファイルが入っています これらのファイルを新しい試作ボードのデザインの出発点として使用します 提供される場合に デモンストレーション用アプリケーションが入っています 以下の資料が入っています MAX 10 FPGA Development Kit User Guide Quick Start Guide Dear Customer Letter このキット向けのサンプル デザイン ファイルが入っています 出荷前にボードにプログラミングされた元データが入っています このデータは ボードを元のファクトリ内容に復元するために使用します USB-Blaster ドライバのインストール 開発ボードは FPGA をプログラミングするための USB-Blaster 回路を内蔵しています しかし ホスト コンピュータとボードが通信するためには ホスト コンピュータにオンボード USB- Blaster II ドライバをインストールする必要があります オペレーティング システム用のオンボード USB-Blaster II ドライバのインストール手順は アルテラのウェブサイトで入手可能です アルテラ ウェブサイトの Altera Programming Cable 使用開始に際して

11 UG ボード アップデート ポータル 2-3 Driver Information ページにある表の項目から該当するコンフィギュレーションのリンクをクリックし 案内にアクセスしてください ボード アップデート ポータル の Board Update Portal へのアクセスにより ボードを最新の状態に保つことができます このウェブサイトでは ボード向けの役立つ情報や最新のソフトウェアとデザイン例を入手することができます Board Update Portal を利用するためのボード設定の手順については キットの箱に同梱されている Quick Start Guide を確認してください 使用開始に際して

12 ボード テスト システム 3 UG 更新情報 このキットには ボード テスト システム (BTS) アプリケーションが付属しています BTS は 機能設定を変更し 結果を観察するための使いやすいインタフェースを提供します BTS を使用して ボード コンポーネントのテスト 機能パラメータの変更 パフォーマンスの観測 および電力使用量の測定が可能です BTS の使用中は テストする機能性に対して適切なテスト デザインで FPGA を適宜リコンフィギュレーションします All rights reserved. ALTERA, ARRIA, CYCLONE, ENPIRION, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos are trademarks of and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as trademarks or service marks are the property of their respective holders as described at Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. ISO 9001:2008 登録済 Innovation Drive, San Jose, CA 95134

13 3-2 ボード テスト システム 図 3-1: ボード テスト システム GUI UG ボードの主要な機能をテストするために いくつかのデザインが提供されています 各デザインはアプリケーションで 1 つ以上のタブにデータを提供します 各タブのために FPGA にダウンロードする適切なデザインを Configure メニューで特定します FPGA の正常なコンフィギュレーション後に適切なタブが表示され これを使用して関連するボード機能を動作させることができます ボードの写真上で 対応するコンポーネントの周りにハイライトが表示されます BTS は FPGA で動作するテスト デザインと JTAG バスを介して通信します ボード テスト システムとパワー モニタは JTAG バスを Nios II デバッガや SignalTap II エンベデッド ロジック アナライザといった他のアプリケーションと共有しています Quartus II Programmer は JTAG バスの帯域幅の大部分を使用するため JTAG バスを使用している他のアプリケーション ボード テスト システム

14 UG Configure メニューの使用 3-3 がタイム アウトすることがあります Quartus II Programmer を使用して FPGA をリコンフィギュレーションする前には 必ず他のアプリケーションを閉じてください Configure メニューの使用 使用するデザインを選択するために Configure メニューを使用します 各デザイン例でそれぞれ異なるボード機能をテストできます このメニューからデザインを選択すると 対応するタブがテスト向けにアクティブになります 図 3-2: Configure メニュー ボード テスト システム

15 3-4 Configure メニューの使用 UG FPGA をテスト システム デザインでコンフィギュレーションするには 以下のステップを実行します 1. Configure メニューで テストする機能と対応するコンフィギュレーション コマンドをクリックします 2. 表示されたダイアログ ボックスで Configure をクリックし デザインを FPGA にダウンロードします 3. Quartus II Programmer が開いていれば コンフィギュレーション完了後にこれを閉じます デザインが FPGA で動作します デザインとインタフェースする GUI アプリケーション タブが有効になります ボード テスト システム GUI ではなく Quartus II Programmer をコンフィギュレーションに使用する場合には GUI を再起動する必要があります ボード テスト システム

16 UG System Info タブ 3-5 System Info タブ System Info タブには ボードの現在の設定が表示されます タブには JTAG チェイン ボードの MAC アドレス Qsys メモリ マップ およびボードに保存されているその他の詳細が表示されます 図 3-3: System Info タブ ボード テスト システム

17 3-6 System Info タブ表 3-1: System Info タブのコントロールコントロール Board Information コントロール Board Name Board P/N Serial Number Factory Test Version MAX Version Ethernet A MAC Ethernet B MAC JTAG Chain Qsys Memory Map GPIO デザインがコンフィギュレーションされると ボードの情報が更新されます それ以外の場合には このコントロールはボードに関するデフォルトの静的情報を表示します ボード テスト システムで設定されたボードの正式名称を表示します ボードのパート ナンバーを表示します ボードのシリアル ナンバーを表示します 現在ボード上で動作しているボード テスト システムのバージョンを表示します 現在ボード上で動作している MAX コードのバージョンを表示します ボードのイーサネット A の MAC アドレスを表示します ボードのイーサネット B の MAC アドレスを表示します 現在の JTAG チェイン内のデバイスをすべて表示します ボードの Qsys システムのメモリ マップを表示します UG ボード テスト システム

18 UG GPIO タブ 3-7 GPIO タブ GPIO タブでは ボード上のすべての汎用ユーザー I/O コンポーネントとインタラクトすることができます DIP スイッチ セッティングの表示 LED の点滅操作 およびプッシュ ボタン押下の検出が可能です 図 3-4: GPIO タブ ボード テスト システム

19 3-8 GPIO タブ 表 3-2: GPIO タブのコントロール UG User DIP Switch User LED Push Button ユーザー DIP スイッチ バンク内のスイッチの現在のポジションを表示します ボード上のスイッチを切り替え グラフィック表示がそれに応じて変化することを確認します FPGA に対するユーザー LED の現在の状態を表示します ボードの LED をトグルするには 0 から 4 のボタンをクリックして赤または緑の LED をトグルするか All ボタンをクリックします ボードのユーザー プッシュ ボタンの現在の状態を表示する 読み出し専用のコントロールです ボード上のプッシュ ボタンを押して グラフィック表示がそれに応じて変化することを確認します ボード テスト システム

20 UG Flash タブ 3-9 Flash タブ Flash タブでは ボード上のフラッシュ メモリに読み出しおよび書き込みをすることができます 図 3-5: Flash タブ ( 詳細 ) Read コントロール ボード上のフラッシュ メモリを読み出します フラッシュ メモリの内容を表示するには テキスト ボックスに開始アドレスを入力してから Read をクリックします 指定したアドレスから開始する値が表に表示されます ボード テスト システム

21 3-10 Flash タブコントロール Write Erase Increment Test Random Test フラッシュ メモリ マップ ボード上のフラッシュ メモリに書き込みをします フラッシュ メモリの内容を更新するには 表で値を変更してから Write をクリックします アプリケーションは新しい値をフラッシュ メモリに書き込んだ後で再び値の読み出しを行い グラフィック表示にメモリ内容が正確に反映されていることを保証します フラッシュ メモリを消去します 512 K テスト システム スクラッチ ページに限定された フラッシュ メモリへのインクリメント データ パターン テストを開始します 512 K テスト システム スクラッチ ページに限定された フラッシュ メモリへのランダム データ パターン テストを開始します 開発ボードのフラッシュ メモリ マップを表示します UG ボード テスト システム

22 UG HSMC タブ 3-11 HSMC タブ HSMC では CMOS ポートのテストができます 図 3-6: HSMC タブ Status Port コントロール Pattern sync: パターンが同期している状態かどうかを表示します データ シーケンスの開始が検出されると パターンは同期していると考えられます CMOS:CMOS ポートがテストに使用できます ボード テスト システム

23 3-12 HSMC タブ UG コントロール Data Type 以下のデータ型を解析に使用できます : prbs7: 擬似ランダム 7 ビット シーケンスを選択します prbs15: 擬似ランダム 15 ビット シーケンスを選択します prbs23: 擬似ランダム 23 ビット シーケンスを選択します prbs31: 擬似ランダム 31 ビット シーケンスを選択します high_frequency: データ パターンで分割します low_frequency: データ パターンで分割します Error Control Detected errors: ハードウェアで検出されたデータ エラーの数を表示します Inserted errors: 送信データ ストリームに挿入されたエラーの数を表示します Bit error rate (BER): インタフェースのビット エラー レートを表示します Insert Error: ボタンをクリックするたびに 送信データ ストリームに 1 ワードのエラーを挿入します Clear:Detected errors および Inserted errors カウンタを 0 にリセットします Test Control Stop: テストをリセットします Number of bits tested: 最後にリセットしてからテストしたビットの数を表示します ボード テスト システム

24 UG DDR3 タブ 3-13 DDR3 タブ DDR3 タブでは 選択した量のアドレスに読み出しと書き込みを行うことにより DDR3 をテストできます 図 3-7: DDR3 タブ ボード テスト システム

25 3-14 DDR3 タブ UG コントロール パフォーマンス インジケータこれらのコントロールは 最後に Start をクリックしてから収集された現在のトランザクション性能解析情報を表示します Write Read Total パフォーマンス バー 要求されたトランザクションが達成できる理論上の最大データ レートの割合を表示します Write (MBps) Read (MBps) Total (MBps) 解析されたデータのバイト数を秒単位で表示します :72 ビット (8 ビット ECC) 幅で周波数が 1066 MHz のダブル データ レートです ピンあたり 2133 Mbps (Megabits per second) です Mbps または MBps の理論上の最大帯域幅に相当します Error Control Number of Addresses to Write and Read このコントロールでは 解析中に検出されたデータ エラーを表示し またエラーを挿入することができます Detected errors ハードウェアで検出されたデータ エラーの数を表示します Inserted errors トランザクション ストリームに挿入されたエラーの数を表示します Insert Error ボタンをクリックするたびに トランザクション ストリームに 1 ワードのエラーを挿入します Insert Error は トランザクションのパフォーマンス解析中にのみイネーブルされます Clear Detected errors および Inserted errors カウンタを 0 にリセットします 読み出しおよび書き込みのそれぞれの繰り返しで使用するアドレス数を決定します ボード テスト システム

26 UG ADC タブ 3-15 ADC タブ ADC( アナログ - デジタル ) タブは すべての ADC 入力チャネルのリアルタイムの電圧値を表示します 図 3-8: ADC タブ このタブで表示される ADC 1 と ADC 2 の 2 つの表は編集できません 以下の表にチャネルの接続先を示します ボード テスト システム

27 3-16 ADC タブ UG 専用チャネル ADC 1 チャネル 0 チャネル 1 チャネル 2 チャネル 3 チャネル 4 チャネル 5 チャネル 6 チャネル 7 SMA コネクタ ANAIN1_SMA(J18) ADC1_CH0(J20.1) ADC1_CH1(J20.3) ADC1_CH2(J20.5) ADC1_CH2(J20.7) ADC1_CH4(J20.11) ADC1_CH4(J20.13) ADC1_CH6(J20.15 または POT1) ADC1_CH7(J20.17) 専用チャネル ADC 2 チャネル 0 チャネル 1 チャネル 2 チャネル 3 チャネル 4 チャネル 5 チャネル 6 チャネル 7 ADC1_CH0(J20.2) ADC1_CH1(J20.4) ADC1_CH2(J20.6) ADC1_CH2(J20.8) ADC1_CH4(J20.12) ADC1_CH4(J20.14) ADC1_CH6(J20.16) ADC1_CH7(J20.18) SMA コネクタ ANAIN2_SMA(J19) ボード テスト システム

28 UG HDMI タブ 3-17 HDMI タブ このタブは 高精細マルチメディア インタフェース (HDMI) からのカラー バー パターンを表示します 図 3-9: HDMI タブ TX Pattern コントロール Color Bar: このコントロールを使用して TX パターンを選択します 赤 青 緑 白 黒が選択できます Start ボタンをクリックすると TX パターンを瞬時に表示します ボード テスト システム

29 3-18 HDMI タブ UG Start コントロール このボタンをクチックすると (Color Bar で ) 選択した TX パターンを表示します ボード テスト システム

30 UG Sleep Mode タブ 3-19 Sleep Mode タブ このタブでは パワー マネージメント コントローラの側面からスリープ モードをテストできます 図 3-10: Sleep Mode タブ コントロール Running (/Sleeping) Note モードのステータスを動作またはスリープとして表示します このコントロールはインタラクトできません このコントロールはスリープ モードに関連するボード LED イベントについて表示します ボード テスト システム

31 3-20 Sleep Mode タブ 関連情報 MAX 10 Power Management User Guide スリープ モードについて詳細を提供します UG ボード テスト システム

32 UG パワー モニタ 3-21 パワー モニタ Power Monitor は 現在の電力情報を測定およびレポートし また JTAG バスを介してボード上の MAX II デバイスと通信します MAX II デバイスに接続されたパワー モニタ回路により FPGA が消費している電力の測定をすることができます アプリケーションを起動するには ボード テスト システムのアプリケーション上でパワー モニタのアイコンをクリックします パワー モニタは スタンドアロンのアプリケーションとしても使用できます PowerMonitor(32-bit.exe) と PowerMonitor(64-bit.exe) は <install dir>\kits\<device \ examples\board_test_system ディレクトリにあります 注意 : スタンドアロンの電源アプリケーションと BTS を同時に動作させることはできません 図 3-11: パワー モニタ このウィンドウは LTC2990 電源および温度モニタの両方を表示します 左上と左下部分で U29 を表示し 反対側で U30 を表示します 使用可能なコントロールを Current か Voltage Single- Ended または両方の表示に使用します Single Chart Mode でペインの表示方法を選択できます 必要な場合には 1 つの大きなペインを表示することができます Voltage Single-Ended で各電源レールの電圧値を表示できます ボード テスト システム

33 3-22 パワー モニタ UG V CORE 2.5 V VCCIO 1.5 V VCCIO 1.2 V VCC Single-Ended では SENSE_P の電圧のみを表示します LT2990 は サンプリング レジスタ SENSE_P と SENSE_N の差動電圧値も表示します Sample Speed で 5 秒間での Slow または 1 秒間での Fast( デフォルト ) を選択できます Record Log はコンマ区切り値 (CSV) フォーマット ファイル ltc2990.csv を *\examples\board_test_ system ディレクトリに保存します ボード テスト システム

34 UG クロック コントロール 3-23 クロック コントロール MAX 10 FPGA 開発ボードのクロック コントロール アプリケーションは プログラマブル オシレータを 10 MHz から 810 MHz の間の任意の周波数にセットします 周波数は 小数点以下 8 桁の精度をサポートします クロック コントロールは JTAG バスを介してボード上の MAX II デバイスと通信します プログラマブル オシレータは 2 線式シリアル バスを介して MAX II デバイスに接続されています 図 3-12: Si570 タブ コントロール Serial Port Registers Target frequency (MHZ) fxtal Default 周波数コンフィギュレーション向け Si570 レジスタの現在の値を示します クロック周波数を指定できます 有効な値は 10 から 810 MHz の間で 小数点以下 8 桁までの精度で指定できます 例えば は 100 ppm(parts per million) 以内におさまります Target frequency コントロールは Set New Freq コントロールと連携して動作します シリアル ポート レジスタの値に基づいて計算された内蔵の固定周波数水晶発振子を示します アクティブなタブに対応するオシレータの周波数をデフォルト値に戻します ボード電源の再投入によってもデフォルトに戻ります ボード テスト システム

35 3-24 クロック コントロールコントロール Read Set New Freq アクティブなタブに対応するオシレータの現在の周波数設定を読み出します 選択したクロック向けのプログラマブル オシレータの周波数を プログラマブル オシレータの Target frequency コントロールの値に設定します 周波数の変更が有効になるまでに数ミリ秒かかることがあります この期間にクロック グリッチが表示されることがあります アルテラは 周波数を変更した後には FPGA ロジックをリセットすることを推奨します UG 図 3-13: Si5338 タブ コントロール F_vco レジスタ名周波数 (MHz) Disable Read Default 電圧制御発振器で生成される信号の値を表示します 各オシレータの現在の周波数を表示します クロックの周波数を指定できます 必要な場合に各オシレータを無効にできます アクティブなタブに対応するオシレータの現在の周波数設定を読み出します アクティブなタブに対応するオシレータの周波数をデフォルト値に戻します ボード電源の再投入によってもデフォルトに戻ります ボード テスト システム

36 UG クロック コントロール 3-25 Set New Freq コントロール 選択したクロック向けのプログラマブル オシレータの周波数を CLK0~CLK3 コントロールの値に設定します 周波数の変更が有効になるまでに数ミリ秒かかることがあります この期間にクロック グリッチが表示されることがあります アルテラは 周波数を変更した後には FPGA ロジックをリセットすることを推奨します 注意 : Si5338 の CLK0 を変更すると Clock と Power の GUI に影響します CLK0 からのクロックの 1 つは SI570 Si5338 とパワー モニタに接続されている 2 線式シリアル バス インタフェースとしての MAX II デバイスの駆動に使用されます ボード テスト システム

37 ボード コンポーネント 4 UG 更新情報 この章では開発キット ボードの主要なコンポーネントを紹介します 開発ボードの完全な回路図のセット 物理的なレイアウトのデータベース およびガーバー ファイルは開発キットのドキュメント ディレクトリにあります このボードについて この項では MAX 10 FPGA 開発ボードの主要なコンポーネントの一覧を提供します 表 4-1: MAX 10 FPGA ボード コンポーネント ボード リファレンスタイプ 主要なデバイス U1 FPGA MAX 10 FPGA 10M50DAF484C6GES 50K LE F484 パッケージ U13 CPLD MAX II EPM MBGA 2.5 V/3.3 V オンボ ード USB- Blaster II 向け VCCINT U17 電源レギュレータ Enpirion EN2342QI インダクタ統合型 PowerSoC 電圧モード同期降圧コンバータ U22 U23 U27 電源レギュレータ Enpirion EN6337QI インダクタ統合型 PowerSoC DC-DC 降圧コンバータ U26 電源レギュレータ Enpirion EP5358LUI インダクタ統合型 600 ma PowerSoC DC-DC 降圧コンバータ U24 U25 電源レギュレータ Enpirion EP5358HUI インダクタ統合型 600 ma PowerSoC DC-DC 降圧コンバータ コンフィギュレーションおよびセットアップ エレメント J12 オンボード ( エンベデッド )USB-Blaster Blaster II FPGA のプログラミングおよびデバッグ用タイプ B USB コネクタ J14 10 ピン ヘッダ 外部ダウンロード ケーブル向け 10 ピン ヘッダ を介するオプションの JTAG 直接接続 J20 2x10 ピン ヘッダ 16 チャネルの兼用 ADC を接続する 2x10 ヘッダ All rights reserved. ALTERA, ARRIA, CYCLONE, ENPIRION, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos are trademarks of and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as trademarks or service marks are the property of their respective holders as described at Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. ISO 9001:2008 登録済 Innovation Drive, San Jose, CA 95134

38 4-2 このボードについて UG ボード リファレンスタイプ SW2 J7 S5 S6 ステータス エレメント コンフィギュレーション / ユーザー DIP スイッチ MAX 10 ADC 向けジャンパ Pulse_nconfig 押しボタン SW2 はブート イメージ JTAG のバイパス および HSMC のバイパスを制御するスイッチを含む ADC へ調整可能な電圧を提供するポテンショメータを接続する nconfig ピンの Low のパルスをエミュレーションすることにより 物理的なピンに作用を与えずにリコンフィギュレーションをトリガする CPU リセット ボタン FPGA ロジックのデフォルト リセット D1 青色電源 LED 12 V の電源が供給されている際に点灯する D2 緑色高速メザニン カード (HSMC)LED HSMC を検出すると点灯する D13 D14 緑色 USB UART LED USB UART トランスミッタおよびレシーバの使用 中に点灯する D20 コンフィギュレーション完了 LED FPGA がコンフィギュレーションされると点灯する D21 D22 D23 電源 LED 3.3 V 2.5 V 1.2 V が正常に供給されていること を示す クロック回路 X1 U2 汎用ユーザー入出力 ADC 向けプログラマブル クロック プログラマブル クロック デフォルト周波数 10 MHz の ADC 向けプログラマブル オシレータ デフォルト周波数 MHz の 4 チャネル プログラマブル オシレータ S1 S2 S3 S4 汎用ユーザー ボタン 押すと Low に駆動するユーザー プッシュ ボタ ン 4 個 D15 D16 D17 D18 D19 ユーザー LED Low に駆動されると点灯するユーザー LED 4 個 SW1 SW2.1 ユーザー DIP スイッチ 4 極ユーザー DIP スイッチ メモリ デバイス U5 U6 U7 通信ポート DDR3 SDRAM A メモリ 64 Mx16 DDR3 SDRAM B メモリ 128 Mx8 QSPI(quad serial peripheral interface) フラッシュ 512 Mb ボード コンポーネント

39 UG 主要なデバイス 4-3 ボード リファレンスタイプ J2 HSMC ポート 84 の CMOS または 17 の LVDS チャネルを HSMC の仕様に応じて提供する U9 U10 J4 J5 J11 ギガビット イーサネ RGMII モードでの FPGA ベースのアルテラ Triple ット ポート 2 個 Speed Ethernet MegaCore ファンクションと Marvell 88E1111 x 2 PHY を介する 10/100/1000 イ イーサネット A( 上 ) ーサネット接続を提供する RJ-45 コネクタ イーサネット B( 下 ) Digilent Pmod コネクタ 2 個 ミニ USB 2.0 UART ポート 低周波数 少ない I/O ピン数のペリフェラル モジュールとの接続に使用する I/O 信号ピン 8 本を含む 12 ピン インタフェース シリアル UART インタフェース向け USB から UART へのブリッジを備える USB コネクタ J12 ミニ USB ポートエンベデッド USB- Blaster II アナログ J18 J19 SMA 入力 FPGA アナログ-デジタル コンバータ (ADC)2 個 J20 ヘッダ 2x10 ADC POT1 ポテンショメータ ADC への入力 J1 SMA 出力 外部 16 ビット デジタル-アナログ コンバータ (DAC) デバイス ビデオおよびディスプレイ ポート U8 HDMI ビデオ出力 ADI(Analog Devices, Inc)PHY を介して最大 1080p の HDMI v1.4 ビデオ出力を提供する 19 ピン HDM コネクタ 電源ユニット J15 DC 入力ジャック許容電圧 DC 12 V SW3 電源スイッチ DC 入力ジャックから電力が供給されている際の ボード電源入切の切り替え 主要なデバイス MAX 10 FPGA 開発ボートは 484 ピン FineLine BGA パッケージの MAX 10 10M50DAF484C6GES デバイス (U1) を搭載しています 表 4-2: MAX 10 FPGA 10M50DAF484C6GES の特性 ALM 数 等価 LE 数 M9K メモリ 数 (Kb) 合計 RAM 数 (Kb) ビット マルチプライヤ数 PLL 数 トランシーバ数 パッケージ タイプ 50, , FineLine BGA 484 ピン ボード コンポーネント

40 4-4 コンフィギュレーション UG コンフィギュレーション MAX 10 FPGA 開発キットは 以下の 2 つのコンフィギュレーション手法をサポートしています.sof ファイルを FPGA にダウンロードすることによるコンフィギュレーション FPGA の電源再投入またはリコンフィギュレーションにより FPGA を白紙状態で立ち上げます.pof ファイルを介したオン ダイ FPGA コンフィギュレーション フラッシュ メモリ (CFM) のプログラミング FPGA の電源再投入またはリコンフィギュレーションにより FPGA をセルフ コンフィギュレーション モードで立ち上げます これは CFM に格納されたファイルを使用します.sof または.pof ファイルのプログラミングには 以下の 2 種類の USB-Blaster ハードウェア コンポーネントを使用できます エンベデッド USB-Blaster II の タイプ B コネクタ (J12) JTAG ヘッダ (J14) 外部 USB-Blaster 外部 USB-Blaster II EthernetBlaster ダウンロード ケーブルを使用します 外部ダウンロード ケーブルは JTAG ヘッダ (J14) を介してボードに接続します Quartus II Programmer の使用 Quartus II Programmer を使用して.sof で FPGA をコンフィギュレーションすることができます FPGA をコンフィギュレーションする前に 以下を確認してください Quartus II Programmer および USB Blaster II ドライバが ホスト コンピュータにインストールされている キットに USB ケーブルが接続されている ボードの電源がオンであり かつ JTAG チェインを使用する他のアプリケーションが動作していない MAX 10 FPGA をコンフィギュレーションするには以下を行います 1. Quartus II Programmer を起動します 2. Add File をクリックし 必要な.sof へのパスを選択します 3. 追加したファイルの Program/Configure オプションをオンにします 4. Start をクリックして 選択したコンフィギュレーション ファイルを FPGA にダウンロードします プログレス バーが 100% に達するとコンフィギュレーションは完了です 内部コンフィギュレーションに使用する.sof ファイルを生成するために Quartus II Convert Programming File(CPF)GUI を使用できます Quartus II ソフトウェア プログラマとダウンロード ケーブルを使用することにより コンフィギュレーション フラッシュ メモリ (CFM) とユーザー フラッシュ メモリ (UFM) を含む MAX 10 デバイスのフラッシュを直接プログラミングできます 内部コンフィギュレーション手法の選択 10M02 デバイスを除く全ての MAX 10 デバイスには 内部コンフィギュレーションで選択できる合計 5 つのモードがあります 内部コンフィギュレーション手法はコンパイルの前に選択する必要があります ボード コンポーネント

41 UG スイッチおよびジャンパの設定 4-5 コンフィギュレーション モードを選択するには以下を行います 1. Quartus II ソフトウェアを開き MAX 10 デバイス ファミリを使用するプロジェクトをロードします 2. Assignments メニューで Settings をクリックします Settings ダイアログ ボックスが表示されます 3. Category リストから Device を選択します Device ページが表示されます 4. Device and Pin Options をクリックします 5. Device and Pin Options ダイアログ ボックスで Configuration タブをクリックします 6. Configuration Scheme リストから Internal Configuration を選択します 7. Configuration Mode リストで 5 つのコンフィギュレーション モードのうちから 1 つを選択します デュアル ブート機能向けには : a. デザインに Dual Boot IP コアが たとえば Qsys コンポーネント内に 含まれている必要があります b. Configuration Mode に Dual Compressed Images (512 Kbits UFM) を選択します c. 上記の 2 つの.sof ファイルを生成し それらを CFM プログラミング用の 1 つの.pof ファイルに変換します 8. 必要に応じて Generate compressed bitstreams をオンにします OK をクリックします スイッチおよびジャンパの設定この項は SW2 のデフォルト ファクトリ設定と機能 および SW1 と J7 について説明します J7 ジャンパは ADC1_CH6 へのポテンショメータ (POT1.2) の出力と接続しています J7 ジャンパがオンであれば ポテンショメータを使用して ADC1_CH6 を介して調整可能な電圧 (0~ 2.5 V) を MAX 10 ADC に供給できます J7 ジャンパがオフであれば ADC1_CH6 はその他の ADC チャネルとして 2x10 ヘッダに接続されます 図 4-1: ボード表側に位置するジャンパ J7( 詳細 ) ANAIN2 POT1 ANAIN1 J7 DACOUT ボード コンポーネント

42 4-6 スイッチおよびジャンパの設定 ボードの裏面に 2 つのスイッチがあります SW1 はユーザー機能向けであり SW2 は これを使用してブート選択およびコンポーネントのバイパスが可能です 図 4-2: ボード裏面に位置するスイッチ ( 詳細 ) スイッチがオンであれば FUNCTION SIGNAL はグランドに接続されています つまり これは LOGIC LOW(0) です スイッチがオフであれば FUNCTION SIGNAL はグランドへの接続が切断されています つまり これは LOGIC HIGH(1) です 注意 : UG 以下の図では リビジョン C ボードにおけるスイッチ名称 およびリビジョン B ボード向けの脚注を示しています SW2.3 の名称の変更は 名称のみの変更であり 機能的修正ではありません リビジョン B で名称が MAX10_BYPASS となっていますが 実際にはこれは VTAP バイパスです USER_DIPSW4 CONFIG_SEL VTAP_BYPASSN HSMC_BYPASSN For Rev. B: 2 = BOOT_SEL 3 = MAX10_BYPASSN OFF = 1 SW2 ON = ON = 0 SW1 OFF = 1 表 4-3: SW2 DIP スイッチの設定 ( ボード裏面 ) スイッチボード ラベル動作デフォルト ポジション 1 USER_DIPSW4 ユーザー定義スイッチ 4 であり スイッチ は SW1 にあります デフォルトの機 能はありません ボード コンポーネント

43 UG ステータス エレメント 4-7 スイッチボード ラベル動作デフォルト ポジション 2 BOOT_SEL(Rev. B ボードでの名称 ) CONFIG_SEL(Rev. C ボードでの名称 ) このピンを使用して デュアル イメージ Low コンフィギュレーションでの最初のブート イメージに CFM0 CFM1 または CFM2 イメージを選択します CONFIG_SEL が Low にセットされていれば 最初のブート イメージは CFM0 イメージです CONFIG_SEL ピンが High にセットされていれば 最初のブート イメージは CFM1 または CFM2 イメージです このピンは ユーザー モードの前と nstatus ピンがアサートされる前に読み出されます 3 VTAP_BYPASSn オンボード USB-Blaster II 内で仮想 JTAG デバイスが提供されており これは診断ハードウェアおよびボード識別情報へのアクセスを提供します このデバイスは JTAG チェインでエクストラ デバイスの ID: 020D10DD として表示されます このスイッチは 仮想 JTAG デバイスを JTAG チェインから削除します 4 HSMC_BYPASSN このピンを使用して HSMC を JTAG チェインからバイパスします この信号のデフォルト値は High であり HSMC は JTAG チェインに含まれています ( しかし 通常は HSMC に接続されたドーターカードがないために これは JTAG マスタによって検出されません ) これを Low にセットすると HSMC はバイパスされます High High ステータス エレメント この項では MAX 10 FPGA 開発ボードのユーザー定義ではないステータス エレメントをリストします 表 4-4: 汎用 LED 信号名 ボード リファレンス信号名 D1 青色電源 LED D2 HSMC_PRSNTn 緑色 LED D13 UART_TXLED USB~UART 向け緑色 LED D14 UART_RXLED USB~UART 向け緑色 LED ボード コンポーネント

44 4-8 設定エレメント 表 4-5: MAX II CPLD LED 信号名 UG ボード リファレンス信号名 I/O 規格 MAX II CPLD ピン番号 D20 MAXII_CONF_DONE 3.3 V W17 D21 3.3V_LED 3.3 V U4 D22 2.5V_LED 3.3 V U5 D23 1.2V_LED 3.3 V U6 設定エレメント 表 4-6: ボード設定 DIP スイッチとジャンパの回路図の信号名 ボード リファレンス 信号名 デバイス / ピン番号 I/O 規格 SW2.1 USER_DIPSW4 MAX 10 / H V SW2.2 CONFIG_SEL MAX 10 / H V SW2.3 VTAP_BYPASSn MAX II / P V SW2.4 HSMC_BYPASSn MAX II / P V J7.1 POT1 2.5 V J7.2 ADC1_CH6 2x10 Header / J V 表 4-7: 汎用プッシュ ボタン信号名 ボード リファレンス 信号名 MAX 10 FPGA ピン番号 I/O 規格 S5 PULSE_NCONFIG H9 3.3 V S6 CPU_RESETn D9 3.3 V 汎用ユーザー入出力 MAX 10 FPGA 開発ボードのユーザー定義 I/O 信号名 FPGA ピン番号 I/O 規格です 表 4-8: ユーザー定義プッシュ ボタン信号名 ボード リファレンス信号名 MAX 10 FPGA I/O 規格 ピン番号 S1 USER_PB0 L V S2 USER_PB1 M V S3 USER_PB2 M V S4 USER_PB3 N V ボード コンポーネント

45 UG クロック回路 4-9 表 4-9: ユーザー定義 DIP スイッチの回路図の信号名 ボード リファレンス信号名 MAX 10 FPGA I/O 規格 ピン番号 SW1.1 USER_DIPSW0 H V SW1.2 USER_DIPSW1 H V SW1.3 USER_DIPSW2 J V SW1.4 USER_DIPSW3 J V SW2.1 USER_DIPSW4 G V 表 4-10: ユーザー LED( 緑色 ) の回路図の信号名 ボード リファレンス信号名 MAX 10 FPGA I/O 規格 ピン番号 D15 USER_LED0 T V D16 USER_LED1 U V D17 USER_LED2 U V D18 USER_LED3 AA V D19 USER_LED4 AA V MAX 10 Development Kit Baseline Pinout デザインについてはアルテラの Design Store を参照してください 関連情報 Altera Design Store (MAX 10 Development Kit) クロック回路 開発ボードは デフォルト周波数が 25 MHz 50 MHz 100 MHz 125 MHz の 4 チャネルのプログラマブル オシレータを含みます またボードは ADC に接続された 10 MHz のプログラマブル オシレータも含みます ボード コンポーネント

46 4-10 オンボード オシレータ オンボード オシレータ 図 4-3: MAX 10 FPGA 開発ボードのクロック UG FA MHz XTAL Cypress CY7C68013A USB Controller USB_CLK MAX II USB Blaster 8Y 25MHz XTAL IN Default 50 MHz CH0 50M_MAXII USB_CLK Default 25 MHz CH1 25M_ENET 10/100/1000 Base T Ethernet PHY 88E1111 x 2 DefaultLVDS 125 MHz CH2 DefaultLVDS 100 MHz CH3 Bank 8 Bank 7 Si M_MAX10 Bank 1A Bank 1B ALTERA MAX 10 Bank 6 100M_DDR3 25M_MAX10 Si 570 CMOS Clock Output Default 10MHz ADC Bank 2 Bank 3 Bank 4 Bank 5 125M_LVDS 表 4-11: オンボード オシレータ ソース 回路図の信号名 周波数 I/O 規格 MAX 10 FPGA ピン番号 X1 CLK_10_ADC MHz 2.5 V CMOS U2 CLK_25_ENET MHz 2.5 V LVDS U2 CLK_25_MAX MHz 2.5 V LVDS N5 適用 ADC 向けデフォルト 10 MHz プログラマブル クロック - イーサネット クロック M8 MAX 10 クロック U2 CLK_50_MAXII MHz 2.5 LVDS - オンボード USB Blaster II 向けクロ ック ボード コンポーネント

47 UG オフボード クロック入出力 4-11 ソース 回路図の信号名 周波数 I/O 規格 MAX 10 FPGA ピン番号 U2 CLK_50_MAX MHz 2.5 V LVDS U2 CLK_DDR3_100_N MHz 2.5 V LVDS U2 CLK_DDR3_100_P MHz 2.5 V LVDS U2 CLK_LVDS_125_N MHz 2.5 V LVDS U2 CLK_LVDS_125_P MHz 2.5 V LVDS M9 N15 N14 R11 P11 適用 MAX 10 クロック DDR3 クロック DDR3 クロック LVDS クロック LVDS クロック オフボード クロック入出力 開発ボードは ボード上で駆動できるクロック入出力を備えています 出力クロックは FPGA デバイスの仕様に応じて異なるレベルと I/O 規格にプログラミング可能です 表 4-12: オフボード クロック入力 ソース 回路図の信号名 I/O 規格 MAX 10 FPGA ピン番号 HSMC HSMC_CLK_IN_N1 2.5 V AB21 装着された HSMC ケー ブルまたはボードからの LVDS 入力 HSMC HSMC_CLK_IN_P1 2.5 V AA20 装着された HSMC ケー ブルまたはボードからの LVDS 入力 HSMC HSMC_CLK_IN_N2 2.5 V V9 装着された HSMC ケー ブルまたはボードからの LVDS 入力 HSMC HSMC_CLK_IN_P2 2.5 V V10 装着された HSMC ケー ブルまたはボードからの LVDS 入力 HSMC HSMC_CLK_IN0 2.5 V N4 装着された HSMC ケー ブルまたはボードからの シングル エンド入力 表 4-13: オフボード クロック出力 ソース 回路図の信号名 I/O 規格 MAX 10 FPGA ピン番号 HSMC HSMC_CLK_OUT_N1 2.5 V R13 LVDS 出力 HSMC HSMC_CLK_OUT_P1 2.5 V P13 LVDS 出力 HSMC HSMC_CLK_OUT_N2 2.5 V V14 LVDS 出力 ボード コンポーネント

48 4-12 コンポーネントとインタフェース UG ソース 回路図の信号名 I/O 規格 MAX 10 FPGA ピン番号 HSMC HSMC_CLK_OUT_P2 2.5 V W15 LVDS 出力 HSMC HSMC_CLK_OUT0 2.5 V AA13 FPGA CMOS 出力 ( また は GPIO) コンポーネントとインタフェース この項では 開発ボードの MAX 10 FPGA デバイスに関係する通信ポートとインタフェース カードについて説明します 10/100/1000 イーサネット PHY MAX 10 FFPGA 開発キットは 10/100/1000 base-t イーサネットをサポートしており これは外部 Marvell 88E1111 PHY およびアルテラの Triple-Speed Ethernet MegaCore MAC ファンクションを使用します 表 4-14: イーサネット PHY A のピン割り当て 回路図の信号名と機能 ボード リファレンス (U9) 回路図の信号名 MAX 10 FPGA ピン番号 I/O 規格 U9.8 ENETA_GTX_CLK T5 2.5V CMOS 125 MHz RGMII TX クロック U9.4 ENETA_TX_CLK E10 3.3V LVCMOS 25/2.5 MHz MII TX クロック U9.11 ENETA_TX_D0 R5 2.5V CMOS RGMII TX データ 0 U9.12 ENETA_TX_D1 T1 2.5V CMOS RGMII TX データ 1 U9.14 ENETA_TX_D2 W1 2.5V CMOS RGMII TX データ 2 U9.16 ENETA_TX_D3 W2 2.5V CMOS RGMII TX データ 3 U9.9 ENETA_TX_EN R4 2.5V CMOS RGMII TX イネーブル U9.7 ENETA_TX_ER P4 2.5V CMOS MII TX エラー U9.2 ENETA_RX_CLK P3 2.5V CMOS RGMII RX クロック U9.95 ENETA_RX_D0 N9 2.5V CMOS RGMII RX データ 0 U9.92 ENETA_RX_D1 T1 2.5V CMOS RGMII RX データ 1 U9.93 ENETA_RX_D2 N1 2.5V CMOS RGMII RX データ 2 U9.91 ENETA_RX_D3 T3 2.5V CMOS RGMII RX データ 3 U9.94 ENETA_RX_DV T2 2.5V CMOS RGMII RX 有効 U9.3 ENETA_RX_ER P4 2.5V CMOS MII RX エラー U9.28 ENETA_RESETN V8 2.5V CMOS デバイス リセット U9.23 ENETA_INTn V7 2.5V CMOS 管理バス割り込み ボード コンポーネント

49 UG /100/1000 イーサネット PHY 4-13 ボード リファレンス (U9) 回路図の信号名 MAX 10 FPGA ピン番号 I/O 規格 U9.25 ENET_MDC Y6 2.5V CMOS MDI クロック U9.24 ENETA_MDIO Y5 2.5V CMOS MDI データ U9.84 ENETA_RX_CRS N8 2.5V CMOS MII キャリア センス U9.83 ENETA_RX_COL P1 2.5V CMOS MII コリジョン U9.55 CLK_25_ENET 2.5V CMOS 25 MHz リファレンス クロック U9.70 ENETA_LED_ DUPLEX U9.76 ENETA_LED_ LINK10 U9.74 ENETA_LED_ LINK100 U9.73 ENETA_LED_ LINK V CMOS 双方向あるいはコリジョン LED 2.5 V CMOS 10 Mb リンク LED R9 2.5V CMOS 100 Mb リンク LED 2.5V CMOS 1000 Mb リンク LED U ENETA_LED_RX 2.5V CMOS RX データ アクティブ LED U ENETA_LED_TX 2.5V CMOS TX データ アクティブ LED U9.29 ENETA_MDI_P0 2.5V CMOS MDI U9.31 ENETA_MDI_N0 2.5V CMOS MDI U9.33 ENETA_MDI_P1 2.5V CMOS MDI U9.34 ENETA_MDI_N1 2.5V CMOS MDI U9.39 ENETA_MDI_P2 2.5V CMOS MDI U9.41 ENETA_MDI_N2 2.5V CMOS MDI U9.42 ENETA_MDI_P3 2.5V CMOS MDI U9.43 ENETA_MDI_N3 2.5V CMOS MDI 表 4-15: イーサネット PHY B のピン割り当て 回路図の信号名と機能 ボード リファレンス (U10) 回路図の信号名 MAX 10 FPGA ピン番号 I/O 規格 U10.8 ENETB_GTX_CLK T6 2.5V CMOS 125 MHz RGMII TX クロック U10.4 ENETB_TX_CLK E11 3.3V LVCMOS 25/2.5 MHz MII TX クロック U10.11 ENETB_TX_D0 U1 2.5V CMOS RGMII TX データ 0 U10.12 ENETB_TX_D1 V1 2.5V CMOS RGMII TX データ 1 U10.14 ENETB_TX_D2 W1 2.5V CMOS RGMII TX データ 2 U10.16 ENETB_TX_D3 U4 2.5V CMOS RGMII TX データ 3 ボード コンポーネント

50 /100/1000 イーサネット PHY UG ボード リファレンス (U10) 回路図の信号名 MAX 10 FPGA ピン番号 I/O 規格 U10.9 ENETB_TX_EN V3 2.5V CMOS RGMII TX イネーブル U10.7 ENETB_TX_ER U5 2.5V CMOS MII TX エラー U10.2 ENETB_RX_CLK R3 2.5V CMOS RGMII RX クロック U10.95 ENETB_RX_D0 P8 2.5V CMOS RGMII RX データ 0 U10.92 ENETB_RX_D1 M1 2.5V CMOS RGMII RX データ 1 U10.93 ENETB_RX_D2 M2 2.5V CMOS RGMII RX データ 2 U10.91 ENETB_RX_D3 T3 2.5V CMOS RGMII RX データ 3 U10.94 ENETB_RX_DV R1 2.5V CMOS RGMII RX 有効 U10.3 ENETB_RX_ER R2 2.5V CMOS MII RX エラー U10.28 ENETB_RESETn AB4 2.5V CMOS デバイス リセット U10.23 ENETB_INTn AA3 2.5V CMOS 管理バス割り込み U10.25 ENET_MDC Y6 2.5V CMOS MDI クロック U10.24 ENET_MDIO Y5 2.5V CMOS MDI データ U10.84 ENETB_RX_CRS N3 2.5V CMOS MII キャリア センス U10.83 ENETB_RX_COL N2 2.5V CMOS MII コリジョン U10.55 CLK_25_ENET 2.5V CMOS 25 MHz リファレンス クロック U10.70 ENETB_LED_ DUPLEX U10.76 ENETB_LED_ LINK10 U10.74 ENETB_LED_ LINK100 U10.73 ENETB_LED_ LINK V CMOS 双方向あるいはコリジョン LED 2.5V CMOS 10 Mb リンク LED P9 2.5V CMOS 100 Mb リンク LED 2.5V CMOS 1000 Mb リンク LED U ENETB_LED_RX 2.5V CMOS RX データ アクティブ LED U ENETB_LED_TX 2.5V CMOS TX データ アクティブ LED U10.29 ENETB_MDI_P0 2.5V CMOS MDI U10.31 ENETB_MDI_N0 2.5V CMOS MDI U10.33 ENETB_MDI_P1 2.5V CMOS MDI U10.34 ENETB_MDI_N1 2.5V CMOS MDI U10.39 ENETB_MDI_P2 2.5V CMOS MDI ボード コンポーネント

51 UG デジタル - アナログ コンバータ 4-15 ボード リファレンス (U10) 回路図の信号名 MAX 10 FPGA ピン番号 I/O 規格 U10.41 ENETB_MDI_N2 2.5V CMOS MDI U10.42 ENETB_MDI_P3 2.5V CMOS MDI U10.43 ENETB_MDI_N3 2.5V CMOS MDI デジタル - アナログ コンバータ MAX 10 FPGA には SMA 出力付き 16 ビットのデジタル - アナログ コンバータ (DAC) デバイス 1 個が搭載されています MAX 10 FPGA は サンプル レート 1 MSPS の 12 ビット逐次比較レジスタ (SAR)ADC 2 個を有します ポテンショメータ 1 個が ADC1_CH6 に接続されており これはユーザー制御の DC として機能し また これは 2.5 V に接続されています ADC の性能評価を確かなものにするために MAX 10 開発キットは個別のアナログ電源を有し アナログ グランドを分割しています 外部 16 ビット信号チャネル DAC がバンク 7 に接続されており クローズド ループ評価を可能にします DAC は最高 30 MHz のクロック レートで動作する 3 線式シリアル インタフェースを使用します これは標準シリアル ペリフェラル インタフェース (SPI) QSPI Microwire デジタル信号処理 (DSP) インタフェースと互換します 表 4-16: デジタル - アナログ コンバータの信号 ボード リファレンス (U33) 信号名 MAX 10 FPGA ピン番号 I/O 規格 U33.5 DAC_SYNC U1.B V レベル トリガ コントロール 入力 ( アクティブ Low) 入力 データ向けフレーム同期信号 U33.6 DAC_SCLK A7 3.3 V シリアル クロック入力 U33.7 DAC_DIN A8 3.3 V シリアル データ入力 HDMI ビデオ出力 MAX 10 FPGA は HDMI トランスミッタ 1 個と HDMI ソケット 1 個をサポートしています トランスミッタは HDMI v1.4 機能を取り入れており 最高 165 MHz (1080p で 60 Hz UXGA で 60 Hz) の入力データ レートをサポート可能です HDMI トランスミッタと MAX 10 はバンク 7 で接続されており I 2 C インタフェースを介して通信します 表 4-17: HDMI のピン割り当て 回路図の信号名と機能 ボード リファレンス (U8) 回路図の信号名 MAX 10 FPGA ピン番号 I/O 規格 U8.62 HDMI_TX_D0 A V HDMI デジタル ビデオ U8.61 HDMI_TX_D1 A V HDMI デジタル ビデオ ボード コンポーネント

52 4-16 HDMI ビデオ出力 UG ボード リファレンス (U8) 回路図の信号名 MAX 10 FPGA ピン番号 I/O 規格 U8.60 HDMI_TX_D2 A V HDMI デジタル ビデオ U8.59 HDMI_TX_D3 F V HDMI デジタル ビデオ U8.58 HDMI_TX_D4 A V HDMI デジタル ビデオ U8.57 HDMI_TX_D5 B V HDMI デジタル ビデオ U8.56 HDMI_TX_D6 F V HDMI デジタル ビデオ U8.55 HDMI_TX_D7 B V HDMI デジタル ビデオ U8.54 HDMI_TX_D8 A V HDMI デジタル ビデオ U8.52 HDMI_TX_D9 C V HDMI デジタル ビデオ U8.50 HDMI_TX_D10 C V HDMI デジタル ビデオ U8.49 HDMI_TX_D11 A V HDMI デジタル ビデオ U8.48 HDMI_TX_D12 A V HDMI デジタル ビデオ U8.47 HDMI_TX_D13 H V HDMI デジタル ビデオ U8.46 HDMI_TX_D14 E V HDMI デジタル ビデオ U8.45 HDMI_TX_D15 D V HDMI デジタル ビデオ U8.44 HDMI_TX_D16 C V HDMI デジタル ビデオ U8.43 HDMI_TX_D17 C V HDMI デジタル ビデオ U8.42 HDMI_TX_D18 C V HDMI デジタル ビデオ U8.41 HDMI_TX_D19 B V HDMI デジタル ビデオ ボード コンポーネント

53 UG HSMC 4-17 ボード リファレンス (U8) 回路図の信号名 MAX 10 FPGA ピン番号 I/O 規格 U8.40 HDMI_TX_D20 B V HDMI デジタル ビデオ U8.39 HDMI_TX_D21 B V HDMI デジタル ビデオ U8.38 HDMI_TX_D22 C V HDMI デジタル ビデオ U8.37 HDMI_TX_D23 A V HDMI デジタル ビデオ U8.53 HDMI_TX_CLK D6 3.3 V ビデオ クロック U8.63 HDMI_TX_DE C V ビデオ データ有効 U8.64 HDMI_TX_HS A V 垂直同期 U8.2 HDMI_TX_VS J V 水平同期 U8.28 HDMI_TX_INT D V 割り込み信号 U8.35 HDMI_SCL A V HDMI I2C クロック U8.36 HDMI_SDA B V HDMI I2C データ HSMC 高速メザニン カード (HSMC) インタフェースは Samtec の 0.5 mm ピッチに基づく QTH/QSH ファミリの表面実装コネクタです これは フル SPI 4.2 インタフェース (17 LVDS チャネル ) 入力と出力のクロック 3 個 ならびに SMBus と JTAG をサポートするようにデザインされています MAX 10 はトランシーバ チャネルを有さないので HSMC クロック データ リカバリ チャネルは未接続のまま残されています HSMC インタフェースは 2.5 V LVCMOS として使用可能なプログラマブル双方向 I/O ピンを備えており これは 3.3 V LVTTL と互換しています これらの I/O ピンは 最大 17 の全二重チャネルを用いる LVDS mini-lvds RSDS とその他を含むさまざまな差動 I/O 規格として使用できます High Speed Mezzanine Card (HSMC) Specification マニュアルにあるように LVDS とシングル エンド I/O 規格は 汎用シングル エンドのピン配置 または汎用差動式のピン配置どちらかに準じて組み合わされた際にのみ機能が保証されます 信号方式規格 シグナル インテグリティ 適合するコネクタ 機械的情報などの HSMC 仕様について詳しくは High Speed Mezzanine Card (HSMC) Specification マニュアルを参照してください ボード コンポーネント

54 4-18 HSMC 表 4-18: HSMC の回路図の信号名 UG ボード リファレンス (J2) 回路図の信号名 MAX 10 / MAX II ピン番号 I/O 規格 33 HSMC_SDA AA19 2.5V CMOS 入出力 管理シリアル デー タ ライン 34 HSMC_SCL Y18 2.5V CMOS 出力 管理シリアル クロ ック ライン 35 HSMC_JTAG_TCK A9 (MAX II) チェインの一部 JTAG クロック 36 HSMC_JTAG_TMS A8 (MAX II) チェインの一部 JTAG モード選択 37 HSMC_JTAG_TDO A7 (MAX II) チェインの一部 JTAG データ アウト 38 HSMC_JTAG_TDI A6 (MAX II) チェインの一部 JTAG データ イン 39 HSMC_CLK_OUT0 AA13 2.5V CMOS クロック出力クロック出力 0 40 HSMC_CLK_IN0 N4 2.5V CMOS クロック入力クロック入力 0 41 HSMC_D0 Y7 2.5 V CMOS 入出力 42 HSMC_D1 Y8 2.5 V CMOS 入出力 43 HSMC_D2 AB2 2.5 V CMOS 入出力 44 HSMC_D3 AB3 2.5 V CMOS 入出力 47 HSMC_TX_D_P0 W3 2.5 V CMOS 入出力また は LVDS TX チャネル p 48 HSMC_RX_D_P0 (1) V5 2.5 V CMOS 入出力また は LVDS RX チャネル p 49 HSMC_TX_D_N0 W4 2.5 V CMOS 入出力また は LVDS TX チャネル n 50 HSMC_RX_D_N0 (1) V4 2.5 V CMOS 入出力また は LVDS RX チャネル n 53 HSMC_TX_D_P1 U7 2.5 V CMOS 入出力また は LVDS TX チャネル p 54 HSMC_RX_D_P1 (1) Y2 2.5 V CMOS 入出力また は LVDS RX チャネル p 55 HSMC_TX_D_N1 U6 2.5 V CMOS 入出力また は LVDS TX チャネル n 56 HSMC_RX_D_N1 (1) Y1 2.5 V CMOS 入出力また は LVDS RX チャネル n 59 HSMC_TX_D_P2 W6 2.5 V CMOS 入出力また は LVDS TX チャネル p 60 HSMC_RX_D_P2 (1) AA V CMOS 入出力また は LVDS RX チャネル p ボード コンポーネント

55 UG HSMC 4-19 ボード リファレンス (J2) 回路図の信号名 MAX 10 / MAX II ピン番号 I/O 規格 61 HSMC_TX_D_N2 W5 2.5 V CMOS 入出力また は LVDS TX チャネル n 62 HSMC_RX_D_N2 (1) AA1 2.5 V CMOS 入出力また は LVDS RX チャネル n 65 HSMC_TX_D_P3 W8 2.5 V CMOS 入出力また は LVDS TX チャネル p 66 HSMC_RX_D_P3 (1) AB8 2.5 V CMOS 入出力また は LVDS RX チャネル p 67 HSMC_TX_D_N3 W7 2.5 V CMOS 入出力また は LVDS TX チャネル n 68 HSMC_RX_D_N3 (1) AA8 2.5 V CMOS 入出力また は LVDS RX チャネル n 71 HSMC_TX_D_P4 AA V CMOS 入出力また は LVDS TX チャネル p 72 HSMC_RX_D_P4 (1) AB9 2.5 V CMOS 入出力また は LVDS RX チャネル p 73 HSMC_TX_D_N4 Y V CMOS 入出力また は LVDS TX チャネル n 74 HSMC_RX_D_N4 (1) AA9 2.5 V CMOS 入出力また は LVDS RX チャネル n 77 HSMC_TX_D_P5 AA7 2.5 V CMOS 入出力また は LVDS TX チャネル p 78 HSMC_RX_D_P5 (1) AB7 2.5 V CMOS 入出力また は LVDS RX チャネル p 79 HSMC_TX_D_N5 AA6 2.5 V CMOS 入出力また は LVDS TX チャネル n 80 HSMC_RX_D_N5 (1) AB6 2.5 V CMOS 入出力また は LVDS RX チャネル n 83 HSMC_TX_D_P6 P V CMOS 入出力また は LVDS TX チャネル p 84 HSMC_RX_D_P6 (1) Y4 2.5 V CMOS 入出力また は LVDS RX チャネル p 85 HSMC_TX_D_N6 R V CMOS 入出力また は LVDS TX チャネル n 86 HSMC_RX_D_N6 (1) Y3 2.5 V CMOS 入出力また は LVDS RX チャネル n 89 HSMC_TX_D_P7 W V CMOS 入出力また は LVDS TX チャネル p ボード コンポーネント

56 4-20 HSMC UG ボード リファレンス (J2) 回路図の信号名 MAX 10 / MAX II ピン番号 I/O 規格 90 HSMC_RX_D_P7 (1) AB5 2.5 V CMOS 入出力また は LVDS RX チャネル p 91 HSMC_TX_D_N7 W9 2.5 V CMOS 入出力また は LVDS TX チャネル n 92 HSMC_RX_D_N7 (1) AA5 2.5 V CMOS 入出力また は LVDS RX チャネル n 95 HSMC_CLK_OUT_ P1 P V CMOS 入出力または LVDS クロック出力 96 HSMC_CLK_IN_P1 AA V CMOS 入出力また は LVDS クロッ入力 97 HSMC_CLK_OUT_ N1 98 HSMC_CLK_IN_ N1 R13 AB V CMOS 入出力または LVDS クロック出力 2.5 V CMOS 入出力または LVDS クロッ入力 101 HSMC_TX_D_P8 W V CMOS 入出力また は LVDS TX チャネル p 102 HSMC_RX_D_P8 (1) W V CMOS 入出力また は LVDS RX チャネル p 103 HSMC_TX_D_N8 V V CMOS 入出力また は LVDS TX チャネル n 104 HSMC_RX_D_N8 (1) W V CMOS 入出力また は LVDS RX チャネル n 107 HSMC_TX_D_P9 Y V CMOS 入出力また は LVDS TX チャネル p 108 HSMC_RX_D_P9 (1) AB V CMOS 入出力また は LVDS RX チャネル p 109 HSMC_TX_D_N9 Y V CMOS 入出力また は LVDS TX チャネル n 110 HSMC_RX_D_N9 (1) AA V CMOS 入出力また は LVDS RX チャネル n 113 HSMC_TX_D_P10 V V CMOS 入出力また は LVDS TX チャネル p 114 HSMC_RX_D_ P10 (1) Y V CMOS 入出力または LVDS RX チャネル p 115 HSMC_TX_D_N10 U V CMOS 入出力また は LVDS TX チャネル n 116 HSMC_RX_D_ N10 (1) AA V CMOS 入出力または LVDS RX チャネル n クロック出力 1 クロック入力 1 クロック出力 1 クロック入力 1 ボード コンポーネント

57 UG HSMC 4-21 ボード リファレンス (J2) 回路図の信号名 MAX 10 / MAX II ピン番号 I/O 規格 119 HSMC_TX_D_P11 W V CMOS 入出力また は LVDS TX チャネル p 120 HSMC_RX_D_ P11 (1) AA V CMOS 入出力または LVDS RX チャネル p 121 HSMC_TX_D_N11 V V CMOS 入出力また は LVDS TX チャネル n 122 HSMC_RX_D_ N11 (1) AB V CMOS 入出力または LVDS RX チャネル n 125 HSMC_TX_D_P12 V V CMOS 入出力また は LVDS TX チャネル p 126 HSMC_RX_D_ P12 (1) AB V CMOS 入出力または LVDS RX チャネル p 127 HSMC_TX_D_N12 W V CMOS 入出力また は LVDS TX チャネル n 128 HSMC_RX_D_ N12 (1) AB V CMOS 入出力または LVDS RX チャネル n 131 HSMC_TX_D_P13 V V CMOS 入出力また は LVDS TX チャネル p 132 HSMC_RX_D_ P13 (1) Y V CMOS 入出力または LVDS RX チャネル p 133 HSMC_TX_D_N13 V V CMOS 入出力また は LVDS TX チャネル n 134 HSMC_RX_D_ N13 (1) W V CMOS 入出力または LVDS RX チャネル n 137 HSMC_TX_D_P14 P V CMOS 入出力また は LVDS TX チャネル p 138 HSMC_RX_D_ P14 (1) AB V CMOS 入出力または LVDS RX チャネル p 139 HSMC_TX_D_N14 R V CMOS 入出力また は LVDS TX チャネル n 140 HSMC_RX_D_ N14 (1) AB V CMOS 入出力または LVDS RX チャネル n 143 HSMC_TX_D_P15 AA V CMOS 入出力また は LVDS TX チャネル p 144 HSMC_RX_D_ P15 (1) AB V CMOS 入出力または LVDS RX チャネル p 145 HSMC_TX_D_N15 AA V CMOS 入出力また は LVDS TX チャネル n ボード コンポーネント

58 4-22 Pmod コネクタ UG ボード リファレンス (J2) 回路図の信号名 MAX 10 / MAX II ピン番号 I/O 規格 146 HSMC_RX_D_ N15 (1) AB V CMOS 入出力または LVDS RX チャネル n 149 HSMC_TX_D_P16 Y V CMOS 入出力また は LVDS TX チャネル p 150 HSMC_RX_D_ P16 (1) AB V CMOS 入出力または LVDS RX チャネル p 151 HSMC_TX_D_N16 AA V CMOS 入出力また は LVDS TX チャネル n 152 HSMC_RX_D_ N16 (1) AB V CMOS 入出力または LVDS RX チャネル n 155 HSMC_CLK_OUT_ P2 W V CMOS 入出力または LVDS クロック出力 156 HSMC_CLK_IN_P2 V V CMOS 入出力また は LVDS クロッ入力 157 HSMC_CLK_OUT_ N2 158 HSMC_CLK_IN_ N2 V14 V9 2.5 V CMOS 入出力または LVDS クロック出力 2.5 V CMOS 入出力または LVDS クロッ入力 クロック出力 2 クロック入力 2 クロック出力 2 クロック入力 HSMC_PRSNTn AB14 2.5V 存在検知 関連情報 High Speed Mezzanine Card (HSMC) Specification Pmod コネクタ MAX 10 FPGA 開発ボードは Digilent の Pmod と互換するヘッダを 2 個搭載しており これを使用して低周波数 少ない I/O ピン数のペリフェラル モジュールと接続します このキットで使用している 12 ピンの Pmod コネクタは 8 本の I/O 信号ピンを提供します また ペリフェラル モジュール インタフェースには I 2 C インタフェースを使用するタイプ 2 線もしくは 4 線式 MTE も含まれます 表 4-19: Pomd A のピン割り当て 回路図の信号名と機能 回路図の信号名 回路図の共有バス信号名 MAX 10 FPGA ピン番号 I/O 規格 PMODA_D0 PMODA_IO0 C7 3.3V In/Out PMODA_D1 PMODA_IO1 C8 3.3V In/Out (1) MAX 10 は LVDS RX 向けの内部終端を有しません HSMC で LVDS RX をサポートするには 100 オームの抵抗を装着します ボード コンポーネント

59 UG USB - UART 変換器 4-23 回路図の信号名 回路図の共有バス信号名 MAX 10 FPGA ピン番号 I/O 規格 PMODA_D2 PMODA_IO2 A6 3.3V In/Out PMODA_D3 PMODA_IO3 B7 3.3V In/Out PMODA_D4 PMODA_IO4 D8 3.3V In/Out PMODA_D5 PMODA_IO5 A4 3.3V In/Out PMODA_D6 PMODA_IO6 A5 3.3V In/Out PMODA_D7 PMODA_IO7 E9 3.3V In/Out VCC 3.3V 電源 GND GND 表 4-20: Pomd B のピン割り当て 回路図の信号名と機能 回路図の信号名 回路図の共有バス信号名 MAX 10 FPGA ピン番号 I/O 規格 PMODB_D0 PMODB_IO0 E8 3.3V In/Out PMODB_D1 PMODB_IO1 D5 3.3V In/Out PMODB_D2 PMODB_IO2 B5 3.3V In/Out PMODB_D3 PMODB_IO3 C4 3.3V In/Out PMODB_D4 PMODB_IO4 A2 3.3V In/Out PMODB_D5 PMODB_IO5 A3 3.3V In/Out PMODB_D6 PMODB_IO6 B4 3.3V In/Out PMODB_D7 PMODB_IO7 B3 3.3V In/Out VCC 3.3V 電源 GND GND USB - UART 変換器このボードでは USB ベースの UART ブリッジ チップ (FT232R) を使用して Nios あるいは Nios 以外のシステムに対する基本的なソフトウェア デバッグを目的とするホストへの通信を中継します このチップはデータの送信と受信に TXD と RXD を使用します ボード面積を縮小するために ミニ B プラグ ソケットを使用しています 関連する I/O の使用はバンク 4 に実装されています 表 4-21: USB-UART のピン割り当て 回路図の信号名と機能 ボード リファレンス (U11) 回路図の信号名 MAX 10 FPGA ピン番号 I/O 規格 U11.2 UART_TX W V 送信非同期データ出力 ボード コンポーネント

60 4-24 メモリ UG ボード リファレンス (U11) 回路図の信号名 MAX 10 FPGA ピン番号 I/O 規格 U11.30 UART_RX Y V 受信非同期データ入力 メモリ この項では開発ボードのメモリ インタフェースのサポートと FPGA に関係するそれらの信号名 タイプ および接続性について説明します DDR3 リビジョン B ボード 注意 : ボードのリビジョンは ボードの裏面の下側にあるシリアル番号から確認できます の項でボード裏面の画像を参照してください MAX 10 FPGA は x16 DDR3 300 MHz インタフェースの最高速度でのサポートを 1 Gbit x16 の使用によって提供します さらに MAX 10 は誤り訂正コード (ECC) 機能をサポートしています 注意 : 10M50 F484 デバイスに DDR3 を実装する際に リビジョン B ボードの F18 E19 F20 および F21 の DDR3 アドレス信号が MAX 10 外部メモリ ガイドラインに違反します アルテラは MAX 10 のガイドラインに沿ってボードをデザインし Quartus II ソフトウェアを活用してピン位置がこれに適合しているかを検証することを推奨します リビジョン B キットのデザインで DDR3 のピン位置にエラーが生じた場合には アルテラのサポートにお問い合わせください 表 4-22: DDR3 のピン割り当て 回路図の信号名と機能 ボード リファレンス (U5 - U6) 回路図の信号名 MAX 10 FPGA ピン番号 I/O 規格 U5.N3 - U6.K3 DDR3_A0 V20 1.5V SSTL アドレス バス U5.P7 - U6.L7 DDR3_A1 F20 1.5V SSTL アドレス バス上記の注を参照 U5.P3 - U6.L3 DDR3_A2 F18 1.5V SSTL アドレス バス上記の注を参照 U5.N2 - U6.K2 DDR3_A3 U20 1.5V SSTL アドレス バス U5.P8 - U6.L8 DDR3_A4 F21 1.5V SSTL アドレス バス上記の注を参照 U5.P2 - U6.L2 DDR3_A5 F19 1.5V SSTL アドレス バス U5.R8 - U6.M8 DDR3_A6 E21 1.5V SSTL アドレス バス U5.R2 -U6.M2 DDR3_A7 E19 1.5V SSTL アドレス バス上記の注を参照 ボード コンポーネント

61 UG DDR3 リビジョン B ボード 4-25 ボード リファレンス (U5 - U6) 回路図の信号名 MAX 10 FPGA ピン番号 I/O 規格 U5.T8 - U6.N8 DDR3_A8 D22 1.5V SSTL アドレス バス U5.R3 - U6.M3 DDR3_A9 E22 1.5V SSTL アドレス バス U5.L7 - U6.H7 DDR3_A10 Y20 1.5V SSTL アドレス バス U5.R7 - U6.M7 DDR3_A11 E20 1.5V SSTL アドレス バス U5.N7 - U6.K7 DDR3_A12 J14 1.5V SSTL アドレス バス U5.T3 - U6.N3 DDR3_A13 C22 1.5V SSTL アドレス バス U5.M2 - U6.J2 DDR3_BA0 V22 1.5V SSTL バンク アドレス バス U5.N8 - U6.K8 DDR3_BA1 N18 1.5V SSTL バンク アドレス バス U5.M3 - U6.J3 DDR3_BA2 W22 1.5V SSTL バンク アドレス バス U5.K3 - U6.G3 DDR3_CASn U19 1.5V SSTL ロウ アドレス バス U5.K9 - U6.G9 DDR3_CKE W20 1.5V SSTL クロック イネーブル U5.J7 - U6.F7 DDR3_CLK_P D18 差動 1.5V SSTL U5.K7 - U6.G7 DDR3_CLK_N E18 差動 1.5V SSTL 差動出力クロック 差動出力クロック U5.L2 - U6.H2 DDR3_CSn Y22 1.5V SSTL チップ選択 U5.E7 DDR3_DM0 J15 1.5V SSTL 書き込みマスク バイト レーン 0 U5.D3 DDR3_DM1 N19 1.5V SSTL 書き込みマスク バイト レーン 1 U6.B7 DDR3_DM2 T18 1.5V SSTL 書き込みマスク バイト レーン 2 U5.E3 DDR3_DQ0 J18 1.5V SSTL バイト レーン 0 U5.F7 DDR3_DQ1 K20 1.5V SSTL バイト レーン 0 U5.F2 DDR3_DQ2 H18 1.5V SSTL バイト レーン 0 U5.F8 DDR3_DQ3 K18 1.5V SSTL バイト レーン 0 U5.H3 DDR3_DQ4 H19 1.5V SSTL バイト レーン 0 U5.H8 DDR3_DQ5 J20 1.5V SSTL バイト レーン 0 U5.G2 DDR3_DQ6 H20 1.5V SSTL バイト レーン 0 U5.H7 DDR3_DQ7 K19 1.5V SSTL バイト レーン 0 U5.D7 DDR3_DQ8 L20 1.5V SSTL バイト レーン 1 U5.C3 DDR3_DQ9 M18 1.5V SSTL バイト レーン 1 U5.C8 DDR3_DQ10 M20 1.5V SSTL バイト レーン 1 U5.C2 DDR3_DQ11 M14 1.5V SSTL バイト レーン 1 U5.A7 DDR3_DQ12 L18 1.5V SSTL バイト レーン 1 U5.A2 DDR3_DQ13 M15 1.5V SSTL バイト レーン 1 ボード コンポーネント

62 4-26 DDR3 リビジョン C ボード UG ボード リファレンス (U5 - U6) 回路図の信号名 MAX 10 FPGA ピン番号 I/O 規格 U5.B8 DDR3_DQ14 L19 1.5V SSTL バイト レーン 1 U5.A3 DDR3_DQ15 N20 1.5V SSTL バイト レーン 1 U6.B3 DDR3_DQ16 R14 1.5V SSTL バイト レーン 2 U6.C7 DDR3_DQ17 P19 1.5V SSTL バイト レーン 2 U6.C2 DDR3_DQ18 P14 1.5V SSTL バイト レーン 2 U6.C8 DDR3_DQ19 R20 1.5V SSTL バイト レーン 2 U6.E3 DDR3_DQ20 R15 1.5V SSTL バイト レーン 2 U6.E8 DDR3_DQ21 T19 1.5V SSTL バイト レーン 2 U6.D2 DDR3_DQ22 P15 1.5V SSTL バイト レーン 2 U6.E7 DDR3_DQ23 P20 1.5V SSTL バイト レーン 2 U5.F3 DDR3_DQS_P0 K14 差動 1.5V SSTL U5.G3 DDR3_DQS_N0 K15 差動 1.5V SSTL U5.C7 DDR3_DQS_P1 L14 差動 1.5V SSTL U5.B7 DDR3_DQS_N1 L15 差動 1.5V SSTL U6.C3 DDR3_DQS_P2 R18 差動 1.5V SSTL U6.D3 DDR3_DQS_N2 P18 差動 1.5V SSTL データ ストローブ P バイト レーン 0 データ ストローブ N バイト レーン 0 データ ストローブ P バイト レーン 1 データ ストローブ N バイト レーン 1 データ ストローブ P バイト レーン 2 データ ストローブ N バイト レーン 2 U5.K1 - U6.G1 DDR3_ODT W19 1.5V SSTL On Die Termination イネーブル U5.J3 - U6.F3 DDR3_RASn V18 1.5V SSTL ロウ アドレス選択 U5.T2 - U6.N2 DDR3_RESETn B22 1.5V SSTL リセット U5.L3 - U6.H3 DDR3_WEn Y21 1.5V SSTL 書き込みイネーブル U5.L8 DDR3_ZQ1 1.5V SSTL ZQ インピーダンス キャリブレー ション U6.H8 DDR3_ZQ2 1.5V SSTL ZQ インピーダンス キャリブレー ション 関連情報 1-3 ページの DDR3 リビジョン C ボード 注意 : ボードのリビジョンは ボードの裏面の下側にあるシリアル番号から確認できます ボード コンポーネント

63 UG DDR3 リビジョン C ボード 4-27 MAX 10 FPGA は x16 DDR3 300 MHz インタフェースの最高速度でのサポートを 1 Gbit x16 の使用によって提供します さらに MAX 10 は誤り訂正コード (ECC) 機能をサポートしています 表 4-23: DDR3 のピン割り当て 回路図の信号名と機能 ボード リファレンス (U5 - U6) 回路図の信号名 MAX 10 FPGA ピン番号 I/O 規格 U5.N3 - U6.K3 DDR3_A0 V20 1.5V SSTL アドレス バス U5.P7 - U6.L7 DDR3_A1 D19 1.5V SSTL アドレス バス U5.P3 - U6.L3 DDR3_A2 A21 1.5V SSTL アドレス バス U5.N2 - U6.K2 DDR3_A3 U20 1.5V SSTL アドレス バス U5.P8 - U6.L8 DDR3_A4 C20 1.5V SSTL アドレス バス U5.P2 - U6.L2 DDR3_A5 F19 1.5V SSTL アドレス バス U5.R8 - U6.M8 DDR3_A6 E21 1.5V SSTL アドレス バス U5.R2 - U6.M2 DDR3_A7 B20 1.5V SSTL アドレス バス U5.T8 - U6.N8 DDR3_A8 D22 1.5V SSTL アドレス バス U5.R3 - U6.M3 DDR3_A9 E22 1.5V SSTL アドレス バス U5.L7 - U6.H7 DDR3_A10 Y20 1.5V SSTL アドレス バス U5.R7 - U6.M7 DDR3_A11 E20 1.5V SSTL アドレス バス U5.N7 - U6.K7 DDR3_A12 J14 1.5V SSTL アドレス バス U5.T3 - U6.N3 DDR3_A13 C22 1.5V SSTL アドレス バス U5.M2 - U6.J2 DDR3_BA0 V22 1.5V SSTL バンク アドレス バス U5.N8 - U6.K8 DDR3_BA1 N18 1.5V SSTL バンク アドレス バス U5.M3 - U6.J3 DDR3_BA2 W22 1.5V SSTL バンク アドレス バス U5.K3 - U6.G3 DDR3_CASn U19 1.5V SSTL ロウ アドレス バス U5.K9 - U6.G9 DDR3_CKE W20 1.5V SSTL クロック イネーブル U5.J7 - U6.F7 DDR3_CLK_P D18 差動 1.5V SSTL U5.K7 - U6.G7 DDR3_CLK_N E18 差動 1.5V SSTL 差動出力クロック 差動出力クロック U5.L2 - U6.H2 DDR3_CSn Y22 1.5V SSTL チップ選択 U5.E7 DDR3_DM0 J15 1.5V SSTL 書き込みマスク バイト レーン 0 U5.D3 DDR3_DM1 N19 1.5V SSTL 書き込みマスク バイト レーン 1 U6.B7 DDR3_DM2 T18 1.5V SSTL 書き込みマスク バイト レーン 2 U5.E3 DDR3_DQ0 J18 1.5V SSTL バイト レーン 0 U5.F7 DDR3_DQ1 K20 1.5V SSTL バイト レーン 0 ボード コンポーネント

64 4-28 DDR3 リビジョン C ボード UG ボード リファレンス (U5 - U6) 回路図の信号名 MAX 10 FPGA ピン番号 I/O 規格 U5.F2 DDR3_DQ2 H18 1.5V SSTL バイト レーン 0 U5.F8 DDR3_DQ3 K18 1.5V SSTL バイト レーン 0 U5.H3 DDR3_DQ4 H19 1.5V SSTL バイト レーン 0 U5.H8 DDR3_DQ5 J20 1.5V SSTL バイト レーン 0 U5.G2 DDR3_DQ6 H20 1.5V SSTL バイト レーン 0 U5.H7 DDR3_DQ7 K19 1.5V SSTL バイト レーン 0 U5.D7 DDR3_DQ8 L20 1.5V SSTL バイト レーン 1 U5.C3 DDR3_DQ9 M18 1.5V SSTL バイト レーン 1 U5.C8 DDR3_DQ10 M20 1.5V SSTL バイト レーン 1 U5.C2 DDR3_DQ11 M14 1.5V SSTL バイト レーン 1 U5.A7 DDR3_DQ12 L18 1.5V SSTL バイト レーン 1 U5.A2 DDR3_DQ13 M15 1.5V SSTL バイト レーン 1 U5.B8 DDR3_DQ14 L19 1.5V SSTL バイト レーン 1 U5.A3 DDR3_DQ15 N20 1.5V SSTL バイト レーン 1 U6.B3 DDR3_DQ16 R14 1.5V SSTL バイト レーン 2 U6.C7 DDR3_DQ17 P19 1.5V SSTL バイト レーン 2 U6.C2 DDR3_DQ18 P14 1.5V SSTL バイト レーン 2 U6.C8 DDR3_DQ19 R20 1.5V SSTL バイト レーン 2 U6.E3 DDR3_DQ20 R15 1.5V SSTL バイト レーン 2 U6.E8 DDR3_DQ21 T19 1.5V SSTL バイト レーン 2 U6.D2 DDR3_DQ22 P15 1.5V SSTL バイト レーン 2 U6.E7 DDR3_DQ23 P20 1.5V SSTL バイト レーン 2 U5.F3 DDR3_DQS_P0 K14 差動 1.5V SSTL U5.G3 DDR3_DQS_N0 K15 差動 1.5V SSTL U5.C7 DDR3_DQS_P1 L14 差動 1.5V SSTL U5.B7 DDR3_DQS_N1 L15 差動 1.5V SSTL U6.C3 DDR3_DQS_P2 R18 差動 1.5V SSTL U6.D3 DDR3_DQS_N2 P18 差動 1.5V SSTL データ ストローブ P バイト レーン 0 データ ストローブ N バイト レーン 0 データ ストローブ P バイト レーン 1 データ ストローブ N バイト レーン 1 データ ストローブ P バイト レーン 2 データ ストローブ N バイト レーン 2 ボード コンポーネント

65 UG フラッシュ 4-29 ボード リファレンス (U5 - U6) 回路図の信号名 MAX 10 FPGA ピン番号 I/O 規格 U5.K1 - U6.G1 DDR3_ODT W19 1.5V SSTL On Die Termination イネーブル U5.J3 - U6.F3 DDR3_RASn V18 1.5V SSTL ロウ アドレス選択 U5.T2 - U6.N2 DDR3_RESETn B22 1.5V SSTL リセット U5.L3 - U6.H3 DDR3_WEn Y21 1.5V SSTL 書き込みイネーブル U5.L8 DDR3_ZQ1 1.5V SSTL ZQ インピーダンス キャリブレー ション U6.H8 DDR3_ZQ2 1.5V SSTL ZQ インピーダンス キャリブレー ション フラッシュ MAX 10 FPGA 開発キットは 512 Mb( メガ ビット ) の QSPI フラッシュ メモリを備えています アルテラ Generic QUAD SPI コントローラ コアは ボード テスト システム (BTS) インストーラのリファレンス デザインで QSPI フラッシュの消去 読み出し 書き込みを行います QSPI フラッシュのプログラミングにパラレル フラッシュ ローダ (PFL) を使用する場合には デバイスをコンフィギュレーションするために.pof(Programmer Object file) を生成する必要があります.pof ファイルを生成するには 以下のステップを実行します 1. 以下の設定を含む バイト オーダーの Quartus.ini ファイルを作成します PGMIO_SWAP_HEX_BYTE_DATA=ON 2..ini ファイルをプロジェクトのルート ディレクトリにコピーし Quartus でプロジェクトを開きます 3. Convert Programming Files ツールを開き.pof ファイルを生成します 表 4-24: 512 Mb QSPI フラッシュのデフォルト メモリ マップ ブロックサイズ (KB) アドレス レンジ ボード テスト システム スクラッチ 512 0x03F x03FF.FFFF ユーザー ソフトウェア x x03F7.FFFF ファクトリ ソフトウェア x x0082.FFFF Zip(html ウェブ コンテンツ ) x x0042.FFFF ボード情報 64 0x x0002.FFFF イーサネット オプション ビット 64 0x x0001.FFFF ユーザー デザイン リセット ベクタ 64 0x x0000.FFFF ボード コンポーネント

Microsoft Word - quick_start_guide_16 1_ja.docx

Microsoft Word - quick_start_guide_16 1_ja.docx Quartus Prime ソフトウェア ダウンロードおよびインストール クイック スタート ガイド 2016 Intel Corporation. All rights reserved. Intel, the Intel logo, Intel FPGA, Arria, Cyclone, Enpirion, MAX, Megacore, NIOS, Quartus and Stratix words

More information

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用 WP-01034-1.0/JP DLL (PVT compensation) 90 PLL PVT compensated FPGA fabric 90 Stratix III I/O block Read Dynamic OC T FPGA Write Memory Run Time Configurable Run Time Configurable Set at Compile dq0 dq1

More information

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ CPLD ISP ISP この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください AN-630-1.0 アプリケーション ノート このアプリケーションノートでは MAX II および MAX V デバイスにおけるリアルタイム ISP(In-System Programmability)

More information

AN 611:3G-SDI レベルB とデュアル・リンクHD-SDI(SMPTE372)リファレンス・デザインのマッピング

AN 611:3G-SDI レベルB とデュアル・リンクHD-SDI(SMPTE372)リファレンス・デザインのマッピング AN-611-1.0 この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください このリファレンス デザインは Altera SDI MegaCore ファンクションおよびオーディオ ビデオ開発キット Stratix IV GX エディションを使用して 3 ギガビット / 秒のシリアル

More information

1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll 14 5.1 Cyclone V GX FPGA... 14 5.2 FPGA ROM...

1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll 14 5.1 Cyclone V GX FPGA... 14 5.2 FPGA ROM... Mpression Beryll Board Revision 1.0 2014/2 2014/2 Mpression by Macnica Group http://www.m-pression.com 1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll

More information

Quartus Prime はじめてガイド - デバイス・プログラミングの方法

Quartus Prime はじめてガイド - デバイス・プログラミングの方法 ALTIMA Corp. Quartus Prime はじめてガイドデバイス プログラミングの方法 ver.15.1 2016 年 3 月 Rev.1 ELSENA,Inc. Quartus Prime はじめてガイド デバイス プログラミングの方法 目次 1. 2. 3. 4. はじめに...3 プログラミング方法...5 Auto Detect 機能...14 ISP CLAMP 機能...17

More information

Nios II Flash Programmer ユーザ・ガイド

Nios II Flash Programmer ユーザ・ガイド ver. 8.0 2009 年 4 月 1. はじめに 本資料は Nios II 開発環境においてフラッシュメモリ または EPCS へのプログラミングを行う際の参考マニュアルです このマニュアルでは フラッシュメモリの書き込みの際に最低限必要となる情報を提供し さらに詳しい情報はアルテラ社資料 Nios II Flash Programmer User Guide( ファイル名 :ug_nios2_flash_programmer.pdf)

More information

AN 357: Error Detection & Recovery Using CRC in Altera FPGA Devices

AN 357: Error  Detection & Recovery Using CRC in Altera FPGA Devices 2008 年 7 月 ver. 1.4 アルテラ FPGA デバイスの CRC によるエラー検出およびリカバリ Application Note 357 概要 航空電子 テレコム システム コントロール および軍事用アプリケーションの分野で使用されるクリティカルな用途では 以下ができることが重要です FPGA デバイスに格納されたコンフィギュレーション データが正確であるかを確認する システムにコンフィギュレーション

More information

1-2 MLAB 図 1-1: Arria 10 デバイスにおける LAB 構造およびインタコネクトの概要 この図は LAB インタコネクトを有する Arria 10 の LAB および MLAB 構造の概要を表しています C4 C27 異なる速度と長さのロウ インタコネクト R32 R3/R6 s

1-2 MLAB 図 1-1: Arria 10 デバイスにおける LAB 構造およびインタコネクトの概要 この図は LAB インタコネクトを有する Arria 10 の LAB および MLAB 構造の概要を表しています C4 C27 異なる速度と長さのロウ インタコネクト R32 R3/R6 s 1 署名 ロジック アレイ ブロック (LAB) は アダプティブ ロジック モジュール () として知られる基本のビルディング ブロックで構成されています ロジック ファンクション 演算ファンクション およびレジスタ ファンクションを実装するために LAB をコンフィギュレーションすることができます また Arria 10 デバイスで使用可能な LAB の 4 分の 1 をメモリ LAB(MLAB)

More information

Cyclone V デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール、Cyclone Vデバイス・ハンドブック、Volume 1、第1章

Cyclone V デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール、Cyclone Vデバイス・ハンドブック、Volume 1、第1章 June 2012 CV-52001-2.0 CV-52001-2.0 この章では Cyclone V コア ファブリック内のロジック アレイ ブロック (LAB) の機能を説明します LAB は ロジック ファンクション 演算ファンクション およびレジスタ ファンクションを実装するためにコンフィギュレーションできるアダプティブ ロジック モジュール () として知られる基本的なビルディング ブロックで構成されています

More information

2015/04/01 改定 オムロン DeviceNet ユニット CJ1W-DRM21 に関するコンフィグレーション作業について 1. 概要 DeviceNet ユニット CJ1W-DRM21 を装着したオムロン製 CJ2 シリーズと WAGO-I/0-SYSTEM DeviceNet 対応バスカ

2015/04/01 改定 オムロン DeviceNet ユニット CJ1W-DRM21 に関するコンフィグレーション作業について 1. 概要 DeviceNet ユニット CJ1W-DRM21 を装着したオムロン製 CJ2 シリーズと WAGO-I/0-SYSTEM DeviceNet 対応バスカ オムロン DeviceNet ユニット CJ1W-DRM21 に関するコンフィグレーション作業について 1. 概要 DeviceNet ユニット CJ1W-DRM21 を装着したオムロン製 CJ2 シリーズと WAGO-I/0-SYSTEM DeviceNet 対応バスカプラ 750-306 を使ったリモート I/O システムとの接続に関するコンフィグレーション方法について説明いたします 2. システム構成本書で用いるシステム構成例の内容を以下の表に示します

More information

Quartus Prime - プログラミング・ファイルの生成や変換(Convert Programming Files)

Quartus Prime - プログラミング・ファイルの生成や変換(Convert Programming Files) ALTIMA Corp. Quartus Prime プログラミング ファイルの生成や変換 (Convert Programming Files) ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. Quartus Prime プログラミング ファイルの生成や変換 (Convert Programming Files) 目次 1. 2. はじめに...3 操作方法...4 2-1.

More information

ロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール

ロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール 1 AV-52001 署名 この章では ArriaV コア ファブリックのロジック アレイ ブロック (LAB) の機能について説明します LAB は ロジック ファンクション 演算ファンクション およびレジスタ ファンクションを実装するようにコンフィギュレーションできるアダプティブ ロジック モジュール () として知られる基本ビルディング ブロックで構成されています ArriaV デバイス内で使用可能な

More information

ウォッチドッグ・タイマ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM)

ウォッチドッグ・タイマ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM) 11? 2012? cv_54024-1.2 cv_54024-1.2 ウォッチドッグ タイマの主な機能は 無応答ステートから回復するシステムの方法を提供することです ハード プロセッサ システム (HPS) は レベル 4(L4) のペリフェラル バスに接続された 2 つのプログラム可能なウォッチドッグ タイマを提供しています ウォッチドッグ タイマは Synopsys DesignWare APB

More information

USB-Blasterダウンロード・ケーブル・ユーザガイド

USB-Blasterダウンロード・ケーブル・ユーザガイド USB-Blaster 101 Innovation Drive San Jose, CA 95134 www.altera.com 2.3 2007 5 UG-USB81204-2.3 P25-10325-03 Copyright 2007 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company,

More information

A-AN pdf

A-AN pdf JQFP BGA 1999 1 ver. 4 Application Note 71 J QFPFineLine BGA TM BGA JQFPBGA JQFP QFPBGA JQFP BGA JQFP BGA J QFP J QFP QFP QFP 125 QFP QFP QFPQFP Carrier & Development Socket Altera Corporation Page 1 A-AN-071-04/J

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

デュアルDIMM DDR2およびDDR3 SDRAMのボード・デザイン・ガイドライン、外部メモリ・インタフェース・ハンドブック、Volume 2、第5章

デュアルDIMM DDR2およびDDR3 SDRAMのボード・デザイン・ガイドライン、外部メモリ・インタフェース・ハンドブック、Volume 2、第5章 5? 2012? EMI_DG_005-2.0 EMI_DG_005-2.0 この章では デュアル バッファなし DIMM (UDIMM) DDR2 および DDR3 SDRAM インタフェースの実装のガイドラインについて説明します この章では デュアル DIMM 構成を次の条件で使用して データ信号のシグナル インテグリティに対する影響を説明します 1 スロット実装対 2 スロット実装 DIMM

More information

第一章 LPC2478 ボードの概要...3 第二章 uclinux の初体験 SD カードのテスト USB メモリのテスト USB Devices のテスト network のテスト...6 第三章 uclinux のコンパイル...

第一章 LPC2478 ボードの概要...3 第二章 uclinux の初体験 SD カードのテスト USB メモリのテスト USB Devices のテスト network のテスト...6 第三章 uclinux のコンパイル... 株式会社日新テクニカ株式会社日新テクニカ株式会社日新テクニカ 1 ARM ARM ARM ARM7/TDMI 7/TDMI 7/TDMI 7/TDMI LPC LPC LPC LPC247 247 247 2478-uC uc uc uclinux inux inux inux マニュアルマニュアルマニュアルマニュアル株式会社日新テクニカ株式会社日新テクニカ株式会社日新テクニカ株式会社日新テクニカ

More information

Quartus II はじめてガイド - Convert Programming File の使い方

Quartus II はじめてガイド - Convert Programming File の使い方 ALTIMA Corp. Quartus II はじめてガイド Convert Programming File の使い方 ver.14 2015 年 1 月 Rev.1 ELSENA,Inc. Quartus II はじめてガイド Convert Programming File の使い方 目次 1. 2. はじめに...3 操作方法...3 2-1. 2-2. 2-3. Convert Programming

More information

TF Series with Tio1608-D System Setup Guide

TF Series with Tio1608-D System Setup Guide システムセットアップガイド 第 1 版 : 2016 年 6 月 このガイドでは ヤマハデジタルミキシングコンソール TF シリーズ と I/O ラック Tio1608-D を使用したミキシングシステムのセットアップ手順や Tio1608-D の台数に応じたシステム例を紹介します TF シリーズは単体でも使用することができますが Tio1608-D を併用することで簡単にシステムを拡張することができ

More information

KEIm-25ヘッダーボードハードウェアマニュアル

KEIm-25ヘッダーボードハードウェアマニュアル Ver.1.0 はじめにこの度は KEIm 製品をお買い上げいただき誠にありがとうございます 本製品をご使用になる前に 本マニュアル及び関連資料を十分ご確認いただき 使用上の注意を守って正しくご使用ください 取扱い上の注意 本書に記載されている内容は 将来予告なく変更されることがあります 本製品のご使用にあたっては 弊社窓口又は弊社ホームページなどで最新の情報をご確認ください 本製品には一般電子機器用部品が使用されています

More information

Microsoft PowerPoint - RL78G1E_スタータキットデモ手順_2012_1119修正版.pptx

Microsoft PowerPoint - RL78G1E_スタータキットデモ手順_2012_1119修正版.pptx Smart Analog Stick をはじめて動かす RL78G1E STARTER KIT を始めて使う方のために インストールから基本的な使い方を体験する部分を順番にまとめました この順番で動かせば とりあえず体験できるという内容で作成してあります 2 度目からお使いの場合には Stick ボードを USB に接続した状態で 3 から始めてください 詳細な機能説明は ユーザーズマニュアルやオンラインヘルプを参考にしてください

More information

SAC (Jap).indd

SAC (Jap).indd 取扱説明書 機器を使用する前に本マニュアルを十分にお読みください また 以後も参照できるよう保管してください バージョン 1.7 目次 目次 について...3 ご使用になる前に...3 インストール手順...4 ログイン...6 マネージメントソフトウェアプログラムの初期画面... 7 プロジェクタの検索...9 グループの設定... 11 グループ情報画面... 12 グループの削除... 13

More information

EB-RL7023+SB/D2

EB-RL7023+SB/D2 RL7023 Stick/IPR ユーザーズ マニュアル テセラ テクノロジー株式会社 Rev :2.0 2014/9/30-1 - 目次 1 本書の概要... 3 2 PC 動作環境の説明... 4 3 USB ドライバのインストール... 4 3.1 RL7023 Stick の接続... 4 3.2 USB ドライバのインストール... 4 3.3 USB ドライバのダウンロード... 5 4

More information

Nios II SBT Flash Programmer ユーザ・ガイド

Nios II SBT Flash Programmer ユーザ・ガイド ALTIMA Corp. Nios II SBT Flash Programmer ユーザ ガイド ver.9.1 2010 年 12 月 ELSENA,Inc. 目次 1. はじめに... 3 2. 使用条件... 3 3. GUI 操作手順... 3 3-1. SOF ファイルをダウンロード... 4 3-1-1. Quartus II Programmer の起動... 4 3-1-2. SOF

More information

NOTICE The information contained in this document is believed to be accurate in all respects but is not warranted by Mitel Networks Corporation (MITEL

NOTICE The information contained in this document is believed to be accurate in all respects but is not warranted by Mitel Networks Corporation (MITEL MiVoice 6725ip Microsoft Lync Phone 41-001367-06 REV02 クイックスタートガイド NOTICE The information contained in this document is believed to be accurate in all respects but is not warranted by Mitel Networks Corporation

More information

WAGO / / Modbus/RTU対応 バスカプラ クイックスタートガイド

WAGO / / Modbus/RTU対応 バスカプラ クイックスタートガイド クイックスタート WAGO-I/O-SYSTEM 750 750-315/300-000 750-316/300-000 通信設定手順書 Ver 1.0.0 136-0071 東京都江東区亀戸 1-5-7 ワゴジャパン株式会社オートメーション TEL: Web: 03-5627-2059 FAX:03-5627-2055 http://www.wago.co.jp/io WAGO Kontakttechnik

More information

Quartus II はじめてガイド - デバイス・プログラミング方法

Quartus II はじめてガイド - デバイス・プログラミング方法 - Quartus II はじめてガイド - デバイス プログラミング方法 ver. 9.1 2010 年 1 月 1. はじめに この資料では Quartus II の Programmer の操作方法を紹介しています Programmer を使用し デバイスにプログラミング ( デバイスへの書き込み ) を行います アルテラのデバイスへデータを書き込むときには プログラミング ハードウェアを使用します

More information

Spectrum Setup 画面が現れます Install Tab の各項目に マウス カーソルを合わせると 項目の詳細説明 が表示されます 通常はデフォルトの選択で問題ありませんが LabVIEW Driver Matlab Driver が必要な場合は 選択します 6. Install sel

Spectrum Setup 画面が現れます Install Tab の各項目に マウス カーソルを合わせると 項目の詳細説明 が表示されます 通常はデフォルトの選択で問題ありませんが LabVIEW Driver Matlab Driver が必要な場合は 選択します 6. Install sel NETBOX_ 最初にお読み下さい.docx NETBOX をご評価 ご購入頂きありがとうございます 本ドキュメントは Windows 環境での NETBOX の設置 LAN 接続 ドライバ ソフトウエア (Control Center, SBench6) インストール 動作確認まで順を追って説明する簡易版になります 説明内容は Windows7 環境の画面表示をベースとしておりますが Windows10

More information

(Microsoft Word - \214\264\215e B_\217\221\202\253\215\236\202\335\225\224.docx)

(Microsoft Word - \214\264\215e B_\217\221\202\253\215\236\202\335\225\224.docx) トランジスタ技術 2009 年 3 月号特集気軽にはじめる FPGA 第 5 章マルチチャネル信号発生器信号発生器の製作 ~はんだ付け不要ロジックの自在さを生かす~ ISE WebPACK を使って FPGA にソースを書き込むまでの手順 坂本三直 プロジェクトプロジェクトの新規生成 / 読み込み : CQ 出版社の HP より本スタータキット用のプロジェクトをダウンロードしてください. パソコン上にコピーできたら,Xilinx

More information

8051 개발보드 메뉴얼

8051 개발보드 메뉴얼 ㄴㄴㄴ標準 U-STYLE ボード (Model:DM-USTYLE V1.0 ) マニュアル 改訂日 : 2015 年 11 月 24 日 1. Arduino At Heartプロトタイプ標準 U STYLEボード (DM-USTYLE V1.0) のご紹介 アドゥイノウノブートローダが書き込んだATMEGA328P-PUを使用 Arduino At Heart( ) プロトタイプのボードの互換コネクタと

More information

TWE-Lite R 取扱説明書

TWE-Lite R 取扱説明書 USB アダプター TWE-Lite R( トワイ ライター ) TWE-Lite R( トワイ ライター ) は TWE-Lite DIP( トワイライト ディップ ) にソフトウエアを書き込むためのライターです USB 接続でパソコンから TWE-Lite DIP 内蔵のフラッシュメモリーにソフトウエアを転送することができます ソフトウエアを更新し機能追加することや 他のソフトウエアや自作ソフトウエアを書き込むことができます

More information

AKI-PIC16F877A開発キット (Ver1

AKI-PIC16F877A開発キット (Ver1 STM32F101C8T6 STM32F103CxT6 マイコンキット仕様書 (Ver2012.05.11) この文書の情報は事前の通知なく変更されることがあります 本開発キットを使用したことによる 損害 損失については一切の責任を負いかねます 製造上の不良がございましたら 良品とお取替えいたします それ以外の責についてご容赦ください 変更履歴 Version Ver2012.05.08 新規 Ver2012.05.11

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

Microsoft Word - ALT0982_program_epcs_by_niosii_v10.doc

Microsoft Word - ALT0982_program_epcs_by_niosii_v10.doc ver. 1.0 2008 年 6 月 1. はじめに この資料では ホスト PC に存在する ハードウェアのコンフィギュレーション データ ファイルをホスト ファイルシステムの機能を使用して Nios II システム メモリへ転送し そのコンフィギュレーション データを Nios II を使って EPCS へプログラムする手法を紹介します この資料は Quartus II ver.7.2 SP3

More information

デジタル回路入門

デジタル回路入門 Open-It FPGA トレーニングコース ( 初級編 ) 第 9 版 2. 組み合わせ回路入門 2.4. 実習 FPGA への実装 2013 年 5 月 10 日修正 まずは動かしてみましょう!! 詳細内容は明日説明します Open-It FPGA トレーニングコース ( 初級 ) 2 FPGA への実装方法 HDL コード Synthesize 論理合成 4 つの要素へ変換 最適化 ISE Implementation

More information

表 信号端子 端子名 入出力 機能 DTR 出力 COM ポート DTR (Data Terminal Ready Control Output / Handshake Signal) RXD 入力 COM ポート RXD (Receiving Asynchronous Data Input) TX

表 信号端子 端子名 入出力 機能 DTR 出力 COM ポート DTR (Data Terminal Ready Control Output / Handshake Signal) RXD 入力 COM ポート RXD (Receiving Asynchronous Data Input) TX ご使用前に必ずお読みください USB シリアル変換モジュール MM-FT3 取扱説明書 この度は USB シリアル変換モジュール MM-FT3 をお買い求めいただきまして誠にありがとうございます 本製品は FTDI 社製の USB シリアル変換 IC FT3RQ を搭載した USB シリアル変換モジュールです FT3RQ は USB.0 対応 発振回路を内蔵 動作設定用 EEPROM 内蔵 3.3V

More information

! STEP 2. Quartus Prime のダウンロード WEB ブラウザで以下の URL を開きます 2 ページ中段の Quartus Prime 開発ソフトウェア ライト エディ

! STEP 2. Quartus Prime のダウンロード WEB ブラウザで以下の URL を開きます   2 ページ中段の Quartus Prime 開発ソフトウェア ライト エディ STEP 学習内容 パソコンに FPGA の開発環境を構築します インストールは以下の手順で行います. Quartus Prime とは 2. Quartus Prime のダウンロード. Quartus Prime のインストール. USB ドライバのインストール. Quartus Prime とは Quartus Prime は Intel の FPGA 統合開発環境です Quartus Prime

More information

プリンタドライバのインストール. Windows で使用する場合 Windows プリンタドライバのインストール方法は 接続方法や使用するプリンタドライバによって異なります また コンピュータの OS によってインストール方法が異なります お使いのコンピュータの OS に合わせて 以下の参照ページを

プリンタドライバのインストール. Windows で使用する場合 Windows プリンタドライバのインストール方法は 接続方法や使用するプリンタドライバによって異なります また コンピュータの OS によってインストール方法が異なります お使いのコンピュータの OS に合わせて 以下の参照ページを プリンタドライバのインストール.1 プリンタドライバの種類と対応 OS 本プリンティングシステムを使用するためには プリンタドライバのインストールが必要です プリンタドライバとは 出力するデータの処理などを制御するプログラムで 使用する前に付属の CD からコンピュータにインストールします 付属の CD に含まれるプリンタドライバと 対応しているコンピュータの OS は以下のとおりです 必要なプリンタドライバをインストールしてください

More information

Nios II マイコン活用ガイド マイコンの動作を確認しましょう AuCE C3 には 基本 CPU エンジン CPU0121C3880 と 対応する基本プログラムを書き込んで出荷しております 以下に AuCE C3 出荷時の状態を示します AuCE C3 FPGA Cyclone III 基本

Nios II マイコン活用ガイド マイコンの動作を確認しましょう AuCE C3 には 基本 CPU エンジン CPU0121C3880 と 対応する基本プログラムを書き込んで出荷しております 以下に AuCE C3 出荷時の状態を示します AuCE C3 FPGA Cyclone III 基本 Nios II マイコン活用ガイド CHAPTER No:020Adv 対象品 : 目次 マイコンの動作を確認しましょう 2 ステップ 1 動作確認環境を準備する 6 ステップ 2 基本プログラムを起動する 9 ステップ 3 コマンドを入力する 11 1 Nios II マイコン活用ガイド マイコンの動作を確認しましょう AuCE C3 には 基本 CPU エンジン CPU0121C3880 と 対応する基本プログラムを書き込んで出荷しております

More information

getstart.book

getstart.book Agilent Technologies 82357A USB/GPIB Interface for Windows はじめにお読みください 重要 Agilent IO Libraries ソフトウェアをインストールしてから 82357A インタフェースを PC に接続してください 1 82357Aをインストールする前に 箱の中味を確認してください 本紙の他に 82357A USB/GPIB Interface

More information

Microsoft PowerPoint - ã•’Newlineã•‚TRUCAST_ã†fl紹仉è³⁄挎_ pptx

Microsoft PowerPoint - ã•’Newlineã•‚TRUCAST_ã†fl紹仉è³⁄挎_ pptx Newline 正規販売代理店株式会社フォースメディア 1. 同梱物 TRUCAST2 TRUCAST2 本体 AC アダプター WiFi アンテナ 2 クイックガイド 壁掛けキット USB トークン USB トークンコンテンツ Windows 用 MirrorOp インストーラ Mac 用 MirrorOp インストーラ Windows 用 MirrorOp アプリケーション Mac 用 MirrorOp

More information

Cyclone IIIデバイスのI/O機能

Cyclone IIIデバイスのI/O機能 7. Cyclone III I/O CIII51003-1.0 2 Cyclone III I/O 1 I/O 1 I/O Cyclone III I/O FPGA I/O I/O On-Chip Termination OCT Quartus II I/O Cyclone III I/O Cyclone III LAB I/O IOE I/O I/O IOE I/O 5 Cyclone III

More information

DSP5Dアップグレードガイド

DSP5Dアップグレードガイド DSP5D アップグレードガイド このガイドでは DSP5D の各種ファームウェアを最新にアップデートする手順を説明します 必ずお読みください アップデート作業は お客様ご自身の責任において行なっていただきます アップデートを実行する前に 必要なデータはバックアップしておいてください PM5D とカスケード接続している場合は DSP5D をアップデートすると PM5D のアップデートも必要になる場合があります

More information

KEIm-08SoMハードウェアマニュアル

KEIm-08SoMハードウェアマニュアル KEIm-08SoM ハードウェアマニュアル Ver.1.1.2 はじめにこの度は KEIm 製品をお買い上げいただき誠にありがとうございます 本製品をご使用になる前に 本マニュアル及び関連資料を十分ご確認いただき 使用上の注意を守って正しくご使用ください 取扱い上の注意 本書に記載されている内容は 将来予告なく変更されることがあります 本製品のご使用にあたっては 弊社窓口又は弊社ホームページなどで最新の情報をご確認ください

More information

Welcome-Kit ~STM32L4-Nucleo~

Welcome-Kit ~STM32L4-Nucleo~ STM32CubeMX の使い方 0 STM32CubeMX ダウンロード 1 1 ST マイクロ社 HP より STM32CubeMX インストーラーをダウンロードし インストーラーの表示に沿ってインストールします URL : http://www.st.com/content/st_com/ja/products/development-tools/software-development-tools/stm32-

More information

ADZBT1 Hardware User Manual Hardware User Manual Version 1.0 1/13 アドバンスデザインテクノロジー株式会社

ADZBT1 Hardware User Manual Hardware User Manual Version 1.0 1/13 アドバンスデザインテクノロジー株式会社 Hardware User Manual Version 1.0 1/13 アドバンスデザインテクノロジー株式会社 Revision History Version Date Comment 1.0 2019/4/25 新規作成 2/13 アドバンスデザインテクノロジー株式会社 目次 1 Overview... 4 2 Block Diagram... 5 3 機能説明... 6 3.1 Power

More information

MAX 10 高速LVDS I/Oユーザー・ガイド

MAX 10 高速LVDS I/Oユーザー・ガイド MAX 10 高速 LVDS I/O ユーザー ガイド 更新情報 UG-M10LVDS 101 Innovation Drive San Jose, CA 95134 www.altera.com 目次 -2 目次 MAX 10 高速 LVDS I/O の概要... 1-1 アルテラ ソフト LVDS 実装の概要...1-2 MAX 10 高速 LVDS のアーキテクチャと機能... 2-1 MAX

More information

インテル(R) Visual Fortran コンパイラ 10.0

インテル(R) Visual Fortran コンパイラ 10.0 インテル (R) Visual Fortran コンパイラー 10.0 日本語版スペシャル エディション 入門ガイド 目次 概要インテル (R) Visual Fortran コンパイラーの設定はじめに検証用ソースファイル適切なインストールの確認コンパイラーの起動 ( コマンドライン ) コンパイル ( 最適化オプションなし ) 実行 / プログラムの検証コンパイル ( 最適化オプションあり ) 実行

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

ESOTERIC ASIO USB DRIVER インストールマニュアル Windows 用 システム推奨条件 2 インストールで使用する言語を選択して 次へ ボタンをクリックする Intel Core 2 Duo 以上のプロセッサー搭載コンピュータ 搭載メモリ 1GB 以上 対応 OS Windo

ESOTERIC ASIO USB DRIVER インストールマニュアル Windows 用 システム推奨条件 2 インストールで使用する言語を選択して 次へ ボタンをクリックする Intel Core 2 Duo 以上のプロセッサー搭載コンピュータ 搭載メモリ 1GB 以上 対応 OS Windo ESOTERIC ASIO USB DRIVER インストールマニュアル Windows 用 システム推奨条件 2 インストールで使用する言語を選択して 次へ ボタンをクリックする Intel Core 2 Duo 以上のプロセッサー搭載コンピュータ 搭載メモリ 1GB 以上 対応 OS Windows 7 (32bit 版 64bit 版 ) Windows 8 (32bit 版 64bit 版

More information

1. ボードの複数台制御 コンフィグ ROM から FPGA が起動できる場合を想定しています FPGA 回路には 特定のレジスタアドレスにプリセットしたデータが必要です 製品出荷時のサンプル FPGA 回路では レジスタ No.3 を 8bit 幅に設定し FPGA 外部の 4bit ディップスイ

1. ボードの複数台制御 コンフィグ ROM から FPGA が起動できる場合を想定しています FPGA 回路には 特定のレジスタアドレスにプリセットしたデータが必要です 製品出荷時のサンプル FPGA 回路では レジスタ No.3 を 8bit 幅に設定し FPGA 外部の 4bit ディップスイ LabVIEW 用リファレンス制御アプリケーション RefAppLV の使い方 概要 LabVIEW 開発ツールで設計したリファレンス制御アプリケーションです LabVIEW を所有していないユー ザ環境でも インストーラを利用して RefAppLV.exe を利用することができます 機能 1. 複数台ボード制御 2. USB コンフィグ機能 3. レジスタアクセス機能 4. 拡張レジスタアクセス機能

More information

A 既製のプロジェクトがある場合

A 既製のプロジェクトがある場合 2008 年 7 月 15 日 ワゴジャパン株式会社 1 使用機器 -Siemens S7-300:CPU315F-2 PN/DP プロセッサ /PROFINET スキャナ -Siemens SIMATIC Manager STEP 7 ソフトウェア バージョン V5.4-750-333 GSD ファイル :B754_V30.GSD(FW Ver.7 以降 ) -WAGO I/O ノード構成ノード

More information

Microsoft Word - Updater

Microsoft Word - Updater ホスト ソフトウェアのアップデート Midas Digital System と関連するネットワーク機器のホスト ソフトウェアのアップデートについて説明します アップデータについて PRO2 にはシステムを簡単かつ明快にアップデートする方法を提供するアップデート機能があります この機能を利用して 最新版のホスト ソフトウェアをコントロールセンターとネットワーク接続した DLnnn または Klark

More information

FTDI USB-Serial Converter デバイスドライバのインストール(Rev.1.01)

FTDI USB-Serial Converter デバイスドライバのインストール(Rev.1.01) FTDI USB-Serial Converter デバイスドライバの インストール / アンインストール (Rev.1.10) 年 月 日 合同会社クラッグ電子 (1/19) 目次 1. 初めに... 3 2. デバイスドライバのインストール... 3 2-1. インターネットに接続されている環境... 4 2-2. インターネットに接続されていない環境 ( 自動 )... 6 2-3. インターネットに接続されていない環境

More information

WinCT-AD4212D オペレーションマニュアルVer.1.01

WinCT-AD4212D オペレーションマニュアルVer.1.01 オペレーション マニュアル WinCT-AD4D Operation Manual_JP_ Ver..0 Windows 0 / Windows 8. / Windows 7 / Windows Vista 対応 Copyright (c) 08 A&D Company, limited 目次. 特徴. 接続方法 3. 起動方法 4. 操作方法 5. 各部の説明. 特徴 本ソフトウェアはエー アンド

More information

CoIDE 用 STM32F4_UART2 の説明 V /03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000

CoIDE 用 STM32F4_UART2 の説明 V /03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000 CoIDE 用 STM32F4_UART2 の説明 V002 2014/03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000000 です デバッグが可能です 提供する PC のアプリケーションの Access_SerialPort

More information

CoIDE 用 F4D_VCP の説明 V /07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x

CoIDE 用 F4D_VCP の説明 V /07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x CoIDE 用 F4D_VCP の説明 V001 2014/07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x08000000 です デバッグが可能です 目次 1. USB の VCP( 仮想 COM ポート )

More information

概要

概要 CHAPTER この章では PA-B-U 同期シリアルポートアダプタについて説明します 内容は 次のとおりです ポートアダプタの (p.-) LED(p.-) ケーブルおよびピン割り当て (p.-) サポート対象プラットフォームでのポートアダプタのスロット位置 (p.-) インターフェイスアドレスの識別 (p.-7) OL---J - ETHERNET-BFL 6 ポートアダプタの 第 章 ポートアダプタの

More information

XIMERA(Ver1

XIMERA(Ver1 ワイヤレステクノロジ株式会社製 小型無線加速度センサ データ収集用ソフトウエア AccelRealTime Software manual Version 1.0.4 株式会社 ATR-Promotions Copyright 2006 ATR-Promotions,Inc. All rights reserved. 更新履歴 2006 年 9 月 28 日 Version1.0.1 用新規作成 2006

More information

PRONETA

PRONETA PRONETA 操作概要 PROFINET IO デバイスの無償診断ツール シーメンス株式会社デジタルファクトリー事業本部ファクトリーオートメーション部 2015 年 12 月 22 日 目次 ここで紹介している操作は PRONETA バージョン 2.2 を基にしています PRONETA 概要 3 動作環境と起動方法 4 ホーム画面 5 ネットワーク解析画面 6 IOチェック画面 9 設定画面 13

More information

1. USB の VCP( 仮想 COM ポート ) について USB の VCP( 仮想 COM ポート ) は USB を非同期シリアル通信として使用するための USB のドライバです PC には VCP ドライバをインストールする必要があります USB の VCP( 仮想 COM ポート )

1. USB の VCP( 仮想 COM ポート ) について USB の VCP( 仮想 COM ポート ) は USB を非同期シリアル通信として使用するための USB のドライバです PC には VCP ドライバをインストールする必要があります USB の VCP( 仮想 COM ポート ) TrueSTUDIO 用 F4D_VCP の説明 V001 2014/07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです 無料の試用版開発ツール Atollic TrueSTUDIO for ARM Lite で作成したプロジェクトです ビルド可能なプログラムのコードサイズが 32Kbyte 以内の制限があります プログラムの開始番地は 0x08000000

More information

Quartus II クイック・スタートガイド

Quartus II クイック・スタートガイド ALTIMA Corp. Quartus II クイック スタートガイド ver.3.0 2010 年 8 月 ELSENA,Inc. 目次 1. はじめに... 3 2. Quartus II の基本操作フロー... 3 3. Quartus II の基本操作... 4 ステップ 1. プロジェクトの作成... 4 ステップ 2. デザインの作成... 4 ステップ 3. ファンクション シミュレーション...

More information

( 目次 ) 1. はじめに 開発環境の準備 仮想ディレクトリーの作成 ASP.NET のWeb アプリケーション開発環境準備 データベースの作成 データベースの追加 テーブルの作成

( 目次 ) 1. はじめに 開発環境の準備 仮想ディレクトリーの作成 ASP.NET のWeb アプリケーション開発環境準備 データベースの作成 データベースの追加 テーブルの作成 KDDI ホスティングサービス (G120, G200) ブック ASP.NET 利用ガイド ( ご参考資料 ) rev.1.0 KDDI 株式会社 1 ( 目次 ) 1. はじめに... 3 2. 開発環境の準備... 3 2.1 仮想ディレクトリーの作成... 3 2.2 ASP.NET のWeb アプリケーション開発環境準備... 7 3. データベースの作成...10 3.1 データベースの追加...10

More information

Symantec AntiVirus の設定

Symantec AntiVirus の設定 CHAPTER 29 Symantec AntiVirus エージェントを MARS でレポートデバイスとしてイネーブルにするためには Symantec System Center コンソールをレポートデバイスとして指定する必要があります Symantec System Center コンソールはモニタ対象の AV エージェントからアラートを受信し このアラートを SNMP 通知として MARS に転送します

More information

UCB User's Manual

UCB User's Manual UCB-21489 ユーザーズマニュアル 第 1 版 金子システム株式会社 1 ご注意 1. 本資料に記載されている内容は本資料発行時点のものであり 予告なく変更することがあります 当社製品のご購入およびご使用にあたりましては 当社ホームページを通じて公開される情報を参照ください 2. 当社から提供する情報の正確性と信頼性には万全を尽くしていますが 誤りがないことを保証するものではありません 当社はその使用に対する責任を一切負いません

More information

ファイル メニューのコマンド

ファイル メニューのコマンド CHAPTER43 次のオプションは Cisco Configuration Professional(Cisco CP) の [ ファイル ] メニューから利用できます 実行コンフィギュレーションを PC に保存 ルータの実行コンフィギュレーションファイルを PC 上のテキストファイルに保存します 43-1 設定をルータに配信する 第 43 章 設定をルータに配信する このウィンドウでは Cisco

More information

著作権保護法の順守と免責 損害 保証の免責 :( 著作権保護法の順守 ) CSSCV503ZK-HDCP は HDCP 解除機ではありません HDMI -> 12G-SDI コンバーターです HDCP を解除する設定で出荷する場合は 弊社での保証はなくなります お客様全責任 弊社保証の免責 HDCP

著作権保護法の順守と免責 損害 保証の免責 :( 著作権保護法の順守 ) CSSCV503ZK-HDCP は HDCP 解除機ではありません HDMI -> 12G-SDI コンバーターです HDCP を解除する設定で出荷する場合は 弊社での保証はなくなります お客様全責任 弊社保証の免責 HDCP 取扱説明書 rev: 181026 著作権保護法の順守と免責 損害 保証の免責 :( 著作権保護法の順守 ) CSSCV503ZK-HDCP は HDCP 解除機ではありません HDMI -> 12G-SDI コンバーターです HDCP を解除する設定で出荷する場合は 弊社での保証はなくなります お客様全責任 弊社保証の免責 HDCP を解除して使用する場合は ユーザーの全責任に於いて 著作権保護法を順守して使用してください

More information

ModelSim-Altera - RTL シミュレーションの方法

ModelSim-Altera - RTL シミュレーションの方法 ALTIMA Corp. ModelSim-Altera RTL シミュレーションの方法 ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. 目次 1. 2. 3. はじめに...3 RTL シミュレーションの手順...4 RTL シミュレーションの実施...5 3-1. 3-2. 新規プロジェクトの作成... 5 ファイルの作成と登録... 7 3-2-1. 新規ファイルの作成...

More information

1. A/D 入力について分解能 12bit の A/D コンバータ入力です A/D 入力電圧とディジタル値との対応は理論上 入力電圧 0V : 0 入力電圧 +3V : 4095 です 実際はオフセットと傾きがあり ぴったりこの数値にはなりません 2. A/D 入力に使用する信号 STM32L_A

1. A/D 入力について分解能 12bit の A/D コンバータ入力です A/D 入力電圧とディジタル値との対応は理論上 入力電圧 0V : 0 入力電圧 +3V : 4095 です 実際はオフセットと傾きがあり ぴったりこの数値にはなりません 2. A/D 入力に使用する信号 STM32L_A STM32L_ADC の説明 V003 2014/03/30 STM32L-Discovery の A/D 入力を行うプログラムです A/D CH0 ~ A/D CH3 の 4 本の入力が可能です 提供する PC のアプリケーション Access_SerialPort を使用して UART( 非同期シリアル通信 ) により A/D 入力の表示を行うことができます 無料の開発ツール Atollic TrueSTUDIO

More information

オートビュー

オートビュー IODEP マニュアル SELCO マルチプレクサ SXC-16LT rev 1.0 2013/04/18 株式会社 Javatel 2013 Javatel 1 目次 IODEP マニュアル SELCO マルチプレクサ SXC-16LT rev 1.0... 1 目次... 2 1 この文書について... 3 2 変更履歴... 4 3 ハードウェアの準備... 5 3.1 SELCO マルチプレクサ

More information

1. はじめに 本書は スプリット演算器 MFS2 用コンフィギュレータソフトウェア の取扱方法 操作手順 注意事項などを説明したものです Windows の操作や用語を理解している方を前提にしています Windows の操作や用語については それぞれのマニュアルを参照してください 1.1. MFS

1. はじめに 本書は スプリット演算器 MFS2 用コンフィギュレータソフトウェア の取扱方法 操作手順 注意事項などを説明したものです Windows の操作や用語を理解している方を前提にしています Windows の操作や用語については それぞれのマニュアルを参照してください 1.1. MFS スプリット演算器 MFS2 用コンフィギュレータソフトウェア MFS2CFG バージョン 0.02 取扱説明書 1/10 NM-9307 改 2 1. はじめに 本書は スプリット演算器 MFS2 用コンフィギュレータソフトウェア の取扱方法 操作手順 注意事項などを説明したものです Windows の操作や用語を理解している方を前提にしています Windows の操作や用語については それぞれのマニュアルを参照してください

More information

目次 第 1 章概要....1 第 2 章インストールの前に... 2 第 3 章 Windows OS でのインストール...2 第 4 章 Windows OS でのアプリケーション設定 TP-LINK USB プリンターコントローラーを起動 / 終了するには

目次 第 1 章概要....1 第 2 章インストールの前に... 2 第 3 章 Windows OS でのインストール...2 第 4 章 Windows OS でのアプリケーション設定 TP-LINK USB プリンターコントローラーを起動 / 終了するには プリントサーバー 設定 ガイド このガイドは以下のモデルに該当します TL-WR842ND TL-WR1042ND TL-WR1043ND TL-WR2543ND TL-WDR4300 目次 第 1 章概要....1 第 2 章インストールの前に... 2 第 3 章 Windows OS でのインストール...2 第 4 章 Windows OS でのアプリケーション設定...7 4.1 TP-LINK

More information

MINI2440マニュアル

MINI2440マニュアル Cortex-M3/LPC1343 USB マイコンボード マニュアル 株式会社日新テクニカ http://www.nissin-tech.com info@nissin-tech.com 2010/2/1 copyright@2010 新バージョン 1 第一章 Cortex-M3/LPC1343 USBマイコンボードの概要...3 第二章 USBブートローダー...4 第三章 RS232 で書き込み...7

More information

2. 仕様 電源 :USB バスパワー (USB 入力の 5V 電源を使用します ) 出力 : 3.5mm ステレオジャック アナログステレオ出力 最大 20mArms 対応ヘッドホンインピーダンス 1Ω~500Ω RCA ピンジャック アナログ 2ch 出力 (L R) ラインレベル ヘッドホンア

2. 仕様 電源 :USB バスパワー (USB 入力の 5V 電源を使用します ) 出力 : 3.5mm ステレオジャック アナログステレオ出力 最大 20mArms 対応ヘッドホンインピーダンス 1Ω~500Ω RCA ピンジャック アナログ 2ch 出力 (L R) ラインレベル ヘッドホンア AK4495SEQ 搭載 USB DAC (I2C 付 ) 簡易取扱説明書 ( 呼称 :AK4495HA2) 2018-01-21 rev02 1. はじめに 本品は USB 接続のハイレゾ対応 D/A コンバータです パソコンなどで再生した音楽を出力します 特徴として 旭化成エレクトロニクスのハイエンド DAC AK4495SEQ を搭載してます また 内部に USB I2S 変換ドーターカードを搭載しています

More information

MAX 10高速LVDS I/Oユーザーガイド

MAX 10高速LVDS I/Oユーザーガイド MAX 10 高速 LVDS I/O ユーザーガイド 更新情報 UG-M10LVDS 101 Innovation Drive San Jose, CA 95134 www.altera.com 目次 -2 目次 MAX 10 高速 LVDS I/O の概要... 1-1 アルテラのソフト LVDS 実装の概要...1-2 MAX 10 高速 LVDS のアーキテクチャと機能... 2-1 MAX

More information

本資料のご使用につきましては 次の点にご留意願います 本資料の内容については 予告無く変更することがあります 1. 本資料の一部 または全部を弊社に無断で転載 または 複製など他の目的に使用することは堅くお断りいたします 2. 本資料に掲載される応用回路 プログラム 使用方法等はあくまでも参考情報で

本資料のご使用につきましては 次の点にご留意願います 本資料の内容については 予告無く変更することがあります 1. 本資料の一部 または全部を弊社に無断で転載 または 複製など他の目的に使用することは堅くお断りいたします 2. 本資料に掲載される応用回路 プログラム 使用方法等はあくまでも参考情報で S1V3S344 評価キット スタートガイド Rev.1.10 本資料のご使用につきましては 次の点にご留意願います 本資料の内容については 予告無く変更することがあります 1. 本資料の一部 または全部を弊社に無断で転載 または 複製など他の目的に使用することは堅くお断りいたします 2. 本資料に掲載される応用回路 プログラム 使用方法等はあくまでも参考情報であり これら起因する第三者の権利 (

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介安全機能 ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ 安全機能の概要 フラッシュ メモリ CRC 演算機能 RAM パリティ エラー検出機能 データの保護機能 RAM ガード機能 SFR ガード機能 不正メモリ アクセス機能 周辺機能を使用した安全機能 周波数検出機能 A/D

More information

Notes and Points for TMPR454 Flash memory

Notes and Points for TMPR454 Flash memory 表紙 TMPR454 内蔵 Flash メモリ対応版手順書 株式会社 DTS インサイト ご注意 (1) 本書の内容の一部または 全部を無断転載することは禁止されています (2) 本書の内容については 改良のため予告なしに変更することがあります (3) 本書の内容について ご不明な点やお気付きの点がありましたら ご連絡ください (4) 本製品を運用した結果の影響については (3) 項にかかわらず責任を負いかねますのでご了承ください

More information

三菱電機マイコン機器ソフトウエア株式会社

三菱電機マイコン機器ソフトウエア株式会社 MU500-RX サンプル回路仕様書 三菱電機マイコン機器ソフトウエア株式会社 2012-5-9 1 概要 1.1 目的本仕様書は MU500-RX と MU500-RK で実現する 1 秒カウンタの仕様について記述するものである マイコンで 1 秒を生成し 表示は 7 セグメント LED を用いる また 開始 / 停止は Push-SW を使う 1.2 関連文書 MU500-RX

More information

PowerTyper マイクロコードダウンロード手順

PowerTyper マイクロコードダウンロード手順 必ずお読みください Interface Card 用マイクロコードを Ver 1.3.0 をVer 1.3.1 以降に変更する場合 または Ver 1.4.5 以前のマイクロコードを Ver 1.5.0 以降に変更する場合 ダウンロード前後に必ず以下の作業を行ってください ( バージョンは Webブラウザ上または付属ソフトウェア Print Manager のSystem Status 上で確認できます

More information

不可能への挑戦株式会社日昇テクノロジー低価格 高品質が不可能? 日昇テクノロジーなら可能にする Cyclone II EP2C5T144 ボード マニュアル 株式会社日昇テクノロジー /01/13 copyright

不可能への挑戦株式会社日昇テクノロジー低価格 高品質が不可能? 日昇テクノロジーなら可能にする Cyclone II EP2C5T144 ボード マニュアル 株式会社日昇テクノロジー /01/13 copyright Cyclone II EP2C5T144 ボード マニュアル 株式会社日昇テクノロジー http://www.csun.co.jp info@csun.co.jp 2012/01/13 copyright@2012 ホームページ :http://www.csun.co.jp メール :info@csun.co.jp 1 第一章 Cyclone II/EP2C5T144 ボードの概要...3 1.1

More information

USB経由でXD-V75及びXD-V70シリーズをアップデートする方法

USB経由でXD-V75及びXD-V70シリーズをアップデートする方法 USB 経由で XD-V75 及び XD-V70 シリーズをアップデートする方法 XD-V75シリーズのレシーバーのファームウェアは 背面のミニUSB 端子からホスト コンピューターへ接続し Line 6 Monkeyソフトウェア アプリケーションを使用することでアップデート可能です また XD-V75レシーバーは XD-V75/V70シリーズのデジタル ワイヤレス機器をアップデートするための インターフェース

More information

目次 USBドライバダウンロードの手順...2 USBドライバインストールの手順...3 インストール結果を確認する...19 USBドライバアンインストール / 再インストールの手順...21 USB ドライバダウンロードの手順 1. SHL21 のダウンロードページからダウンロードしてください

目次 USBドライバダウンロードの手順...2 USBドライバインストールの手順...3 インストール結果を確認する...19 USBドライバアンインストール / 再インストールの手順...21 USB ドライバダウンロードの手順 1. SHL21 のダウンロードページからダウンロードしてください AQUOS PHONE SERIE SHL21 USB ドライバインストールマニュアル 本製品の環境は以下のとおりです USB 1.1 以上に準拠している USB 搭載のパソコンで Microsoft Windows XP(32bit)/Windows Vista /Windows 7/ Windows 8 がプリインストールされている DOS/V 互換機 (OS のアップグレードを行った環境では

More information

エンドポイント濁度測定装置 LT-16 取扱説明書

エンドポイント濁度測定装置 LT-16 取扱説明書 エンドポイント濁度測定装置 LT-16 LT-16 Manager マニュアル ( 簡易マニュアル Version 2.0) LT-16 Manager のインストール LT-16 Manager は添付の CD に内蔵されています LT-16 Manager は Windows 7 Windows 8 において動作確認をしております ( 以下の図は Windows 8 使用時の表示図面です ) ただし

More information

改訂履歴 改訂日付 改訂内容 2014/11/01 初版発行 2017/01/16 Studuino web サイトリニューアルに伴う改訂 2017/04/14 Studuino web サイトリニューアルに伴うアクセス方法の説明変更 2018/01/22 Mac 版インストール手順変更に伴う改訂

改訂履歴 改訂日付 改訂内容 2014/11/01 初版発行 2017/01/16 Studuino web サイトリニューアルに伴う改訂 2017/04/14 Studuino web サイトリニューアルに伴うアクセス方法の説明変更 2018/01/22 Mac 版インストール手順変更に伴う改訂 Arduino IDE 環境 設定手順書 Windows/Mac 用 2014/11/01 作成 2018/01/22 改訂 改訂履歴 改訂日付 改訂内容 2014/11/01 初版発行 2017/01/16 Studuino web サイトリニューアルに伴う改訂 2017/04/14 Studuino web サイトリニューアルに伴うアクセス方法の説明変更 2018/01/22 Mac 版インストール手順変更に伴う改訂

More information

株式会社日新テクニカ USB シリアル CAN 変換器 /8/22 ホームページ : メール

株式会社日新テクニカ USB シリアル CAN 変換器 /8/22 ホームページ :  メール USB シリアル CAN 変換器 http://www.nissin-tech.com info@nissin-tech.com 2011/8/22 copyright@2011 1 修正履歴 修正日 修正内容 2011/8/22 初作成 第一章 USB シリアル CAN 変換器の概要...3 第二章ドライバのインストール...4 第三章シリアル透明透明通信プロトコル...5 第四章モード設定設定ソフトソフトの使い方...7

More information

Microsoft Word - PCET10-CL_02a†iWinNT40_PDFŠp†j.doc

Microsoft Word - PCET10-CL_02a†iWinNT40_PDFŠp†j.doc Windows NT 4.0 でのインストール手順 (PCET10-CL PCET10-CL) 取り付け前の確認 2 各部の名称 機能 3 Windows NT 4.0 へのインストール 4 インストール 5 インストール後の確認 14 Windows NT 4.0 からのアンインストール 18 インストールしたソフトを削除するには 19 101691-02(Windows NT 4.0) 取り付け前の確認

More information

PRIMEQUEST 1000 シリーズ IO 製品 版数の確認方法

PRIMEQUEST 1000 シリーズ IO 製品 版数の確認方法 C122-E162-02 FUJITSU Server PRIMEQUEST 1000 シリーズ IO 製品版数の確認方法 本資料は IO 製品のファームウェア版数の確認方法について説明しています 第 1 章 SAS アレイコントローラーカードのファームウェア版数...2 第 2 章 SAS コントローラーのファームウェア版数...7 第 3 章 SAS カードのファームウェア版数...9 第 4

More information

Photo Sensor – 적외선 센서

Photo Sensor – 적외선 센서 USB シリアル変換モジュールマニュアル (Model:AD-USBSERIAL) 改訂日 :2013 年 04 月 18 日 1 USB シリアル変換モジュール (AD-USBSERIAL) 紹介 USBで仮想シリアルポートを作成し シリアル通信をおこないます TTL or CMOS Level(5V or 3.3V), RS-232C Level(±12V) 信号をサポート TTL or CMOS

More information

開発環境構築ガイド

開発環境構築ガイド 開発環境構築ガイド RM-922/RM-92A/RM-92C LoRa/FSK/GFSK 通信モジュール Ver2.5 目次 1. はじめに 2. 開発環境の準備 2.1 開発に必要な環境 2.2 開発キット同胞内容 2.3 開発環境構築の流れ 2.4 方法 1の環境構築例 2.5 方法 2の環境構築例 2.6 ドライバのインストール 2.7 シリアル通信ソフトの設定 2.8 FTDI(UART/USB

More information

取扱説明書[SH-06D]

取扱説明書[SH-06D] SH-06D USB ドライバインストールマニュアル USB ドライバについて............................................................. ご使用になる前に................................................................. USB ドライバをインストールする...................................................

More information

ようこそ Plantronics 製品をお買い上げいただき ありがとうございます このガイドでは Plantronics Calisto P240-M USB ハンドセットの設定方法と使用方法を説明します Calisto P240-M は Microsoft Lync での使用に適した高品質の US

ようこそ Plantronics 製品をお買い上げいただき ありがとうございます このガイドでは Plantronics Calisto P240-M USB ハンドセットの設定方法と使用方法を説明します Calisto P240-M は Microsoft Lync での使用に適した高品質の US TM Calisto P240-M USB ハンドセット ユーザーガイド ようこそ Plantronics 製品をお買い上げいただき ありがとうございます このガイドでは Plantronics Calisto P240-M USB ハンドセットの設定方法と使用方法を説明します Calisto P240-M は Microsoft Lync での使用に適した高品質の USB ハンドセットです PC

More information

OKI Universal Hiper-C プリンタドライバ ユーザーズマニュアル ( セットアップと使い方編 ) 最終更新日 2012 年 9 月第 2 版

OKI Universal Hiper-C プリンタドライバ ユーザーズマニュアル ( セットアップと使い方編 ) 最終更新日 2012 年 9 月第 2 版 OKI Universal Hiper-C プリンタドライバ ユーザーズマニュアル ( セットアップと使い方編 ) 最終更新日 2012 年 9 月第 2 版 目次 1. プリンタドライバの動作環境... 3 2. プリンタドライバのセットアップ... 4 2.1 Windows 7 / Windows Server 2008 R2 でのセットアップ... 5 2.1.1 プリンターの追加でセットアップします...

More information

Quartus II はじめてガイド ‐ Device and Pin Options 設定方法

Quartus II はじめてガイド ‐ Device and Pin Options 設定方法 ALTIMA Corp. Quartus II はじめてガイド Device and Pin Options 設定方法 ver.10.0 2010 年 9 月 ELSENA,Inc. Quartus II はじめてガイド Device and Pin Options 設定方法 目次 1. はじめに... 3 2. Device and Pin Options の起動... 3 3. Device and

More information

:30 18:00 9:30 12:00 13:00 17:00

:30 18:00 9:30 12:00 13:00 17:00 http://pioneer.jp/support/ 0120-944-222 044-572-8102 9:30 18:00 9:30 12:00 13:00 17:00 この取扱説明書について 製品本体の USB DAC 端子に USB ケーブルでパソコンを接続すると パソコンからの音声信号を再生できます この機能を使用するためには 専用のドライバーソフトウェアをパソコンにインストールする必要があります

More information