Beryll Beryll Cyclone V GX FPGA FPGA ROM...

Size: px
Start display at page:

Download "1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll 14 5.1 Cyclone V GX FPGA... 14 5.2 FPGA ROM... "

Transcription

1 Mpression Beryll Board Revision /2 2014/2 Mpression by Macnica Group

2 Beryll Beryll Cyclone V GX FPGA FPGA ROM ROM DDR3 SDRAM FLASH / SRAM USB / Mpression Beryll Board Mpression by Macnica Group

3 AC ( ) AC AC AC % 85% AC - Mpression Beryll Board 3 Mpression by Macnica Group

4 () 4 - Mpression Beryll Board Mpression by Macnica Group

5 Web 1.4 Web - Mpression Beryll Board 5 Mpression by Macnica Group

6 2. Cyclone V GX FPGA Getting Started FPGA USB SD LAN RMA Mpression Beryll Board Mpression by Macnica Group

7 - Mpression Beryll Board 7 Mpression by Macnica Group

8 3. 30 Customer letter Beryll USB A to Mini-B AC ( 12V) Beryll FPGA URL 8 - Mpression Beryll Board Mpression by Macnica Group

9 4. Beryll 4.1 FPGA Cyclone V GX FPGA FPGA A. Cyclone V GX FPGA B. ( HSMC ) C. On-Board USB-Blaster USB FPGA D. Cyclone V FPGA HMC () DDR FPGA Power Supply Dimensions HSMC Printed Circuit Board Configuration ROM SRAM DDR-SDRAM Flash ROM USB 2.0 (Mini-B) Audio Ethernet Clock (for FPGA) JTAG Connector Status LED FPGA Reconfiguration Push SW General-purpose LED General-purpose Push SW General-purpose Dip SW General-purpose 7 Segment LED Character LCD Connector Power SW RS-232C 1 Beryll 5CGXFC4C6F27C DC 12 V 3.8 A mm x mm ASP FR4 10-layer EPCS128SI16N IDT71V416S10PHG8 (512 KByte) DDR MBytes (128 MByte x 2) MT41J64MJT JS28F256M29EWLA (32 MByte) CY7C68013A-56LTXC UDA1345TS DP83865DVH 27 MHz x 1, 33 MHz x 1, 50 MHz x 1, 125 MHz x 1 DIP 10-pin Header, 2.54-mm pitch 1 12 pcs (12V_POWER, HSMC PSNTn, nstatus, nconfig, CONF_DONE, INIT_DONE, Blaster, ACT,LK10,LK100,LK1000,DUPLEX) 1 (SYS_RESET) (SW0-SW3) 2 1 (3.3-V) * A character LCD is optional. 1 DB9 Female Connector LCD LCD LCD - Mpression Beryll Board 9 Mpression by Macnica Group

10 4.3 1 Cyclone V GX FPGA Cyclone V GX FPGA 1 Beryll Beryll / 2 Beryll, 10 - Mpression Beryll Board Mpression by Macnica Group

11 4.4.2 Beryll 3 3 Beryll - Mpression Beryll Board 11 Mpression by Macnica Group

12 4.4.3 Beryll / LED 4 / LED 4 LED 2 LED 2 LED 12 - Mpression Beryll Board Mpression by Macnica Group

13 3 3 - Mpression Beryll Board 13 Mpression by Macnica Group

14 5. Beryll FPGA 5.1 Cyclone V GX FPGA 28nm FPGA Cyclone V GX Cyclone V GX FPGA 4 4. Cyclone V GX FPGA Specification IP LE (Kb) MLAB (Kb) DSP PLL GPIO LVDS PCIe 5CGXC4 50K 250 2, FPGA FPGA EPCS USB (U27) On-Board USB-Blaster USB-Blaster Quartus II Programmer Configuration file FPGA A. Programmer Quartus II Tools Programmer 14 - Mpression Beryll Board Mpression by Macnica Group

15 B. Mode JTAG C. a. Hardware Setup b. Hardware Setup Hardware Settings c. Current selected hardware Add Hardware d. Add Hardware Hardware type USB-Blaster OK e. Hardware Setup Currently selected hardware USB-Blaster Close D. a. (*.SOF) b. Program / Configure c. POF *.pof MAX CPLD SOF *.sof Stratix FPGA Arria FPGA Cyclone FPGA FPGA JIC *.jic Program / Configure Verify Programmer - Mpression Beryll Board 15 Mpression by Macnica Group

16 Blank-Check Examine MAX CPLD Security Bit () Examine Security Bit Examine MAX 7000 MAX 3000 Erase MAX CPLD ISP CLAMP IPS *.ips I/O MAX 7000B MAX II 5.3 EPCS configuration ROM FPGA JTAG Indirect Configuration ( JIC) JTAG EPCS JTAG JIC Cyclone V FPGA EPCS (AS ) AS EPCS AS 10 FPGA JTAG SignalTap II JTAG 10 JIC FPGA EPCS JTAG AS Mpression Beryll Board Mpression by Macnica Group

17 5.3.3 EPCS SOF POF JIC FPGA SOF JIC 1 2 JIC 3 1. JIC (.sof) Processing Start Compilation 2. JIC SOF JIC *.jic 1) File Convert Programming Files 2) Output Programming File Programming file type JTAG Indirect Configuration File (.jic) Configuration device EPCS128 File name Input files to convert FPGA Flash Loader Add Device Select Device Device family Cyclone V GX Device name OK JIC (.sof) SOF Data Add File sof SOF - Mpression Beryll Board 17 Mpression by Macnica Group

18 Properties SOF File Properties Compression OK Generate Generated <jic > successfully JIC 3. JIC EPCS JTAG 1) Programmer Tools Programmer 2) Mode JTAG 3) JIC 4) JIC Program/Configure 5) FPGA EPCS Progress Programmer 100% Message JTAG Indirect Configuration EPCS 18 - Mpression Beryll Board Mpression by Macnica Group

19 Beryll 01. U35 (DC ) 02. U20 (USB 2.0_CONN) 03. U17 (ENET_CONN) 04. J3 (Audio ) - Mpression Beryll Board 19 Mpression by Macnica Group

20 05. J4 (Audio ) 06. J2 (SMA CLKIN) 07. J1 (SMA CLKOUT) 08. U27 (USB-Blaster) 9. J6 (RS232C DSub9 ) 10.J5 ( LCD) 20 - Mpression Beryll Board Mpression by Macnica Group

21 11. J8 (HSMC ) - Mpression Beryll Board 21 Mpression by Macnica Group

22 5.5 1 RS232C LTC2803 FPGA 9 D SUB FPGA LTC2803 D SUB 5 LTC2803 URL * 5. FPGA LTC2803 DB9 5. RS232C FPGA LTC2803 FPGA LTC2803 (D-SUB D-SUB UART_XD Bank 8A_K UART_RXD Bank 8A_L DDR3 SDRAM MT41J64M16JT (16bit, 128MByte, 800MHz) 2 FPGA HMC () Cyclone V GX FPGA Cyclone V GX FPGA DDR3 6 MT41J64M16JT, URL * * DDR3 DDR3 800MHz 22 - Mpression Beryll Board Mpression by Macnica Group

23 6 FPGA DDR3 6. FPGA DDR3 - Mpression Beryll Board 23 Mpression by Macnica Group

24 5.7 FLASH / SRAM JS28F256M29EWL (16bit, 256Mbits)FLASH ROM IDT IDT71V416S10PHG8 16bit 4Mbit SRAM FLASH / SRAM Cyclone V GX FPGA Nios II Nios II FLASH Nios II ROM SRAM FLASH SRAM FLASH SRAM FPGA 7 Flash ROM URL * SRAM URL * 7 FPGA FLASH/SRAM 24 - Mpression Beryll Board Mpression by Macnica Group

25 7. FPGA FLASH/SRAM 5.8 USB 2.0 USB2.0 EZ-USB CY7C68013A-56LTXC USB USB 16KB RAM USB2.0 Cyclone V GX FPGA EZ-USB GPIF FPGA CY7C EZ-USB URL * 8. FPGA EZ-USB - Mpression Beryll Board 25 Mpression by Macnica Group

26 8. FPGA EZ-USB /100 DP83865DVH DP83865DVH 1.8V, 0.18μ FPGA DP83865DVH 9 LSI URL * 9. FPGA DP83865DVH 26 - Mpression Beryll Board Mpression by Macnica Group

27 9. FPGA DP83865DVH Audio 24bit CODEC NXP UDA1345TS FPGA DP83865DVH 10 URL * 10. FPGA UDA Mpression Beryll Board 27 Mpression by Macnica Group

28 10. FPGA UDA LED DIP LCD, UART ~ Mpression Beryll Board Mpression by Macnica Group

29 FPGA 25-MHz, 50-MHz, 125-MHz Mpression Beryll Board 29 Mpression by Macnica Group

30 12 I/O 12. I/O Cyclone V U7 CLK27M 27 MHz 3.3V T21 U8 CLK33M 33 MHz 1.8V T13 U10 CLK50M 50 MHz 1.8 U12 U11 CLK125M 125 MHz 1.8V P11 U3 DIFF0_P 100 MHz LVDS V6 DIFF0_N 100 MHz LVDS W6 U18 TSE_MAC_CLK 25 MHz 3.3V N20 PHY TSE_RX_CLK 25 MHz 3.3V R20 PHY U22 EZ_CLK 48 MHz 3.3V K25 USB HSMC SMA I/O Cyclone V HSMC HSMC_1_CLKIN 2.5V L8 HSMC. HSMC_2_CLKIN_P LVDS/ 2.5V H12 HSMC HSMC LVDS. 2 x 2.5V HSMC_2_CLKIN_N LVDS/ 2.5V G11. HSMC_3_CLKIN_P LVDS/ 2.5V G15 HSMC HSMC LVDS. 2 x 2.5V HSMC_3_CLKIN_N LVDS/ 2.5V G14. SMA SMA_CLKIN 2.5V N9 SMA 30 - Mpression Beryll Board Mpression by Macnica Group

31 I/O Cyclone V HSMC HSMC_1_CLKOUT 2.5V A7 FPGA 2.5V ( GPIO) HSMC_2_CLKOUT_P LVDS/ 2.5V B15 HSMC HSMC HSMC_2_CLKOUT_N LVDS. 2 x 2.5V LVDS/ 2.5V C15. HSMC_3_CLKOUT_P LVDS/ 2.5V A23 HSMC HSMC HSMC_3_CLKOUT_N LVDS. 2 x 2.5V LVDS/ 2.5V A22. SMA SMA_CLKOUT 2.5V M9 SMA LTC Mpression Beryll Board 31 Mpression by Macnica Group

32 ( ) Quartus II 32 - Mpression Beryll Board Mpression by Macnica Group

33 Mpression HP: Mpression Beryll Board 33 Mpression by Macnica Group

Quartus Prime はじめてガイド - デバイス・プログラミングの方法

Quartus Prime はじめてガイド - デバイス・プログラミングの方法 ALTIMA Corp. Quartus Prime はじめてガイドデバイス プログラミングの方法 ver.15.1 2016 年 3 月 Rev.1 ELSENA,Inc. Quartus Prime はじめてガイド デバイス プログラミングの方法 目次 1. 2. 3. 4. はじめに...3 プログラミング方法...5 Auto Detect 機能...14 ISP CLAMP 機能...17

More information

Quartus II はじめてガイド - デバイス・プログラミング方法

Quartus II はじめてガイド - デバイス・プログラミング方法 - Quartus II はじめてガイド - デバイス プログラミング方法 ver. 9.1 2010 年 1 月 1. はじめに この資料では Quartus II の Programmer の操作方法を紹介しています Programmer を使用し デバイスにプログラミング ( デバイスへの書き込み ) を行います アルテラのデバイスへデータを書き込むときには プログラミング ハードウェアを使用します

More information

Nios II ハードウェア・チュートリアル

Nios II ハードウェア・チュートリアル Nios II ver. 7.1 2007 8 1. Nios II FPGA Nios II Quaruts II 7.1 Nios II 7.1 Nios II Cyclone II count_binary 2. 2-1. http://www.altera.com/literature/lit-nio2.jsp 2-2. Nios II Quartus II FEATURE Nios II

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

Quartus II はじめてガイド - Convert Programming File の使い方

Quartus II はじめてガイド - Convert Programming File の使い方 ALTIMA Corp. Quartus II はじめてガイド Convert Programming File の使い方 ver.14 2015 年 1 月 Rev.1 ELSENA,Inc. Quartus II はじめてガイド Convert Programming File の使い方 目次 1. 2. はじめに...3 操作方法...3 2-1. 2-2. 2-3. Convert Programming

More information

Stratix IIデバイス・ハンドブック Volume 1

Stratix IIデバイス・ハンドブック Volume 1 3. & SII51003-4.0 IEEE Std. 1149.1 JTAG Stratix II IEEE Std. 1149.1 JTAG BST JTAG Stratix II Quartus II Jam.jam Jam Byte-Code.jbc JTAG Stratix II JTAG BST IOE I/O JTAG CONFIG_IO I/O Stratix II JTAG Stratix

More information

コンフィギュレーション & テスト

コンフィギュレーション & テスト SIIGX51005-1.0 5. & IEEE Std. 1149.1 (JTAG) Stratix II GX IEEE Std. 1149.1 JTAG BST JTAG Stratix II GX Quartus II Jam (.jam) Jam Byte-Code (.jbc) JTAG Stratix II GX JTAG BST IOE I/O JTAG CONFIG_IO I/O

More information

Quartus Prime - プログラミング・ファイルの生成や変換(Convert Programming Files)

Quartus Prime - プログラミング・ファイルの生成や変換(Convert Programming Files) ALTIMA Corp. Quartus Prime プログラミング ファイルの生成や変換 (Convert Programming Files) ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. Quartus Prime プログラミング ファイルの生成や変換 (Convert Programming Files) 目次 1. 2. はじめに...3 操作方法...4 2-1.

More information

USB-Blasterダウンロード・ケーブル・ユーザガイド

USB-Blasterダウンロード・ケーブル・ユーザガイド USB-Blaster 101 Innovation Drive San Jose, CA 95134 www.altera.com 2.3 2007 5 UG-USB81204-2.3 P25-10325-03 Copyright 2007 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company,

More information

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」 ALTIMA Company, MACNICA, Inc Nios II HAL API Modular Scatter-Gather DMA Core Ver.17.1 2018 8 Rev.1 Nios II HAL API Modular Scatter-Gather DMA Core...3...3...4... 4... 5 3-2-1. msgdma... 6 3-2-2. On-Chip

More information

matrox0

matrox0 Image processing products Hardware/Software Software Hardware INDEX 4 3 2 12 13 15 18 14 11 10 21 26 20 9 8 7 6 5 Hardware 2 MatroxRadient 3 MatroxSolios MatroxMorphis MatroxVio 10 MatroxOrionHD 11 MatroxConcord

More information

不可能への挑戦株式会社日昇テクノロジー低価格 高品質が不可能? 日昇テクノロジーなら可能にする Cyclone II EP2C5T144 ボード マニュアル 株式会社日昇テクノロジー /01/13 copyright

不可能への挑戦株式会社日昇テクノロジー低価格 高品質が不可能? 日昇テクノロジーなら可能にする Cyclone II EP2C5T144 ボード マニュアル 株式会社日昇テクノロジー /01/13 copyright Cyclone II EP2C5T144 ボード マニュアル 株式会社日昇テクノロジー http://www.csun.co.jp info@csun.co.jp 2012/01/13 copyright@2012 ホームページ :http://www.csun.co.jp メール :info@csun.co.jp 1 第一章 Cyclone II/EP2C5T144 ボードの概要...3 1.1

More information

Cyclone IIIデバイスのI/O機能

Cyclone IIIデバイスのI/O機能 7. Cyclone III I/O CIII51003-1.0 2 Cyclone III I/O 1 I/O 1 I/O Cyclone III I/O FPGA I/O I/O On-Chip Termination OCT Quartus II I/O Cyclone III I/O Cyclone III LAB I/O IOE I/O I/O IOE I/O 5 Cyclone III

More information

main.dvi

main.dvi CAD 2001 12 1 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape ref0177/html/index.html.,, View Encoding Japanese

More information

MINI2440マニュアル

MINI2440マニュアル ARM7TDMI/LPC2388 http://www.csun.co.jp info@csun.co.jp 2009/3/17 copyright@2009 http://www.csun.co.jp info@csun.co.jp 1 ARM7TDMI/LPC2388...4...5 2.1...5 2.2 USB...6 2.3 USB...7 2.4 USB OTG...7 2.5...8

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル ALTIMA Corp. ver.14 2014 年 8 月 Rev.1 ELSENA,Inc. 目次 1. はじめに...3 1-1. フロー概要... 3 2. ハードウェア...4 2-1. 2-2. 2-3. 2-4. 2-5. ハードウェア プロジェクトの作成 ( Quartus II )... 4 コンフィギュレーション モードの設定... 5 Qsys にてシステムを構成し HDL を生成...

More information

FPGAメモリおよび定数のインシステム・アップデート

FPGAメモリおよび定数のインシステム・アップデート QII53012-7.2.0 15. FPGA FPGA Quartus II Joint Test Action Group JTAG FPGA FPGA FPGA Quartus II In-System Memory Content Editor FPGA 15 2 15 3 15 3 15 4 In-System Memory Content Editor Quartus II In-System

More information

Nios II SBT Flash Programmer ユーザ・ガイド

Nios II SBT Flash Programmer ユーザ・ガイド ALTIMA Corp. Nios II SBT Flash Programmer ユーザ ガイド ver.9.1 2010 年 12 月 ELSENA,Inc. 目次 1. はじめに... 3 2. 使用条件... 3 3. GUI 操作手順... 3 3-1. SOF ファイルをダウンロード... 4 3-1-1. Quartus II Programmer の起動... 4 3-1-2. SOF

More information

Cyclone II Device Handbook

Cyclone II Device Handbook VI. Cyclone II Cyclone II JTAG 13 Cyclone II 14 Cyclone II IEEE 1149.1 (JTAG) Altera Corporation VI 1 Preliminary Cyclone II, Volume 1 13 14 / 13 2004 11 v1.1 2004 6 v1.0 14 2004 6 v1.0 AS AS 13-8 MAX

More information

インテル® FPGA USBダウンロード・ケーブル・ユーザーガイド

インテル® FPGA USBダウンロード・ケーブル・ユーザーガイド 更新情報 フィードバック 最新版をウェブからダウンロード : PDF HTML 目次 目次 1 USB ダウンロード ケーブルの概要... 3 1.1 USB ダウンロード ケーブル レビジョン... 3 1.2 サポートしているデバイスおよびホストシステム... 3 2 USB ダウンロード ケーブルの仕様... 4 2.1 ブロック図および寸法...4 2.2 ケーブルとボードの接続...4 2.3

More information

HardCopy IIデバイスのタイミング制約

HardCopy IIデバイスのタイミング制約 7. HardCopy II H51028-2.1 Stratix II FPGA FPGA ASIC HardCopy II ASIC NRE Quartus II HardCopy Design Center HCDC Quartus II TimeQuest HardCopy II 2 DR2 TimeQuest TimeQuest FPGA ASIC FPGA ASIC Quartus II

More information

Quartus Prime はじめてガイド - デバイス・オプションの設定方法

Quartus Prime はじめてガイド - デバイス・オプションの設定方法 ALTIMA Corp. Quartus Prime はじめてガイドデバイス オプションの設定方法 ver.15.1 2016 年 5 月 Rev.3 ELSENA,Inc. Quartus Prime はじめてガイド デバイス オプションの設定方法 目次 1. 2. はじめに...3 デバイス オプションの設定...4 2-1. 2-2. 2-3. 2-4. 2-5. 2-6. 2-7. 2-8.

More information

MINI2440マニュアル

MINI2440マニュアル 株式会社日新テクニカ STM32F207 開発キット 株式会社日新テクニカ 全ての資料 回路図 サンプルは http://kanebebe.dip.jp/download/stm32f207 http://www.nissin-tech.com info@nissin-tech.com 2011/9/15 copyright@2011 ホームページ http://www.nissin-tech.com

More information

CANON_IT_catalog_1612

CANON_IT_catalog_1612 Image processing products Hardware /Software MatroxRadient Pro CL 7 HDR-26 HDR-26 Data Clock CC [4] UART Data Clock CC [4] UART Camera Link Interface w/ PoCL Camera Link Interface w/ PoCL Image Reconstruction

More information

Lab GPIO_35 GPIO

Lab GPIO_35 GPIO 6,GPIO, PSoC 3/5 GPIO HW Polling and Interrupt PSoC Experiment Lab PSoC 3/5 GPIO Experiment Course Material 6 V2.02 October 15th. 2012 GPIO_35.PPT (65 Slides) Renji Mikami Renji_Mikami@nifty.com Lab GPIO_35

More information

untitled

untitled Rev.2.70 1 1. 2. 3. 4. 5. Rev.2.70 2 ASIP PHY MIPI-DPHY / MPHY 1.8/3.3V PLL IP SER/DES 8B10B/10B/8B MIPI-DPHY SER/DES FPD-link SER/DES SMIA SER/DES DDR SER/DES DS Link CSI2 / Link ISP/WDR 10/12 ADC IP

More information

ACM108R2-MAN-JP-V21.xdw

ACM108R2-MAN-JP-V21.xdw Cyclone IV FPGA ボード ACM-108 シリーズ (Rev2) ユーザーズマニュアル Ver.2.1 ヒューマンデータ 目次 はじめに... 1 ご注意... 1 改訂記録... 1 1. 共通ピンピンについてについて 重要重要... 2 2. 開発環境... 3 3. 製品の内容内容について... 3 4. 仕様... 4 5. 製品説明... 5 5.1. 各部名称... 5

More information

Chip PlannerによるECO

Chip PlannerによるECO 13. Chip Planner ECO QII52017-8.0.0 ECO Engineering Change Orders Chip Planner ECO Chip Planner FPGA LAB LE ALM ECO ECO ECO ECO Chip Planner Chip Planner ECO LogicLock Chip Planner Quartus II Volume 2

More information

unitech PA600 Rugged En PDA - RFID HF - unitech G Ver.1.2

unitech PA600 Rugged En PDA - RFID HF - unitech G Ver.1.2 unitech PA600 Rugged En PDA - RFID HF - unitech 400618G Ver.1.2 - 2009 Unitech Oracle Embedded Software Licensing Program FCC - i 16 PA600 1. 5V/2A AC USB DC 2. PA600 DC 8 SDRAM 60 C C C C ii PA600 RFID

More information

Quartus II はじめてガイド - Device and Pin Options 設定方法

Quartus II はじめてガイド - Device and Pin Options 設定方法 ALTIMA Corp. Quartus II はじめてガイド Device and Pin Options 設定方法 ver.14 2015 年 3 月 Rev.1 ELSENA,Inc. Quartus II はじめてガイド Device and Pin Options 設定方法 目次 1. 2. 3. はじめに...3 Device and Pin Options の起動...4 Device

More information

ESP32-KEY-KIT-R1 (ESP-WROOM-32 ) Copyright c 2

ESP32-KEY-KIT-R1 (ESP-WROOM-32 ) Copyright c 2 ESP32-KEY-KIT-R1 (ESP-WROOM-32 ) http://www.microfan.jp/ http://store.shopping.yahoo.co.jp/microfan/ http://www.microfan.jp/shop/ 2017 4 Copyright c 2017 MicroFan, All Rights Reserved. i 1 ESP32-KEY-KIT-R1

More information

エンハンスド・コンフィギュレーション・デバイス(EPC4、EPC8 & EPC16)データシート

エンハンスド・コンフィギュレーション・デバイス(EPC4、EPC8 & EPC16)データシート 2. EPC4 EPC8 & EPC16 CF52002-2.2 EPC4 EPC8 EPC16 Stratix Cyclone APEX II APEX 20K APEX 20K APEX 20KC APEX 20KE Mercury ACEX 1K FLEX 10KFLEX 10KE FLEX 10KA 4 8 16 / EPC16 EPC4 8 Stratix FPP DCLK 8 FPGA

More information

Nios II Flash Programmer ユーザ・ガイド

Nios II Flash Programmer ユーザ・ガイド ver. 8.0 2009 年 4 月 1. はじめに 本資料は Nios II 開発環境においてフラッシュメモリ または EPCS へのプログラミングを行う際の参考マニュアルです このマニュアルでは フラッシュメモリの書き込みの際に最低限必要となる情報を提供し さらに詳しい情報はアルテラ社資料 Nios II Flash Programmer User Guide( ファイル名 :ug_nios2_flash_programmer.pdf)

More information

Report Template

Report Template 1 ( ) 4... 4... 4 ispvm system... 5... 6... 6... 7 I/O... 7 USB... 9... 12 ( )... 14... 15 ( ) 16... 16 Dual Boot... 16 Primary Image file... 19 USERCODE/UES... 21 I/O... 22... 24 ATE... 26 SVF... 29 SVF...

More information

ネットリストおよびフィジカル・シンセシスの最適化

ネットリストおよびフィジカル・シンセシスの最適化 11. QII52007-7.1.0 Quartus II Quartus II atom atom Electronic Design Interchange Format (.edf) Verilog Quartus (.vqm) Quartus II Quartus II Quartus II Quartus II 1 Quartus II Quartus II 11 3 11 12 Altera

More information

Quartus II はじめてガイド ‐ Device and Pin Options 設定方法

Quartus II はじめてガイド ‐ Device and Pin Options 設定方法 ALTIMA Corp. Quartus II はじめてガイド Device and Pin Options 設定方法 ver.10.0 2010 年 9 月 ELSENA,Inc. Quartus II はじめてガイド Device and Pin Options 設定方法 目次 1. はじめに... 3 2. Device and Pin Options の起動... 3 3. Device and

More information

Kazutoshi Kobayashi (kobayasi kit.ac.jp)

Kazutoshi Kobayashi (kobayasi kit.ac.jp) Kazutoshi Kobayashi (kobayasi kit.ac.jp) 2009 11 24-25 1 1 1.1.................................. 1 1.2,............................ 1 2 2 2.1 FPGA.................... 2 2.2 Verilog-HDL........................

More information

Revision

Revision Revision 0 Lattice Mico32 222-8561 1-6-3 1 045-470-9841 FAX 045-470-9844 ... 1... 1... 1 LatticeMico32... 2 Mico32... 3... 3... 4 Microprocessor Platform isplever Project... 5 MSB(MicoSystemBuilder)...

More information

Microsoft Word - ALT0982_program_epcs_by_niosii_v10.doc

Microsoft Word - ALT0982_program_epcs_by_niosii_v10.doc ver. 1.0 2008 年 6 月 1. はじめに この資料では ホスト PC に存在する ハードウェアのコンフィギュレーション データ ファイルをホスト ファイルシステムの機能を使用して Nios II システム メモリへ転送し そのコンフィギュレーション データを Nios II を使って EPCS へプログラムする手法を紹介します この資料は Quartus II ver.7.2 SP3

More information

ACM030R1-MAN-JP-V10.xbd

ACM030R1-MAN-JP-V10.xbd MAX10 FPGA ボード ACM-030 ユーザーズマニュアル Ver.1.0 ヒューマンデータ 目次 はじめに... 1 ご注意... 1 改訂記録... 2 1. 製品の内容について... 2 2. 開発環境... 2 3. 仕様... 3 4. 製品概要... 4 4.1 各部の名称... 4 4.2 ブロック図... 5 4.3 電源入力... 5 4.4 クロック... 5 4.5

More information

ユーザーズマニュアル(SVCEシリーズ)

ユーザーズマニュアル(SVCEシリーズ) SV-NET CONTROLLER SVCE SV-NET Controller SVCE SV-NET Controller Ether SV-NET SVCE Ethernet EtherCAT EtherCAT SVCE SVCE SVC SVD SVCC SVCE TMasM TMc TMoS OS C SV-NET SV-NET AC SV-NET Controller Compact

More information

ADZBT1 Hardware User Manual Hardware User Manual Version 1.0 1/13 アドバンスデザインテクノロジー株式会社

ADZBT1 Hardware User Manual Hardware User Manual Version 1.0 1/13 アドバンスデザインテクノロジー株式会社 Hardware User Manual Version 1.0 1/13 アドバンスデザインテクノロジー株式会社 Revision History Version Date Comment 1.0 2019/4/25 新規作成 2/13 アドバンスデザインテクノロジー株式会社 目次 1 Overview... 4 2 Block Diagram... 5 3 機能説明... 6 3.1 Power

More information

NL-22/NL-32取扱説明書_操作編

NL-22/NL-32取扱説明書_操作編 MIC / Preamp ATT NL-32 A C ATT AMP 1 AMP 2 AMP 3 FLAT FLAT CAL.SIG. OVER LOAD DET. AMP 4 AMP 5 A/D D/A CONV. AMP 6 AMP 7 A/D CONV. Vref. AMP 8 AMP 10 DC OUT AMP 9 FILTER OUT AC DC OUT AC OUT KEY SW Start

More information

Silicon Labs USB IC USB Audio Class =... 3 Silicon Labs USB IC... 3 USB Audio Class Codec/DAC... 7 CP CP211

Silicon Labs USB IC USB Audio Class =... 3 Silicon Labs USB IC... 3 USB Audio Class Codec/DAC... 7 CP CP211 The Intelligent Technology Company Silicon Labs USB IC = USB Audio Class = ELS100-00017 2015 6 Silicon Labs USB IC USB Audio Class =... 3 Silicon Labs USB IC... 3 USB Audio Class... 4 -... 4 - -... 5 -

More information

デジタル回路入門

デジタル回路入門 Open-It FPGA トレーニングコース ( 初級編 ) 第 9 版 2. 組み合わせ回路入門 2.4. 実習 FPGA への実装 2013 年 5 月 10 日修正 まずは動かしてみましょう!! 詳細内容は明日説明します Open-It FPGA トレーニングコース ( 初級 ) 2 FPGA への実装方法 HDL コード Synthesize 論理合成 4 つの要素へ変換 最適化 ISE Implementation

More information

Arria GXデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

Arria GXデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト 3. Arria GX IEEE 49. (JTAG) AGX523-. PCB PCB Bed-of-nails PCB 98 Joint Test Action Group (JTAG) IEEE Std. 49. (BST) PCB BST 3 3. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin Signal Serial Data

More information

Quartus II はじめてガイド - Device & Pin Options 設定方法

Quartus II はじめてガイド - Device & Pin Options 設定方法 - Quartus II はじめてガイド - Device & Pin Options 設定方法 ver.9.1 2010 年 5 月 1. はじめに この資料は Quartus II における Device & Pin Options の設定に関して説明しています Device & Pin Options ダイアログ ボックスでは 現在のプロジェクトで選択されているデバイスにおけるデバイス オプションとピン

More information

Microsoft Word - TY_WLAN_WBSBMVGXB-1_EVBManual_V1.3J_ doc

Microsoft Word - TY_WLAN_WBSBMVGXB-1_EVBManual_V1.3J_ doc ワイヤレス LAN & Bluetooth モジュール評価ボード ( for WYSBMVGX4 / WYSBMVGX4-I / WYSBMVGXB ) この評価ボードは 実験検証用であり 品質を保証するものではありません また 評価ボードに使用している回路や部品 ソフトウェアは最新の物ではないことがあります 1/16 注意 : このモジュールは 日本の輸出管理下にあるデバイスドライバが必要です お客様の国やアプリケーション

More information

ユーザーズマニュアル(SVCCシリーズ)

ユーザーズマニュアル(SVCCシリーズ) SV-NET CONTROLLER SVCC SV-NET Controller SVCC SV-NET Controller Compact SV-NET SVCC SVCC SVC SVD SVCC SVCE TMasM TMc TMoS OS C SV-NET SV-NET AC SV-NET Controller Compact SV-NET Controller Ether C OS C

More information

タイトル

タイトル 不可能への挑戦株式会社低価格 高品質が不可能? ALTERA Cyclone IV EP4CE6 ボードのマニュアル 株式会社 http://www.csun.co.jp info@csun.co.jp 作成日 2014/10/02 copyright@2017 ホームページ :http://www.csun.co.jp メール :info@csun.co.jp 1 不可能への挑戦株式会社低価格 高品質が不可能?

More information

MINI2440マニュアル

MINI2440マニュアル ARM Cortex-M3 STM32F103 (GCC TOPPERS/ASP ) http://www.nissin-tech.com info@nissin-tech.com 2009/10/15 copyright@2009 1 STM32F103...3 STM32...4...8 3.1...8 3.2...9 3.3...13 KEIL...19 4.1 KEIL...19 4.2...22

More information

G

G . / DIMM IEEE 1394 P=XX I=XXXXXXXX USB 1394 USB 802.11 1394 XXX 125 / # # # RAM EC XXX-X SDRAM SDRAM XXX-X 12 / ±50mm OK OK P.149 # 1 3 # # 1 3 # 1 3 127 / # # 1 3 # 1 3 # 1 3 # 1 3 # 1 3 # 1 # 1 3 3 #

More information

Microsoft Word - Lab110131b.doc

Microsoft Word - Lab110131b.doc 組み込みソフトウェア実践プロジェクト演習講座 本演習は Xilinx ISE Design Suite 12.3 (Embedded Edition) を対象としています Lab1: BSB を使った PowerPC システムの構築 この Lab で習得する事 BSB(BaseSystemBuilder) を使ったツール基本操作と FPGA へのダウンロード手順を習得します 実習ボード設定 実習ボードのスイッチは以下のように設定してください

More information

IEEE (JTAG) Boundary-Scan Testing for Stratix II & Stratix II GX Devices

IEEE (JTAG) Boundary-Scan Testing for Stratix II & Stratix II GX Devices 4. Stratix II Stratix II GX IEEE 49. (JTAG) SII529-3. PCB PCB Bed-of-nails PCB 98 Joint Test Action Group (JTAG) IEEE Std. 49. (BST) PCB BST 4-4-. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin

More information

, FPGA Verilog-HDL

, FPGA Verilog-HDL Kazutoshi Kobayashi (kobayasi@kuee.kyoto-u.ac.jp) 2007 12 19-20 1 1 1.1...................................... 1 1.2,................................. 1 2 2 2.1 FPGA......................... 2 2.2 Verilog-HDL.............................

More information

unitech PA500 Enterprise PDA Rev. A

unitech PA500 Enterprise PDA Rev. A unitech PA500 Enterprise PDA Rev. A PA500 Enterprise PDA Unitech Copyright 2007 unitech Electronics Co., Ltd. Web : http:\\www.unitech-japan.co.jp Bluetooth Bluetooth SIG Microsoft Windows ActiveSync

More information

User's Guide

User's Guide magicolor 2300 DL 1800687-014B magicolor 2300 DL Windows TCP/IP Web URL http://www.minolta-qms.co.jp/support/userreg/index.html QMS MINOLTA-QMS magicolor MINOLTA-QMS, Inc. Minolta Peerless Systems Corporation

More information

untitled

untitled CISC(complex instruction set computer) RISC(reduced instruction set computer) (cross software) (compiler) (assembler) (linkage editor) (loader) tokenizer) (parser) (code generator) (execute) GNU http://www.gnu.org/

More information

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ CPLD ISP ISP この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください AN-630-1.0 アプリケーション ノート このアプリケーションノートでは MAX II および MAX V デバイスにおけるリアルタイム ISP(In-System Programmability)

More information

Microsoft Word - PIVマニュアル.doc

Microsoft Word - PIVマニュアル.doc (Nikkor 50mm f/1.2) C CCD (PixelFly QE) LAN USB BNC 1 1.1 CCD 注意 CCD CCD 1) 注意 2) 3) LAN LAN 4) 3 2 5) 2 1.2 1) Came Ware Came Ware 2) [Camera] [Camera Control] Camera mode Video Trigger Mode Intern CameraControl

More information

NL-20取扱説明書_操作編

NL-20取扱説明書_操作編 MIC / Preamp A C AMP 1 AMP 2 AMP 3 FLAT FLAT CAL.SIG. OVER LOAD DET. AMP 4 AMP 5 A/D D/A CONV. V ref. AMP 8 AMP 10 DC OUT AC OUT AC DC OUT DATA BUS CPU ADDRESS BUS DSP Start Pause Stop Store Mode Cont

More information

Quartus II - デバイスの未使用ピンの状態とその処理

Quartus II - デバイスの未使用ピンの状態とその処理 Quartus II はじめてガイド デバイスの未使用ピンの状態とその処理 ver. 9.1 2010 年 6 月 1. はじめに ユーザ回路で使用していないデバイス上のユーザ I/O ピン ( 未使用ユーザ I/O ピン ) は Quartus II でコンパイルすることによりピンごとに属性が確定されます ユーザは 各未使用ユーザ I/O ピンがどのような状態 ( 属性 ) であるかに応じて 基板上で適切な取り扱いをする必要があります

More information

MS55D_J

MS55D_J POWERED MULTIMEDIA SPEAKERS 0 10 YST-MS55D POWERED MULTIMEDIA SPEAKERS VOLUME YST-MS55D POWERED MULTIMEDIA SPEAKERS BASS ii iii iv POWERED MULTIMEDIA SPEAKERS YST-MS55D BASS 0 10 POWERED MULTIMEDIA SPEAKERS

More information

TK-S686_S686WP

TK-S686_S686WP TK-S686 TK-S686WP TK-S686 TK-S686WP LST0659-00B 2 ( ) T A 3 4 g g I _I I _I _ I_ I 5 A A B A B 6 7 A B C D E I H G F J K L N M A _ _ A B C J A K 8 D A B C D E A F O G A H S O R R P Q T I J A T A K A L

More information

dp0206_j

dp0206_j 1 2 7 8 9 4 5 6 3 10 11 12 13 20 19 18 16 17 15 14 CONTROL AUDIO 2 AUDIO 1 CN2 CN1 CN20 CN15 1 2 3 4 H C E (E) 1 2 3 E C H 1 2 3 4 C 8 7 6 5 6 7 8 9 5 4 3 2 1 E A B SIGNAL/

More information

テストコスト抑制のための技術課題-DFTとATEの観点から

テストコスト抑制のための技術課題-DFTとATEの観点から 2 -at -talk -talk -drop 3 4 5 6 7 Year of Production 2003 2004 2005 2006 2007 2008 Embedded Cores Standardization of core Standard format Standard format Standard format Extension to Extension to test

More information

CANON_IT_catalog_201709

CANON_IT_catalog_201709 Image processing products Hardware /Software Image processing products Hardware /Software INDEX P7 MatroxRadient Pro CL P8P17 MatroxRadient ev-cxp MatroxRadient ev-cl MatroxSolios MatroxSolios MatroxSolios

More information

TM-T88VI 詳細取扱説明書

TM-T88VI 詳細取扱説明書 M00109801 Rev. B 2 3 4 5 6 7 8 9 10 Bluetooth 11 12 Bluetooth 13 14 1 15 16 Bluetooth Bluetooth 1 17 1 2 3 4 10 9 8 7 12 5 6 11 18 1 19 1 3 4 2 5 6 7 20 1 21 22 1 23 24 1 25 SimpleAP Start SSID : EPSON_Printer

More information

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration 5. Stratix IV SIV52005-2.0 Stratix IV GX PMA BER FPGA PMA CMU PLL Pphased-Locked Loop CDR 5 1 5 3 5 5 Quartus II MegaWizard Plug-In Manager 5 42 5 47 rx_tx_duplex_sel[1:0] 5 49 logical_channel_address

More information

U-PHORIA UMC404HD/UMC204HD/UMC202HD/UMC22/UM2

U-PHORIA UMC404HD/UMC204HD/UMC202HD/UMC22/UM2 U-PHORIA UMC404HD Audiophile 4x4, 24-Bit/192 khz USB Audio/MIDI Interface with MIDAS Mic Preamplifiers UMC204HD Audiophile 2x4, 24-Bit/192 khz USB Audio/MIDI Interface with MIDAS Mic Preamplifiers UMC202HD

More information

不可能への挑戦株式会社日昇テクノロジー低価格 高品質が不可能? 日昇テクノロジーなら可能にする MAX II/Cyclone II EP2C8 ボードマニュアル 株式会社日昇テクノロジー /05/27 copyrig

不可能への挑戦株式会社日昇テクノロジー低価格 高品質が不可能? 日昇テクノロジーなら可能にする MAX II/Cyclone II EP2C8 ボードマニュアル 株式会社日昇テクノロジー /05/27 copyrig MAX II/Cyclone II EP2C8 ボードマニュアル 株式会社日昇テクノロジー http://www.csun.co.jp info@csun.co.jp 2010/05/27 copyright@2010 ホームページ :http://www.csun.co.jp メール :info@csun.co.jp 1 第一章 Cyclone II/EP2C8Q208 ボードの概要... 4 1.1

More information

untitled

untitled --1 -- - 2 - --2--17 CirrusLogic EP9307 ARM920T ARM9TDMI CPU 16kByte 16kByte Thumb code(16bit ) CPU Core 200MHz BUS 100MHz 14.7456MHz SDRAM32MByte(16bit ) FLASH8MByte(16bit ) NAND (256MB) LAN 10BASE-T/100BASE-TX

More information

oaks32r_m32102

oaks32r_m32102 OAKS32R-M32102S6FP 2 OAKS32R OAKS32R-M32102S6FP M32102S6FP... 4... 5... 6... 7 4.1. CPU...7 4.2. Flash ROM SDRAM....8 4.3. LANC....8 4.4. RS232C....9 4.5. CPU....9 4.6.....9 4.7....10 4.8. SDI....10...

More information

「FPGAを用いたプロセッサ検証システムの製作」

「FPGAを用いたプロセッサ検証システムの製作」 FPGA 2210010149-5 2005 2 21 RISC Verilog-HDL FPGA (celoxica RC100 ) LSI LSI HDL CAD HDL 3 HDL FPGA MPU i 1. 1 2. 3 2.1 HDL FPGA 3 2.2 5 2.3 6 2.3.1 FPGA 6 2.3.2 Flash Memory 6 2.3.3 Flash Memory 7 2.3.4

More information

VLD Kazutoshi Kobayashi

VLD Kazutoshi Kobayashi VLD Kazutoshi Kobayashi (kobayasi@kuee.kyoto-u.ac.jp) 2005 8 26-29 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape

More information

1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/

1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/ 1 Kazutoshi Kobayashi kobayasi@ieeeorg 2002 12 10-11 1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/kobayasi/kobayasi/refresh/indexhtml,,

More information

JAJP.indd

JAJP.indd Agilent Data Sheet www.agilent.co.jp/find/pcie 2 Gen 2 Ready TCL Windows DCOM Agilent E2960B N2X E2960A PCIe TM 2.0 GUI PCIe 2.0 E2960B API E2960AGen 1 API Gen 1 Gen2 PCI Express Gen 1 E2960B PCI Express

More information

quattro.PDF

quattro.PDF Quattro USB Audio Interface 2 M-AUDIO 3 Windows Windows 98 SE/ Windows ME/ Windows 2000/ Windows XP Platinum III 500MHz/ 96kHz Platinum II 400MKz/ 48kHz 128MB RAM / 96kHz 64MB RAM/ 48kHz Macintosh USB

More information

MAX11014 EV.J

MAX11014 EV.J 19-4147; Rev 1; 9/08 PART MAX11014EVKIT+ TYPE EV Kit DESIGNATION QTY DESCRIPTION C1, C8, C11 C14, C18, C22, C23, C34 C43, C45, C51 C2, C7, C15, C16, C17, C21, C26, C27, C31, C33, C44, C46, C47, C48, C52,

More information

TULを用いたVisual ScalerとTDCの開発

TULを用いたVisual ScalerとTDCの開発 TUL を用いた Visual Scaler と TDC の開発 2009/3/23 原子核物理 4 年 永尾翔 目次 目的と内容 開発環境 J-Lab におけるハイパー核分光 Visual Scaler TDC まとめ & 今後 目的と内容 目的 TUL, QuartusⅡ を用いて実験におけるトリガーを組めるようになる Digital Logic を組んでみる 内容 特徴 TUL,QuartusⅡ

More information

Nios IIプロセッサ・リファレンス・ハンドブック、セクション I. Nios IIプロセッサ Ver. 1.2

Nios IIプロセッサ・リファレンス・ハンドブック、セクション I. Nios IIプロセッサ Ver. 1.2 I. Nios II Nios II 1 2 3 4 SOPC Builder Nios II Altera Corporation I 1 Nios II 1 4 Nios II Nios II / 1 2004 9 v1.1 Nios II 1.01 2004 5 v1.0 2 2004 12 v1.2 ctl5 2004 9 v1.1 Nios II 1.01 2004 5 v1.0 3 2004

More information

DL1010.PDF

DL1010.PDF Delta 1010 24 Bit/96 khz PCI Digital I/O Card 2 M-AUDIO 3 Rack Unit 1. Power LED LED MIDI LED LED MIDI Delta 1010 MIDI MIDI LED LED MIDI Delta 1010 MIDI MIDI MIDI MIDI MIDI MTC Delta 1010 MIDI MIDI MIDI

More information

AN 386: Quartus II ソフトウェアでのパラレル・フラッシュ・ローダの使用

AN 386: Quartus II ソフトウェアでのパラレル・フラッシュ・ローダの使用 FPGA の集積度が増加される場合 より大きいコンフィギュレーション ストレージの必要性も高まっています システムにコモン フラッシュ インタフェース (CFI) が内蔵されている場合は そのシステムを FPGA コンフィギュレーション ストレージにも利用できます MAX II デバイスのパラレル フラッシュ ローダ (PFL) 機能は JTAG インタフェースを介して CFI フラッシュ メモリ

More information

FPGA TU0135 (v1.0) FPGA Desktop NanoBoard FPGA CUSTOM_INSTRUMENT FPGA GUI ( ) IO GUI IO DelphiScript Desktop NanoBoard NB2DSK01 FPGA Desktop

FPGA TU0135 (v1.0) FPGA Desktop NanoBoard FPGA CUSTOM_INSTRUMENT FPGA GUI ( ) IO GUI IO DelphiScript Desktop NanoBoard NB2DSK01 FPGA Desktop TU0135 (v1.0) 2008 5 17 Desktop NanoBoard FPGA CUSTOM_INSTRUMENT GUI ( ) IO GUI IO DelphiScript Desktop NanoBoard NB2DSK01 Desktop NanoBoard 8 DIP LED DAUGHTER BD TEST/RESET DIP ( ) DAUGHTER BD TEST/RESET

More information

MAX 10 FPGA開発キット・ユーザー・ガイド

MAX 10 FPGA開発キット・ユーザー・ガイド MAX 10 FPGA 開発キット ユーザー ガイド 更新情報 UG-01169 101 Innovation Drive San Jose, CA 95134 www.altera.com 目次 -2 目次 このボードについて... 1-1...1-3 ボードの取り扱い... 1-5 使用開始に際して... 2-1 Quartus II ウェブ エディション ソフトウェア... 2-1 開発キットのインストール...2-1

More information

第一章 LPC2478 ボードの概要...3 第二章 uclinux の初体験 SD カードのテスト USB メモリのテスト USB Devices のテスト network のテスト...6 第三章 uclinux のコンパイル...

第一章 LPC2478 ボードの概要...3 第二章 uclinux の初体験 SD カードのテスト USB メモリのテスト USB Devices のテスト network のテスト...6 第三章 uclinux のコンパイル... 株式会社日新テクニカ株式会社日新テクニカ株式会社日新テクニカ 1 ARM ARM ARM ARM7/TDMI 7/TDMI 7/TDMI 7/TDMI LPC LPC LPC LPC247 247 247 2478-uC uc uc uclinux inux inux inux マニュアルマニュアルマニュアルマニュアル株式会社日新テクニカ株式会社日新テクニカ株式会社日新テクニカ株式会社日新テクニカ

More information

EVBUM2149JP - 静電容量タッチセンサ用容量デジタルコンバータLSI評価キット取扱説明書

EVBUM2149JP - 静電容量タッチセンサ用容量デジタルコンバータLSI評価キット取扱説明書 () (1 ) 4 EVAL BOARD USER S MANUAL LC717A00AR01GEVB LC717A00AR02GEVB LC717A00AR03GEVB LC717A00ARGPGEVB USB I 2 CMM FT232H USB LC717A00AR OS Windows XP (32 bit), Windows 7 (32 bit) 16 MB 500 kb USB2.0 1.

More information

PowerPoint Presentation

PowerPoint Presentation VME Embedded System ユーザーズマニュアル ~ Slim VME Embedded ~ Tecstar Page: 1 Agenda 1. VME Embedded System 概要 2. VME Embedded の特徴 3. Embedded Overview 4. VMEファイルとHEXファイルについて 5. Slim VME について 6. Deployment Toolの起動方法について

More information

92% TEL ディー クルー テクノロジーズ株式会社

92% TEL ディー クルー テクノロジーズ株式会社 92% TEL.050006409 0006409 http://www.logitec.co.jp/data_recovery/ ディー クルー テクノロジーズ株式会社 http://www.hagisol.co.jp BXPCCARAMX6S BXPCCBYTMN20 40 0 30 65 2022 年まで 産予定 は変更する可能性があります 2020 年まで 産予定 は変更する可能性があります

More information

R1RW0408D シリーズ

R1RW0408D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

TM-m30 詳細取扱説明書

TM-m30 詳細取扱説明書 M00094106 Rev. G Seiko Epson Corporation 2015-2018. All rights reserved. 2 3 4 5 6 7 8 Bluetooth 9 ... 71 10 1 11 Bluetooth 12 1 13 1 2 6 5 4 3 7 14 1 1 2 3 4 5 15 16 ONF 1 N O O N O N N N O F N N F N

More information

プロセッサ・アーキテクチャ

プロセッサ・アーキテクチャ 2. NII51002-8.0.0 Nios II Nios II Nios II 2-3 2-4 2-4 2-6 2-7 2-9 I/O 2-18 JTAG Nios II ISA ISA Nios II Nios II Nios II 2 1 Nios II Altera Corporation 2 1 2 1. Nios II Nios II Processor Core JTAG interface

More information

Armadillo-9 ソフトウェアマニュアル

Armadillo-9 ソフトウェアマニュアル Software Manual http://www.atmark-techno.com/ http://armadillo.atmark-techno.com/ Armadillo-9 software manual ver.1.0.16 1.... 1 1.1.... 1 1.2.... 1 1.3.... 1 1.4.... 2 1.5.... 2 1.6.... 2 2.... 3 2.1....

More information

PDW-75MD

PDW-75MD 3-270-633-02(1) PDW-75MD 2007 Sony Corporation m a b c 2 ... 2 6 6... 8... 8 1... 10... 10... 12... 13... 13... 19... 23 2... 25... 26... 27... 27... 28... 29... 29... 29... 30... 31... 33 3... 34... 34...

More information

TM-m30 詳細取扱説明書

TM-m30 詳細取扱説明書 M00094101 Rev. B Seiko Epson Corporation 2015-2016. All rights reserved. 2 3 4 5 6 7 8 Bluetooth 9 Bluetooth 10 1 11 Bluetooth 12 1 13 1 2 6 5 4 3 7 14 1 1 2 3 4 5 15 16 ONF 1 N O O N O N N N O F N N F

More information

MLA8取扱説明書

MLA8取扱説明書 (5)-2 2 (5)-2 3 (5)-2 4 5 2 3 4 5 6 7 1 2 3 4 5 6 7 8 POWER ON / OFF 1 1 n 2 3 4 5 6 7 n 6 AC IN 8 MODEL MAL8 MADE IN INDONESIA 7 6 5 4 OUTPUT +4dBu ANALOG OUTPUT +4dBu G G 3 2 1 8 7 6 5 INPUT 4 3 2 1

More information

ECP2/ECP2M ユーザーズガイド

ECP2/ECP2M ユーザーズガイド Lattice MachXO Lattice Lattice MachXO_design_guide_rev2.2.ppt Page: 2 1. MachXO 1-1. 1-2. PLL 1-3. JTAG 1-4. 2. MachXO I/O Bank I/O 2-1. I/O BANK 2-2. I/O I/F 2-3. I/F 2-4 I/F 2-5. 2-6. LVDS I/F 2-7. I/F

More information

1 124

1 124 7 1 2 3 4 5 6 7 8 9 10 11 12 1 124 Phoenix - AwardBIOS CMOS Setup Utility Integrated Peripherals On-Chip Primary PCI IDE [Enabled] IDE Primary Master PIO [Auto] IDE Primary Slave PIO [Auto] IDE Primary

More information

! STEP 2. Quartus Prime のダウンロード WEB ブラウザで以下の URL を開きます 2 ページ中段の Quartus Prime 開発ソフトウェア ライト エディ

! STEP 2. Quartus Prime のダウンロード WEB ブラウザで以下の URL を開きます   2 ページ中段の Quartus Prime 開発ソフトウェア ライト エディ STEP 学習内容 パソコンに FPGA の開発環境を構築します インストールは以下の手順で行います. Quartus Prime とは 2. Quartus Prime のダウンロード. Quartus Prime のインストール. USB ドライバのインストール. Quartus Prime とは Quartus Prime は Intel の FPGA 統合開発環境です Quartus Prime

More information

VoIP Broadcasting System 2/2 IP Convergence Communication Solution IP paradigm Integration & Management VoIP IP VoIP VoIP IT < 02-06-12>

VoIP Broadcasting System 2/2 IP Convergence Communication Solution IP paradigm Integration & Management VoIP IP VoIP VoIP IT < 02-06-12> 2003. 5. 22 AddPac Technology Proprietary VoIP Broadcasting System 2/2 IP Convergence Communication Solution IP paradigm Integration & Management VoIP IP VoIP VoIP IT < 02-06-12> 8Khz 16Khz CDMA ADSL VoIP

More information