(Microsoft PowerPoint - EDSF2009\203Z\203~\203i\201[_AMS.ppt)

Size: px
Start display at page:

Download "(Microsoft PowerPoint - EDSF2009\203Z\203~\203i\201[_AMS.ppt)"

Transcription

1 アナログ / AMS/ / RF 設計者のための次世代シミュレーションシミュレーション ソリューション 株式会社シルバコシルバコ ジャパン

2 概要 近年の IC/LSI 設計に求められている 高速 高精度 大規模 多機能な回路設計に必要となるシミュレーション技術に対し Simucad が提供する回路シミュレータとソリューションをご紹介します デジアナ混載 フルチップで検証したい... 解析精度は落としたくない... 短時間で行いたい

3 Simucadが提案提案するするデザインフロー - 3 -

4 アナログ /AMS/RF シミュレータ - デザイン キャプチャ - アナログ シミュレータ大規模回路対応 高速 高精度 RF - RF シミュレータハーモニック バランス Harmony - AMS シミュレータシングル カーネル レイアウト設計へ - 4 -

5 デザイン キャプチャ フロントエンド設計統合設計統合ツール アナログ /RF/AMSデザイン エントリー シミュレータ 波形ツールとのシームレスなリンク 大規模設計に対応した豊富な機能 スクリプト機能 Expert レイアウトエディタとのリンク (SDL NDL) Guardian LVSとのクロスプローブ EDIFによる他社ツールとのデザイン エクスチェンジ - 5 -

6 アナログ シミュレータ 大規模 高速化高速化 高精度高精度に応える 3 つのキーキー テクノロジ 優れた並列 分散処理 マルチコア, グリッド/ クラスタ 大規模回路に対応した行列解法 UFLソルバー 寄生 RCを高精度でリダクションするための SパラメータベースRCリダクション - 6 -

7 アナログ シミュレータ マルチコア テクノロジ 同一マシン上の複数 CPU を利用した高速化技術 SIM 時間 [sec] % の時間短縮 SRAM 回路 270 万素子 RedHat Linux E4(64bit) AMD Opteron 16cpu CPU 数 - 7 -

8 アナログ シミュレータ グリッド / クラスタ環境環境の適用 ネットワーク上の複数コンピュータを利用し 複数回行なわれるモンテカルロ解析や.ALTER を使ったコーナー解析などを分散処理 変数の rmc_hosts 及び ralter_hosts によりリモートのホスト名を指定 rmc_hosts=(a B C D...) ralter_hosts=(a B C D...) host A host B host C host D - 8 -

9 アナログ シミュレータ ソルバー テクノロジ 特徴の異なる直接型行列ソルバーを複数搭載 default, UFL, XMS, BRK, SPARSE... Default:UCB SPICE のソルバーをベースに, シルバコが独自の技術改良を行なった直接型ソルバー 収束性と高速性の 2 つの特徴を高い次元で達成している最も汎用的で実績のあるソルバー UFL: 米国フロリダ大学の Tim Davis 博士が研究開発した CSPARSE をベースとする直接型ソルバー 非常に大規模な回路 ( 数十万 ~ 数百万素子 ) の行列演算で問題となるピボッティング処理を効率よく実行 主に, シミュレーションの初期動作点解析に要する時間を劇的に短縮可能 - 9 -

10 アナログ シミュレータ ソルバー ベンチマーク SIM 時間 [sec] MOSFET CAP RES Total n/a PS1 PS1 PS4 PS1 PS1 PS4 オプション.option solver = default UFL ソルバーの処理時間 モデル計算時間 ソルバー計算で用いた CPU 数 P1 P4 P4 P1 P4 P4 モデル計算で用いた CPU 数 Default UFL

11 アナログ シミュレータ RC リダクション レイアウト後の寄生 RC を含むポスト レイアウト シミュレーションを精度を落とさずに高速化させるためのネットリスト圧縮技術 従来 外部ツールで行なっていたリダクション処理を に組み込み S パラメータ マクロモデリング手法 により信号の伝達特性を劣化させることなく 高精度な RC 圧縮を実行 オプション機能として RC リダクション処理の前後において 指定された閾値を下回る抵抗および容量素子の除去が可能

12 アナログ シミュレータ RC リダクションの仕組仕組み Node contraction + S-parameter calculation [S 1 ] [S 2 ] [S 3 ] [S 4 ] [S 5 ] Internal node Specified or natural port [S i ] S-Matrix [S 2 ] Calculation of model element values to fit S-Matrix

13 アナログ シミュレータ RC リダクション ベンチマーク - シミュレーション時間 SRAM: MOSFET=22414 CAP= RES= OS: RedHat 3, 64bit CPU: Xeon 3GHz, 4CPU (*1) 圧縮 &RC 除去 &FAST (*2) S 社ファスト SPICE 圧縮前 41 万素子 圧縮後 26 万素子 圧縮後 (*1) 16 万素子 S 社 (*2) 14 万素子

14 アナログ シミュレータ RC リダクション ベンチマーク - 解析精度 Delay 時間 圧縮前 圧縮後 圧縮後 (*1) S 社 (*2) 測定ポイント 1 0% 0.83% 3.98% 4.16% 測定ポイント 2 0% 0.82% 1.79% 3.90% 測定ポイント 3 0% 0.79% 4.79% 3.96% 測定ポイント 4 0% 0.83% 5.29% 3.93% 測定ポイント 5 0% 0.84% 4.28% 4.03% 測定ポイント 6 0% 0.81% 4.35% 4.01% 測定ポイント 7 0% 0.87% 0.53% 4.05% 測定ポイント 8 0% 0.84% 2.68% 3.98% 平均 0% 0.83% 3.46% 4.00%

15 アナログ シミュレータ DFM ソリューション モンテカルロ統計解析による動作マージンの確認 デバイスに印加される不正バイアスの検出機能 他社ツールツールとのとのインターフェースインターフェース 互換性 Cadence DFII へのインテグレーション HSPICE Spectreとのネットリスト / データ出力互換

16 アナログ シミュレータ SmartView による波形表示 豊富なポスト プロセス機能 EYE 表示 FFT 解析カルキュレータ

17 RF RF シミュレータ マルチ解析手法解析手法を採用採用し 多種多様多種多様な RF 回路に適合 ハーモニック バランス - 線形回路 シューティング - 非線形回路 エンベロープ - デジタル変調回路 主なアプリケーション LNA PA Mixer VCO VCXO

18 RF RF シミュレータ SCF(Switched Capacitor Filter) 解析の拡張機能拡張機能 シューティング法を拡張し 異なるクロック位相を含む回路を解析 過渡特性 ゲイン / 位相特性の評価 Reference : T.Kajita, G.C. Temes and U.-K. Moon Correlated double sampling integrator insensitive to parasitic capacitance IEE 2001, Electric Letters Online No:

19 Harmony AMS シミュレータ アナログ デジタルデジタル回路回路を混在混在し 検証検証することのすることのメリット 設計品質の向上が期待できる アナログとデジタルとの接続による機能やタイミングの検証が実現可能 仕様検討 動作原理の理解 仕様検討の際にアナログ デジタルの動作構文を用い 仕様に基づいて回路動作を記述 検証することにより動作原理の理解が可能 ミックスド シミュレーションの高速化 すでに設計が完了し 特性を確認済みの回路を動作モデル化することにより全体検証の高速化 バックアノテーションによる詳細シミュレーション デジタル回路には SDF を アナログ回路には R/C 抽出データを加味して実行可能

20 Harmony AMS シミュレータ Harmony とは 高精度 ハイ パフォーマンスのアナログ / ミックスド シグナル シミュレータ Verilog-HDL SPICE Verilog-A Verilog-AMS を組み合わせて記述したネットリストのシミュレーションが可能 と SILOS-X とが完全にリンクしたシングル カーネルのアナログ / ミックスド シグナル シミュレータ Harmony SILOS-X Verilog-HDL SPICE Verilog-A Verilog-AMS

21 Harmony AMS シミュレータ Harmonyの入力 / 出力

22 Harmony AMS シミュレータ Harmonyの主な特徴 および SILOS-X をシングル カーネル エンジン化することにより 最適な Co-Simulation の初期化 同期 収束 精度を実現 Verilog-HDL SPICE Verilog-A Verilog-AMS 入力デッキ テストベンチ記述を読み込み 適切なレベルに自動的に分割 Accellera 2.2 標準に準拠 :Verilog-AMS Verilog-A IEEE 標準に準拠 :Verilog-HDL PLI(Programming Language Interface) HSPICE 互換 : ネットリスト / ドット コマンド

23 Harmony AMS シミュレータ Harmonyの主な特徴 複雑なミックスド シグナル デザインにおいて 高精度なシミュレーション結果と優れた収束性を提供 アナログ / デジタルの両方の波形を 1 つのウィンドウに表示 グラフィック データ アナライザ トレース モード 階層エクスプローラ ソース コード エディタを備えた 効率的な統合デバッグ環境 CPU 資源を効率的に利用し 実行時のパフォーマンスを最適化

24 Harmony AMS シミュレータ Harmony トップダウン設計検証 PLL 開発初期機能検証 CP (Charge Pump) LPF (Loop Filter) VCO (Voltage Control Oscillator) を開発初期の段階では Verilog-A で記述し 動作確認 logic_to_elect ロジック値からアナログ値への変換 Verilog Testbench REF FB PD (Verilog-D) up down CP (Verilog-A) LP2 LPF (Verilog-A) feedback clock CLR Divider (Verilog-D) VCO (Verilog-A) VCO input LP2 elect_to_logic アナログ値からロジック値への変換 CKOUTX CKOUT

25 Harmony AMS シミュレータ Harmony トップダウン設計検証 PLL 開発初期機能検証 SPICE 形式トップネットリスト Verilog-AMS 形式トップモジュール.include "../SpiceLib/pll_subckt.inc" XPD REF FB FB UP UP DOWN PLL_PD_V ; Verilog-D XCP UP UP DOWN LP2 PLL_CP ; Verilog-A XLPF LP2 PLL_LPF ; Verilog-A XVCO LP2 CKOUT PLL_VCO_VA ; Verilog-A XINV CKOUTX CKOUT INVERTER2A ; Verilog-D XDIV CLR CKOUT FB FB DIVIDER_V ; Verilog-D VREF REF GND PULSE( n 0n 0.1n 0.1n 4.9n 10n) VCLR CLR GND PWL(0 0 6n 6n 3.3) VD VD VDD GND 3.3.GLOBAL VDD module PLL_TOP_V (REF,CLR); input REF,CLR; electrical REF,FB,UP,DOWN; electrical LP2,CKOUT; PLL_PD_V d0 d0 (REF,FB,UP,DOWN); PLL_CP d1 d1 (UP,DOWN,LP2); PLL_LPF d2 d2 (LP2); PLL_VCO_VA d3 d3 (LP2,CKOUT); INVERTER2A d4 d4 (CKOUTX,CKOUT); DIVIDER_V d5 d5 (CLR,CKOUT,FB); endmodule.tran 1n 1n 1.5u.IC V(LP2)=1.1.PROBE V(REF) V(FB) V(UP) V(DOWN) V(LP2).OPTIONS POST PROBE ACCURATE EXPERT.END

26 Harmony AMS シミュレータ Harmony トップダウン設計検証 PLL 各モジュールモジュール開発 CP (Charge Pump) LPF (Loop Filter) VCO (Voltage Control Oscillator) の各モジュールを開発 SPICE ネットリストへ展開 回路図エントリーレイアウト設計 SPICE ネットリスト.SUBCKT PLL_CP UP DOWN LP2 MP12 NET11 VDD GND GND NM L=0.4U W=6U MP53 NET12 VDD GND GND NM L=0.4U W=6U... MP47 NET7 UP VDD VDD PM L=0.4U W=12U MP41 VDD NET2 VDD VDD PM L=6U W=12U.ENDS PLL_CP ( もしくは から SPICE ネットリストへ )

27 Harmony AMS シミュレータ Harmony トップダウン設計検証 PLL 最終検証 各モジュールのVerilog-A 記述からSPICEネットリストに変更モジュールの端子が同一であれば 単純にREPLACEのみで実行可能 logic_to_elect ロジック値からアナログ値への変換 Verilog Testbench REF FB PD (Verilog-D) up down CP (SPICE) LP2 LPF (SPICE) feedback clock CLR Divider (Verilog-D) VCO (SPICE) VCO input LP2 elect_to_logic アナログ値からロジック値への変換 CKOUTX CKOUT

28 Harmony AMS シミュレータ Harmony トップダウン設計検証 PLL 最終検証 SPICE 形式トップネットリスト Verilog-AMS 形式トップモジュール.include "../SpiceLib/pll_subckt.inc" XPD REF FB FB UP UP DOWN PLL_PD_V ; Verilog-D XCP UP UP DOWN LP2 PLL_CP ; Spice XLPF LP2 PLL_LPF ; Spice XVCO LP2 CKOUT PLL_VCO_VA ; Spice XINV CKOUTX CKOUT INVERTER2A ; Spice XDIV CLR CKOUT FB FB DIVIDER_V ; Verilog-D VREF REF GND PULSE( n 0n 0.1n 0.1n 4.9n 10n) VCLR CLR GND PWL(0 0 6n 6n 3.3) VD VD VDD GND 3.3.GLOBAL VDD module PLL_TOP_V (REF,CLR); input REF,CLR; electrical REF,FB,UP,DOWN; electrical LP2,CKOUT; PLL_PD_V d0 d0 (REF,FB,UP,DOWN); PLL_CP d1 d1 (UP,DOWN,LP2); PLL_LPF d2 d2 (LP2); PLL_VCO_VA d3 d3 (LP2,CKOUT); INVERTER2A d4 d4 (CKOUTX,CKOUT); DIVIDER_V d5 d5 (CLR,CKOUT,FB); endmodule.tran 1n 1n 1.5u.IC V(LP2)=1.1.PROBE V(REF) V(FB) V(UP) V(DOWN) V(LP2).OPTIONS POST PROBE ACCURATE EXPERT.END

29 Harmony AMS シミュレータ Harmony グラフィック ユーザーユーザー インタフェース アウトプット ウィンドウ ソース コード エディタ 階層エクスプローラ 波形アナライザ

30 Harmony AMS シミュレータ 単一波形ビューワビューワによるによるアナログ / デジタル波形表示 波形アナライザでは アナログ信号 デジタル信号を同時に表示可能 T1 マーカ T2 マーカの採用により マーカ間の拡大 デルタ時間などに対応

31 Harmony AMS シミュレータ 階層エクスプローラ ドラッグ & ドロップ

32 Harmony AMS シミュレータ Verilog エディタ Verilog ソース ドラッグ & ドロップ

33 Harmony AMS シミュレータ SPICE エディタ SPICE ネットリスト ドラッグ & ドロップ

34 Harmony AMS シミュレータ Create.cmm ファイル セーブ シミュレーション コマンド Save Simulation コマンドにてシミュレーション終了後のすべてのノードの状態をファイル < プロジェクト名 >.cmm に保存

35 Harmony AMS シミュレータ 複雑なプロットプロットに適した SmartView Harmony は アナログ サブサーキット内のベクタを保存することにより SmartView の機能を用いてグラフィック解析が可能

36 Harmony AMS シミュレータ Harmony 90days development roadmap Nov2008 Verilog-A におけるメモリーマネージメントと処理速度の向上 波形アナライザーのマーカ数を増やし 更に使いやすく デジタルの変化点のみにターゲットを絞って SPICE の余計なポイントを減らし パフォーマンスを向上

37 Harmony AMS シミュレータ Harmony 1year development roadmap Nov2008 SPICE における Sweep オプションを追加 64 ビットプラットフォームにおけるビジュアル デバッグ機能を強化 Verilog のコンパイラ ディレクティブ default_nettype none を採用 VCD アウトプットファイル内に SPICE のノードの電圧を付加 SmartView におけるデジタルデータの表示 Harmony 3year development roadmap Nov2008 コンパイル型のエンジンを採用 高速デジタル 低速アナログの回路が混在した際に生じるギャップを SPICE を独立して実行することにより回避

38 リアルタイム リミックスリミックス ライセンス SIMUCAD EDA トークン ライセンスライセンス システム ソフトウェアを使用する際に 対応するトークン数を取得 契約トークン数内で 全てのプロダクトが使用可能 ライセンスの稼働率 =ROI を向上

39 リアルタイムリアルタイムリアルタイムリアルタイム リミックスリミックスリミックスリミックス ライセンスライセンスライセンスライセンス 1 8 Luminous Luminous Luminous Luminous S-Pisces Pisces Pisces Pisces UTMOST UTMOST UTMOST UTMOSTⅣ Multi Multi Multi Multi CLEVER CLEVER CLEVER CLEVER HIPEX HIPEX HIPEX HIPEX 1 6 Expert Expert Expert Expert GuardianDRC GuardianDRC GuardianDRC GuardianDRC/NET/LVS /NET/LVS /NET/LVS /NET/LVS 2 6 Expert Expert Expert Expert GuardianDRC GuardianDRC GuardianDRC GuardianDRC/NET/LVS /NET/LVS /NET/LVS /NET/LVS-Multi Multi Multi Multi Expert Expert Expert Expert UTMOST UTMOST UTMOST UTMOSTⅣ UTMOST UTMOST UTMOST UTMOSTⅢ 合計合計合計合計 TOKEN TOKEN TOKEN TOKEN JOB JOB JOB JOB TOKEN TOKEN TOKEN TOKEN 運用例運用例運用例運用例 SFLM ライセンスライセンスライセンスライセンス管理管理管理管理 =54 リアルタイムリアルタイムリアルタイムリアルタイムに TOKEN をシェアシェアシェアシェアするこするこするこすることによりとによりとによりとにより 各プロダクトプロダクトプロダクトプロダクトの多様多様多様多様な運用運用運用運用が可能可能可能可能 EDA コストコストコストコスト削減削減削減削減 +TAT 短縮短縮短縮短縮 合計合計合計合計 合計合計合計合計 TOKEN=54 TOKEN=54 内の組み合わせわせわせわせ内の組み合わせわせわせわせは リアルタイムリアルタイムリアルタイムリアルタイムに切り替えがえがえがえが自由自自由自自由自自由自は リアルタイムリアルタイムリアルタイムリアルタイムに切り替えがえがえがえが自由自自由自自由自自由自在 在

Microsoft PowerPoint - EDSF2008セミナー_V1_1.ppt

Microsoft PowerPoint - EDSF2008セミナー_V1_1.ppt アナログ / ミックスド シグナル /RF デザイン フロー - PDK ベースのシングル ベンダ ソリューション - 株式会社シルバコ ジャパン Analog/Mixed-Signal/RF デザインフロー 製品間のインテグレーションだけでなく フローを構成する各々の製品の機能の充実 完成度が重要 デザインフロー全体をサポートするPDKが必須 ライセンス資産を有効に運用できる 柔軟なライセンス システムの導入も有効

More information

機能検証トレーニング コース一覧

機能検証トレーニング コース一覧 機能検証トレーニング コース一覧 日本シノプシス合同会社 2016.03 トレーニング コース一覧 VCS/DVE 基本コース VCS-NLP/VC LP 基本コース VC Verification IP AXI 基本コース (UVM 版 ) VC Verification IP USB 基本コース (UVM 版 ) Verdi 3 基本コース SpyGlass Lint コース SpyGlass

More information

Microsoft PowerPoint - 01_Vengineer.ppt

Microsoft PowerPoint - 01_Vengineer.ppt Software Driven Verification テストプログラムは C 言語で! SystemVerilog DPI-C を使えば こんなに便利に! 2011 年 9 月 30 日 コントローラ開発本部コントローラプラットフォーム第五開発部 宮下晴信 この資料で使用するシステム名 製品名等は一般にメーカーや 団体の登録商標などになっているものもあります なお この資料の中では トレードマーク

More information

周波数特性解析

周波数特性解析 周波数特性解析 株式会社スマートエナジー研究所 Version 1.0.0, 2018-08-03 目次 1. アナログ / デジタルの周波数特性解析................................... 1 2. 一巡周波数特性 ( 電圧フィードバック )................................... 4 2.1. 部分周波数特性解析..........................................

More information

Microsoft PowerPoint - EMPro_ADS_co_design_draft.ppt [互換モード]

Microsoft PowerPoint - EMPro_ADS_co_design_draft.ppt [互換モード] 3 次元電磁界シミュレータ (EMPro) と 回路シミュレータ (ADS) との効率的な協調解析事例のご紹介 Page 1 EMPro 2010 3 次元電磁界解析専用プラットフォーム 3 次元形状入力に特化した操作性 Windows & Linux 対応 多くの 3D CAD フォーマットの Import をサポート Fastest, t Highest Capacity 3 次元フルウェーブ電磁界シミュレーション

More information

ERDAS IMAGINE における処理速度の向上 株式会社ベストシステムズ PASCO CORPORATION 2015

ERDAS IMAGINE における処理速度の向上 株式会社ベストシステムズ PASCO CORPORATION 2015 ERDAS IMAGINE における処理速度の向上 株式会社ベストシステムズ 本セッションの目的 本セッションでは ERDAS IMAGINEにおける処理速度向上を目的として機器 (SSD 等 ) 及び並列処理の比較 検討を行った 1.SSD 及び RAMDISK を利用した処理速度の検証 2.Condorによる複数 PCを用いた並列処理 2.1 分散並列処理による高速化試験 (ERDAS IMAGINEのCondorを使用した試験

More information

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用]

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用] 2007.11.12 集積回路工学 Matsuzawa Lab 1 集積回路工学 東京工業大学 大学院理工学研究科 電子物理工学専攻 2007.11.12 集積回路工学 Matsuzawa Lab 2 1. 1. ハードウェア記述言語 (VHDL で回路を設計 ) HDL 設計の手順や基本用語を学ぶ RTL とは? Register Transfer Level レジスタ間の転送関係を表現したレベル慣例的に以下のことを行う

More information

回路シミュレーションに必要な電子部品の SPICE モデル 回路シミュレータでシミュレーションを行うためには 使用する部品に対応した SPICE モデル が必要です SPICE モデルは 回路のシミュレーションを行うために必要な電子部品の振る舞い が記述されており いわば 回路シミュレーション用の部

回路シミュレーションに必要な電子部品の SPICE モデル 回路シミュレータでシミュレーションを行うためには 使用する部品に対応した SPICE モデル が必要です SPICE モデルは 回路のシミュレーションを行うために必要な電子部品の振る舞い が記述されており いわば 回路シミュレーション用の部 当社 SPICE モデルを用いたいたシミュレーションシミュレーション例 この資料は 当社 日本ケミコン ( 株 ) がご提供する SPICE モデルのシミュレーション例をご紹介しています この資料は OrCAD Capture 6.( 日本語化 ) に基づいて作成しています 当社 SPICE モデルの取り扱いに関するご注意 当社 SPICE モデルは OrCAD Capture/PSpice 及び

More information

NS-Draw Ver

NS-Draw Ver 第 2 章インストール 本章では コンピュータへの NS-Draw のインストール方法について解説します 次の STEP1~3の順番で説明を進めていきます 2.1 インストール 2.2 ライセンスの確認 2.3 回路シミュレーションの実行までの確認 2.1 インストールインストーラのメニューに従って インストール作業を行ってください インストール先を c:\program Files にすると Windows

More information

EnSightのご紹介

EnSightのご紹介 オープン CAE シンポジウム 2014 汎用ポストプロセッサー EnSight の大規模データ対応 CEI ソフトウェア株式会社代表取締役吉川慈人 http://www.ceisoftware.co.jp/ 内容 大規模データで時間のかかる処理 クライアント サーバー機能 マルチスレッドによる並列処理 サーバーの分散処理 クライアントの分散処理 ( 分散レンダリング ) EnSightのOpenFOAMインターフェース

More information

ModelSim-Altera - RTL シミュレーションの方法

ModelSim-Altera - RTL シミュレーションの方法 ALTIMA Corp. ModelSim-Altera RTL シミュレーションの方法 ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. 目次 1. 2. 3. はじめに...3 RTL シミュレーションの手順...4 RTL シミュレーションの実施...5 3-1. 3-2. 新規プロジェクトの作成... 5 ファイルの作成と登録... 7 3-2-1. 新規ファイルの作成...

More information

Microsoft PowerPoint - Renesas_AdvancedPPmL(2010_11_11_rev).ppt [互換モード]

Microsoft PowerPoint - Renesas_AdvancedPPmL(2010_11_11_rev).ppt [互換モード] Agilent EEsof 3D EM Application series 高速差動伝送ライン Advaced PPmL の評価 アジレント テクノロジー第 3 営業統括部 EDA アプリケーション エンジニアリング Page 1 アプリケーション概要 高速差動伝送路の特性評価 伝送レートの高速化に伴い 分布定数の考え方による伝送線路特性の評価が重要となると共に 伝送線路の高密度伝送線路の高密度化により

More information

Library for Cadence OrCAD Capture ユーザマニュアル 2018 年 7 月 株式会社村田製作所 Ver.1.0 Copyright Murata Manufacturing Co., Ltd. All rights reserved. 10 July

Library for Cadence OrCAD Capture ユーザマニュアル 2018 年 7 月 株式会社村田製作所 Ver.1.0 Copyright Murata Manufacturing Co., Ltd. All rights reserved. 10 July Library for Cadence OrCAD Capture ユーザマニュアル 2018 年 7 月 株式会社村田製作所 Ver.1.0 10 July 2018 目次 1. 本マニュアルについて 2.( 前準備 ) ライブラリの解凍と保存 3. プロジェクトの作成 4. シミュレーションプロファイルの作成 5.LIBファイルの登録 6.OLBファイルの登録 7. コンデンサのインピーダンス計算例

More information

アナログ回路 I 参考資料 版 LTspice を用いたアナログ回路 I の再現 第 2 回目の内容 電通大 先進理工 坂本克好 [ 目的と内容について ] この文章の目的は 電気通信大学 先進理工学科におけるアナログ回路 I の第二回目の実験内容について LTspice を用

アナログ回路 I 参考資料 版 LTspice を用いたアナログ回路 I の再現 第 2 回目の内容 電通大 先進理工 坂本克好 [ 目的と内容について ] この文章の目的は 電気通信大学 先進理工学科におけるアナログ回路 I の第二回目の実験内容について LTspice を用 アナログ回路 I 参考資料 2014.04.27 版 LTspice を用いたアナログ回路 I の再現 第 2 回目の内容 電通大 先進理工 坂本克好 [ 目的と内容について ] この文章の目的は 電気通信大学 先進理工学科におけるアナログ回路 I の第二回目の実験内容について LTspice を用いて再現することである 従って LTspice の使用方法などの詳細は 各自で調査する必要があります

More information

SMANユーザーズ・マニュアル

SMANユーザーズ・マニュアル SILVACO Management Console (SMAN) ユーザーズ マニュアル日本語版 株式会社シルバコ ジャパン 244-0801 神奈川県横浜市戸塚区品濃町 549-2 三宅ビル 4F TEL : 045-820-3000 ( 代表 ) FAX : 045-820-3005 ホームページ : http://www.silvaco.co.jp 2012 年 3 月 5 日 著作権 本書に記載されている内容は予告なしに変更されることがあります

More information

タッチパネル式表示ユニットの装着や システム組み込みにも対応した次世代データ収録器 誕生 各種ユニットを着脱可能 アンプユニットは最大10ユニットまで混在装着可能 1 最大で10台のアンプユニットが取付けでき 本体ユニット1台で最大112chの多チャネル測定が可能です 表示ユニットは本体ユニットへの

タッチパネル式表示ユニットの装着や システム組み込みにも対応した次世代データ収録器 誕生 各種ユニットを着脱可能 アンプユニットは最大10ユニットまで混在装着可能 1 最大で10台のアンプユニットが取付けでき 本体ユニット1台で最大112chの多チャネル測定が可能です 表示ユニットは本体ユニットへの www.graphtec.co.jp タッチパネル式表示ユニットの装着や システム組み込みにも対応した次世代データ収録器 誕生 各種ユニットを着脱可能 アンプユニットは最大10ユニットまで混在装着可能 1 最大で10台のアンプユニットが取付けでき 本体ユニット1台で最大112chの多チャネル測定が可能です 表示ユニットは本体ユニットへの装着や 本体ユニットと離して装置等へ組み込む事が可能です また

More information

製品開発の現場では 各種のセンサーや測定環境を利用したデータ解析が行われ シミュレーションや動作検証等に役立てられています しかし 日々収集されるデータ量は増加し 解析も複雑化しており データ解析の負荷は徐々に重くなっています 例えば自動車の車両計測データを解析する場合 取得したデータをそのまま解析

製品開発の現場では 各種のセンサーや測定環境を利用したデータ解析が行われ シミュレーションや動作検証等に役立てられています しかし 日々収集されるデータ量は増加し 解析も複雑化しており データ解析の負荷は徐々に重くなっています 例えば自動車の車両計測データを解析する場合 取得したデータをそのまま解析 ホワイトペーパー Excel と MATLAB の連携がデータ解析の課題を解決 製品開発の現場では 各種のセンサーや測定環境を利用したデータ解析が行われ シミュレーションや動作検証等に役立てられています しかし 日々収集されるデータ量は増加し 解析も複雑化しており データ解析の負荷は徐々に重くなっています 例えば自動車の車両計測データを解析する場合 取得したデータをそのまま解析に使用することはできず

More information

ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力 冶具 ケーブル等の影響のない

ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力 冶具 ケーブル等の影響のない Keysight Technologies を使用した De-Embedding 2016.4.27 キーサイト テクノロジー計測お客様窓口 ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力

More information

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用]

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用] ばらつきの計測と解析技術 7 年 月 日設計基盤開発部先端回路技術グループ中西甚吾 内容. はじめに. DMA(Device Matrix Array)-TEG. チップ間 チップ内ばらつきの比較. ばらつきの成分分離. 各ばらつき成分の解析. まとめ . はじめに 背景 スケーリングにともない さまざまなばらつきの現象が顕著化しており この先ますます設計困難化が予想される EDA ツール 回路方式

More information

Quartus II クイック・スタートガイド

Quartus II クイック・スタートガイド ALTIMA Corp. Quartus II クイック スタートガイド ver.3.0 2010 年 8 月 ELSENA,Inc. 目次 1. はじめに... 3 2. Quartus II の基本操作フロー... 3 3. Quartus II の基本操作... 4 ステップ 1. プロジェクトの作成... 4 ステップ 2. デザインの作成... 4 ステップ 3. ファンクション シミュレーション...

More information

モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサ

モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサ モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサンルーフなどのボディー系 電動パワーステアリングやそのアシスト機能など 高度な制御 大電流の制御などが要求されています

More information

PGRelief C/C++ 強化ポイント説明書

PGRelief C/C++ 強化ポイント説明書 PGRelief C/C++ 強化ポイント説明書 1. 最新バージョンの強化ポイント (2017autumn 2018) 1) CERT Cコーディングスタンダードの適合性チェックを追加 CERTオプションの購入が必要 2) 指摘メッセージを16 個追加 ( うち15 個はCERTオプション用 ) 3) Visual C++ 2015 の資産に対応 2. 過去バージョンの強化ポイント 2.1. 強化ポイント

More information

HL SI & HL FWS Script Control

HL SI & HL FWS Script Control IBIS-LPB Design Kit LPB フォーマットを活用した構想設計自動化 ( 株 ) 東芝 青木孝哲 ( 株 ) 東芝 岡野資睦 メンターグラフィックス ジャパン ( 株 ) 門田和博 Page1 概要 LPB フォーマットと LPB Design Kit を用いて PCB 構想設計を行う HyperLynx SI を用いて IBIS モデル コンデンサ SPICE モデルを Import

More information

ビッグデータ分析を高速化する 分散処理技術を開発 日本電気株式会社

ビッグデータ分析を高速化する 分散処理技術を開発 日本電気株式会社 ビッグデータ分析を高速化する 分散処理技術を開発 日本電気株式会社 概要 NEC は ビッグデータの分析を高速化する分散処理技術を開発しました 本技術により レコメンド 価格予測 需要予測などに必要な機械学習処理を従来の 10 倍以上高速に行い 分析結果の迅速な活用に貢献します ビッグデータの分散処理で一般的なオープンソース Hadoop を利用 これにより レコメンド 価格予測 需要予測などの分析において

More information

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

Report Template

Report Template 日本語マニュアル 第 21 章 シミュレーション ユーザーガイド ( 本 日本語マニュアルは 日本語による理解のため一助として提供しています その作成にあたっては各トピックについて それぞれ可能な限り正確を期しておりますが 必ずしも網羅的ではなく 或いは最新でない可能性があります また 意図せずオリジナル英語版オンラインヘルプやリリースノートなどと不一致がある場合もあり得ます 疑義が生じた場合は ラティスセミコンダクター正規代理店の技術サポート担当にお問い合わせ頂くか

More information

レベルシフト回路の作成

レベルシフト回路の作成 レベルシフト回路の解析 群馬大学工学部電気電子工学科通信処理システム工学第二研究室 96305033 黒岩伸幸 指導教官小林春夫助教授 1 ー発表内容ー 1. 研究の目的 2. レベルシフト回路の原理 3. レベルシフト回路の動作条件 4. レベルシフト回路のダイナミクスの解析 5. まとめ 2 1. 研究の目的 3 研究の目的 信号レベルを変換するレベルシフト回路の設計法を確立する このために 次の事を行う

More information

インテル(R) Visual Fortran コンパイラ 10.0

インテル(R) Visual Fortran コンパイラ 10.0 インテル (R) Visual Fortran コンパイラー 10.0 日本語版スペシャル エディション 入門ガイド 目次 概要インテル (R) Visual Fortran コンパイラーの設定はじめに検証用ソースファイル適切なインストールの確認コンパイラーの起動 ( コマンドライン ) コンパイル ( 最適化オプションなし ) 実行 / プログラムの検証コンパイル ( 最適化オプションあり ) 実行

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション vsmp Foundation スケーラブル SMP システム スケーラブル SMP システム 製品コンセプト 2U サイズの 8 ソケット SMP サーバ コンパクトな筐体に多くのコアとメモリを実装し SMP システムとして利用可能 スイッチなし構成でのシステム構築によりラックスペースを無駄にしない構成 将来的な拡張性を保証 8 ソケット以上への拡張も可能 2 システム構成例 ベースシステム 2U

More information

CLUSTERPRO MC ProcessSaver 1.2 for Windows 導入ガイド 第 4 版 2014 年 3 月 日本電気株式会社

CLUSTERPRO MC ProcessSaver 1.2 for Windows 導入ガイド 第 4 版 2014 年 3 月 日本電気株式会社 CLUSTERPRO MC ProcessSaver 1.2 for Windows 導入ガイド 第 4 版 2014 年 3 月 日本電気株式会社 目次 はじめに 本製品のねらい こんな障害が発生したら 導入効果 適用例 1 適用例 2 ProcessSaver 機能紹介 ProcessSaver とは? 消滅監視の概要 運用管理製品との連携 システム要件 製品価格 保守 / サービス関連情報 購入時のご注意

More information

ジョブ管理ソフトウェア LoadStar Scheduler ご紹介資料 ~ システム運用品質の向上とコスト削減を実現 ~

ジョブ管理ソフトウェア LoadStar Scheduler ご紹介資料 ~ システム運用品質の向上とコスト削減を実現 ~ ジョブ管理ソフトウェア LoadStar Scheduler ご紹介資料 ~ システム運用品質の向上とコスト削減を実現 ~ はじめに LoadStar Scheduler は システム運用管理者による視点でソフトバンクによって自社開発された運用ジョブ管理ソフトウェアで ソフトバンク社内のシステム運用管理において既に 4 年間の実績があり 業務効率化やコスト削減に大きな成果を挙げている製品です 2 LoadStar

More information

Oracle Un お問合せ : Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよ

Oracle Un お問合せ : Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよ Oracle Un お問合せ : 0120- Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよびSOA 対応データ サービスへ ) を網羅する総合的なデータ統合プラットフォームです Oracle

More information

インターリーブADCでのタイミングスキュー影響のデジタル補正技術

インターリーブADCでのタイミングスキュー影響のデジタル補正技術 1 インターリーブADCでのタイミングスキュー影響のデジタル補正技術 浅見幸司 黒沢烈士 立岩武徳 宮島広行 小林春夫 ( 株 ) アドバンテスト 群馬大学 2 目次 1. 研究背景 目的 2. インターリーブADCの原理 3. チャネル間ミスマッチの影響 3.1. オフセットミスマッチの影響 3.2. ゲインミスマッチの影響 3.3. タイミングスキューの影響 4. 提案手法 4.1. インターリーブタイミングミスマッチ補正フィルタ

More information

Microsoft PowerPoint - PCIe_Seminar_LeCroyJapan.ppt

Microsoft PowerPoint - PCIe_Seminar_LeCroyJapan.ppt PCI Express の物理層 信号品質評価ソリューション レクロイ ジャパン株式会社プロダクト マーケティング辻嘉樹 http://www.lecroy.com/japan/ 目次 PCI Expressの仕様 PCI Expressの物理層の特徴 PCI Express 測定の諸条件 PCI Expressのコンプライアンス試験 補足 1 目次 PCI Expressの仕様 PCI Expressの物理層の特徴

More information

VelilogHDL 回路を「言語」で記述する

VelilogHDL 回路を「言語」で記述する 2. ソースを書く 数値表現 数値表現形式 : ss'fnn...n ss は, 定数のビット幅を 10 進数で表します f は, 基数を表します b が 2 進,o が 8 進,d が 10 進,h が 16 進 nn...n は, 定数値を表します 各基数で許される値を書くこ Verilog ビット幅 基数 2 進表現 1'b0 1 2 進 0 4'b0100 4 2 進 0100 4'd4 4

More information

スライド 1

スライド 1 パワーインダクタ および高誘電率系チップ積層セラミックコンデンサの動的モデルについて 1 v1.01 2015/6 24 August 2015 パワーインダクタの動的モデルについて 2 24 August 2015 24 August 2015 動的モデルの必要性 Q. なぜ動的モデルが必要なのか? A. 静的モデルでは リアルタイムに変化するインダクタンスを反映したシミュレーション結果が得られないから

More information

PLL アン ドゥ トロア 3 部作の構成 1. PLL( 位相ロック ループ ) 回路の基本と各部動作 2. 設計ツール ADIsimPLL(ADIsimCLK) を用いた PLL 回路構成方法 3. PLL( 位相ロック ループ ) 回路でのトラブルとその解決技法 2

PLL アン ドゥ トロア 3 部作の構成 1. PLL( 位相ロック ループ ) 回路の基本と各部動作 2. 設計ツール ADIsimPLL(ADIsimCLK) を用いた PLL 回路構成方法 3. PLL( 位相ロック ループ ) 回路でのトラブルとその解決技法 2 The World Leader in High Performance Signal Processing Solutions PLL アン ドゥ トロア ( その 1) PLL( 位相ロック ループ ) 回路の基本と各部動作 アナログ デバイセズ株式会社石井聡 PLL アン ドゥ トロア 3 部作の構成 1. PLL( 位相ロック ループ ) 回路の基本と各部動作 2. 設計ツール ADIsimPLL(ADIsimCLK)

More information

untitled

untitled 1 CMOS 0.35um CMOS, 3V CMOS 2 RF CMOS RF CMOS RF CMOS RFCMOS (ADC Fabless 3 RF CMOS 1990 Abidi (UCLA): Fabless RF CMOS CMOS 90% 4 5 f T [GHz] 450 400 350 300 250 200 150 Technology loadmap L[nm] f T [GHz]

More information

Presentation Title

Presentation Title コード生成製品の普及と最新の技術動向 MathWorks Japan パイロットエンジニアリング部 東達也 2014 The MathWorks, Inc. 1 MBD 概要 MATLABおよびSimulinkを使用したモデルベース デザイン ( モデルベース開発 ) 紹介ビデオ 2 MBD による制御開発フローとコード生成製品の活用 制御設計の最適化で性能改善 設計図ですぐに挙動確認 MILS:

More information

(Microsoft Word - DDR\203\215\203W\203A\203i\215\\\220\254-ver0619.doc)

(Microsoft Word - DDR\203\215\203W\203A\203i\215\\\220\254-ver0619.doc) DDR1/DDR2 ロジックアナライザ ソリューション構成ガイド Ver June/19/2006 機能と特徴 : Agilent 16900ロジックアナライザを使用して DDR1 および DDR2 システムのロジックロジック検証検証を行います 実際にシステムを組み上げた時に想定通りに動作しない場合 信号間のタイミングやコマンドの確認をします ロジックアナライザのEyeScan 機能を用いると信号品質を素早く把握することも出来ます

More information

FFT

FFT ACTRAN for NASTRAN Product Overview Copyright Free Field Technologies ACTRAN Modules ACTRAN for NASTRAN ACTRAN DGM ACTRAN Vibro-Acoustics ACTRAN Aero-Acoustics ACTRAN TM ACTRAN Acoustics ACTRAN VI 2 Copyright

More information

Microsoft PowerPoint - 2-4_matsunaga

Microsoft PowerPoint - 2-4_matsunaga ソフトエラー対策用 EDA ツールの開発 九州大学大学院システム情報科学研究院松永裕介 設計ツールとフローの構築 安浦チーム対象範囲 ディペンダビリティアナライザ アーキテクチャ設計 RTL 設計 論理設計 ディペンダビリティエンハンサ ディペンダビリティアナライザ ディペンダビリティエンハンサディペンダビリティアナライザ ディペンダビリティエンハンサ 評価 解析 評価指標 設計変更 評価 解析 評価指標

More information

IBIS

IBIS IBISBuilder IBISIndicator R1.2 リリースノート Dec. 2009 IBISBuilder IBISIndicator 1 IBISBuilder IBISIndicator は サイバネットシステム株式会社の登録商標です その他 本書に記載の会社名 商品名は当該各社に帰属する商標または登録商標です 発行者 : サイバネットシステム株式会社 東京本社 : 101-0022

More information

1. A/D 入力について分解能 12bit の A/D コンバータ入力です A/D 入力電圧とディジタル値との対応は理論上 入力電圧 0V : 0 入力電圧 +3V : 4095 です 実際はオフセットと傾きがあり ぴったりこの数値にはなりません 2. A/D 入力に使用する信号 STM32L_A

1. A/D 入力について分解能 12bit の A/D コンバータ入力です A/D 入力電圧とディジタル値との対応は理論上 入力電圧 0V : 0 入力電圧 +3V : 4095 です 実際はオフセットと傾きがあり ぴったりこの数値にはなりません 2. A/D 入力に使用する信号 STM32L_A STM32L_ADC の説明 V003 2014/03/30 STM32L-Discovery の A/D 入力を行うプログラムです A/D CH0 ~ A/D CH3 の 4 本の入力が可能です 提供する PC のアプリケーション Access_SerialPort を使用して UART( 非同期シリアル通信 ) により A/D 入力の表示を行うことができます 無料の開発ツール Atollic TrueSTUDIO

More information

サーバ/クライアント オプション

サーバ/クライアント オプション 1/11 仕様書 2300 遠隔計測監視システムシリーズ 仕様書形名 :9768 : 9768-01 品名 : サーバ / クライアントオプション 9768 Smart Site Utility Pro の機能を拡張するソフトウェア ( 日本語版 ) 形名および品名 形名 : 9768-01 品名 : サーバ / クライアントオプション 製品構成 下記内容が含まれる CD-R 1 枚 サーバオプション

More information

Oracle Cloud Adapter for Oracle RightNow Cloud Service

Oracle Cloud Adapter for Oracle RightNow Cloud Service Oracle Cloud Adapter for Oracle RightNow Cloud Service Oracle Cloud Adapter for Oracle RightNow Cloud Service を使用すると RightNow Cloud Service をシームレスに接続および統合できるため Service Cloud プラットフォームを拡張して信頼性のある優れたカスタマ

More information

TDK Equivalent Circuit Model Library

TDK Equivalent Circuit Model Library TDK SPICE Netlist Library を OrCAD Capture,PSpice で使用する方法 TDK 株式会社アプリケーションセンター江畑克史 Oct. 01, 2008 AN-NL08B002_ja はじめに TDK では, 各種受動電子部品の SPICE モデル集 TDK SPICE Netlist Library を公開しております. TDK SPICE Netlist Library

More information

VXPRO R1400® ご提案資料

VXPRO R1400® ご提案資料 Intel Core i7 プロセッサ 920 Preliminary Performance Report ノード性能評価 ノード性能の評価 NAS Parallel Benchmark Class B OpenMP 版での性能評価 実行スレッド数を 4 で固定 ( デュアルソケットでは各プロセッサに 2 スレッド ) 全て 2.66GHz のコアとなるため コアあたりのピーク性能は同じ 評価システム

More information

ヤマハDante機器と他社AES67機器の接続ガイド

ヤマハDante機器と他社AES67機器の接続ガイド はじめに AES67 は 高性能なデジタル IP ネットワークの相互接続を実現するための標準規格です AES67 は や Ravenna Q-LAN Livewire WheatNet などの異なるネットワーク規格で構築されたシステム間で オーディオ信号を送受信する手段を提供します ヤマハも 機器のアップデートにより順次 AES67 への対応を開始し 第一弾としてデジタルミキシングコンソール CL/QL

More information

基本的なノイズ発生メカニズムとその対策 電源 GND バウンス CMOS デジタル回路におけるスイッチング動作に伴い 駆動 MOS トランジスタのソース / ドレインに過渡的な充放電電流 及び貫通電流が生じます これが電源 GND に流れ込む際 配線の抵抗成分 及びインダクタンス成分によって電源電圧

基本的なノイズ発生メカニズムとその対策 電源 GND バウンス CMOS デジタル回路におけるスイッチング動作に伴い 駆動 MOS トランジスタのソース / ドレインに過渡的な充放電電流 及び貫通電流が生じます これが電源 GND に流れ込む際 配線の抵抗成分 及びインダクタンス成分によって電源電圧 デジアナ混載 IC ミックスド シグナル IC 設計の留意点 2005 年 5 月初版 2010 年 10 月改訂作成 : アナロジスト社森本浩之 まえがきデジタル アナログ混載 IC の回路本来の実力を引き出すためにはアナログ回路とデジタ ル回路の不要な干渉を抑える必要があり ノウハウを要します ですが十分な理解と注意の元で設 計を行えばさほど混載を恐れる必要もありません 用語 IP: Intellectual

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 4.2 小信号パラメータ 1 電圧利得をどのように求めるか 電圧ー電流変換 入力信号の変化 dv BE I I e 1 v be の振幅から i b を求めるのは難しい? 電流増幅 電流ー電圧変換 di B di C h FE 電流と電圧の関係が指数関数になっているのが問題 (-RC), ただし RL がない場合 dv CE 出力信号の変化 2 pn 接合の非線形性への対処 I B 直流バイアスに対する抵抗

More information

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx MATLAB/Simulink を使用したモータ制御アプリのモデルベース開発事例 ルネサスエレクトロニクス株式会社 第二ソリューション事業本部産業第一事業部家電ソリューション部 Rev. 1.00 2014 Renesas Electronics Corporation. All rights reserved. IAAS-AA-14-0202-1 目次 1. はじめに 1.1 モデルベース開発とは?

More information

Total View Debugger 利用の手引 東京工業大学学術国際情報センター version 1.0

Total View Debugger 利用の手引 東京工業大学学術国際情報センター version 1.0 Total View Debugger 利用の手引 東京工業大学学術国際情報センター 2015.04 version 1.0 目次 Total View Debugger 利用の手引き 1 1. はじめに 1 1.1 利用できるバージョン 1 1.2 概要 1 1.3 マニュアル 1 2. TSUBAME での利用方法 2 2.1 Total View Debugger の起動 2 (1) TSUBAMEにログイン

More information

CANapeを用いたラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発

CANapeを用いたラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発 ape を用いたラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発 近年 自動車のソフトウェア開発において 開発期間の短縮やコスト削減の面からモデルベース開発が注目されています アイシン エィ ダブリュ株式会社は ラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発にベクターの測定 / キャリブレーションツール ape ( キャナピー ) を導入しました 本稿では

More information

Microsoft PowerPoint LC_15.ppt

Microsoft PowerPoint LC_15.ppt ( 第 15 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 言語を使った設計 (2) 2.1 HDL 設計入門 2.2 FPGA ボードの設計デモ配布資料 VHDL の言語構造と基本文法 2.1 HDL 設計入門 EDAツール : メンター社製品が有名 FPGAベンダーのSW 1 1 仕様設計 にも簡易機能あり 2 3 2 HDLコード記述 3 論理シミュレーション 4 4 論理合成

More information

アクティブフィルタ テスト容易化設計

アクティブフィルタ テスト容易化設計 発振を利用したアナログフィルタの テスト 調整 群馬大学工学部電気電子工学科高橋洋介林海軍小林春夫小室貴紀高井伸和 発表内容. 研究背景と目的. 提案回路 3. 題材に利用したアクティブフィルタ 4. 提案する発振によるテスト方法 AG( 自動利得制御 ) バンドパス出力の帰還による発振 3ローパス出力の帰還による発振 4ハイパス出力の帰還による発振. 結果 6. まとめ 発表内容. 研究背景と目的.

More information

Microsoft Word - 02__⁄T_ŒÚ”�.doc

Microsoft Word - 02__⁄T_ŒÚ”�.doc 目 次 はじめに 目次 1. 目的 1 2. 適用範囲 1 3. 参照文書 1 4. 定義 2 5. 略語 6 6. 構成 7 7. 共通事項 8 7.1 適用範囲 8 7.2 送信ネットワーク 8 7.2.1 送信ネットワークの分類 8 7.2.2 送信ネットワークの定義 10 7.3 取り扱う主な信号の形式 12 7.3.1 放送 TS 信号形式 12 7.3.2 OFDM 信号形式 14 7.4

More information

TRQerS - Introduction

TRQerS - Introduction TRQerS 導入概要 横河ディジタルコンピュータ株式会社エンベデッドソリューション事業本部サポート部 (ESC-APN-035-02 Dec,25,2015) 1 システムマクロトレースの特徴 製品構成と導入フロー 2 システムマクロトレースの特徴 システムマクロトレース printf ログ出力の発展形 (printf より高速 文字列 / タグ情報 / タスク遷移 / 関数遷移 ) ハードウェアインターフェース

More information

スライド 1

スライド 1 アクティブインダクタを用いた コモンモードノイズ低減フィルタ 北海道大学大学院情報科学研究科准教授池辺将之 研究背景 アナログ回路におけるインダクタ 高インダクタ部品は 外付けでサイズが大きい オンチップ用途では インダクタンスとQ 値が低い 開発目標 アクティブインダクタを用いた 小面積 チューナブルな有用回路の実現 ( 本提案 ) 増幅機能も有するコモンモードノイズ低減フィルタ アクティブインダクタ回路

More information

Microsoft Word - QEX_2014_feb.doc

Microsoft Word - QEX_2014_feb.doc QEX2 月掲載記事 GPS 同期の 10MHz-OCXO 1. はじめに様々な場面で周波数精度の高い 10MHz 基準信号が必要とされます たとえば ダブルオーブン式の OCXO を使用して ppb 級 (10 の -9 乗 ) の精度を実現することができます OCXO 以上の精度を要求する場合には ルビジウム発振器や GPS 同期の OCXO を使用します ルビジウム発振器や GPS 同期の OCXO

More information

Microsoft PowerPoint - GPUシンポジウム _d公開版.ppt [互換モード]

Microsoft PowerPoint - GPUシンポジウム _d公開版.ppt [互換モード] 200/0/9 数値流体解析の並列効率とその GPU による高速化の試み 清水建設 ( 株 ) 技術研究所 PHAM VAN PHUC ( ファムバンフック ) 流体計算時間短縮と GPU の活用の試み 現 CPUとの比較によりGPU 活用の可能性 現 CPU の最大利用 ノード内の最大計算資源の利用 すべてCPUコアの利用 適切なアルゴリズムの利用 CPU コア性能の何倍? GPU の利用の試み

More information

HPE Integrity NonStop NS2300 サーバー

HPE Integrity NonStop NS2300 サーバー HPE Integrity NonStop サーバー HPE Integrity NonStop NS2300 サーバー 製品の画像は 実際の製品と異なることがあります 概要 HPE Integrity NonStop NS2300 サーバーは J シリーズ OS を稼働する 番新しいエントリークラスのサーバーです このサーバーは HPE Integrity NonStop 製品ファミリーに新たに加わり

More information

回路シミュレータ/MATLABリンク~詳細回路設計におけるシステムレベル検証~

回路シミュレータ/MATLABリンク~詳細回路設計におけるシステムレベル検証~ 回路シミュレータ /MATLAB リンク ~ 詳細回路設計におけるシステムレベル検証 ~ MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア初井良治 2017 The MathWorks, Inc. 1 MathWorks と Cadence 社パートナーシップ OrCAD PSpice 回路設計アナログ デジタル混在の回路シミュレーション 回路設計者

More information

RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for

RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for ARM Lite 4.2.0 で作成した STM32F4 Discovery 基板用のプロジェクトです

More information

Microsoft Word - SPARQアプリケーションノートGating_3.docx

Microsoft Word - SPARQアプリケーションノートGating_3.docx SPARQ を使用したフィクスチャの S パラメータ抽出 TECHNICAL BRIEF 伊藤渉 Feb 3, 2014 概要 SMA や K コネクタ等ではない非同軸タイプのコネクタを使用する DUT をオシロスコープで測定するにはコネクタの変換の為にフィクスチャを使用します このフィクスチャの伝送特性を差し引き DUT のみの特性を求めたい場合 フィクスチャの伝送特性を抽出することは通常では困難です

More information

Nios II 簡易シミュレーション

Nios II 簡易シミュレーション ver. 8.1 2009 年 3 月 1. はじめに この資料は 別資料である Nios II 簡易チュートリアル を終えた後 Nios II システムのデザインを ModelSim で RTL シミュレーションを行う場合の操作マニュアルです この資料では ModelSim-Altera を使用していますが ModelSim PE ModelSim SE でも同様にシミュレーションが可能です この資料においてのシミュレーション環境は

More information

<<SPICE アナログ コードの生成 >> WaveFormerPro は タイミング ダイヤグラムをアナログ SPICE コードへエクスポートする機能を持ちます これは Waveperl スクリプトにより実現しています 信号波形は PWL(PieceWiseLinear を用いてモデル化されます

<<SPICE アナログ コードの生成 >> WaveFormerPro は タイミング ダイヤグラムをアナログ SPICE コードへエクスポートする機能を持ちます これは Waveperl スクリプトにより実現しています 信号波形は PWL(PieceWiseLinear を用いてモデル化されます アナログ波形の描画 表示と SPICE ファイルのインポート エクスポート SynaptiCAD 社の WaveFormerPro は デジタル波形のみならず アナログ波形もサポートしております このアナログ波形サポート機能により デジタル信号 アナログ信号の変換 またその逆変換なども可能となります さらに オシロスコープ ( アジレント テクノロジー社 のデータをインポートする事もできますので WaveFormerPro

More information

招待論文 フルスペック 8K スーパーハイビジョン圧縮記録装置の開発 3.3 記録制御機能と記録媒体 144 Gbps の映像信号を 1/8 に圧縮した場合 18 Gbps 程度 の転送速度が要求される さらに音声データやその他のメ タデータを同時に記録すると 記録再生には 20 Gbps 程度 の転送性能が必要となる また 記録媒体は記録装置から 着脱して持ち運ぶため 不慮の落下などにも耐性のあるこ

More information

(Microsoft Word - \216\374\224g\220\224\212g\222\243\203A\203_\203v\203^QEX.doc)

(Microsoft Word - \216\374\224g\220\224\212g\222\243\203A\203_\203v\203^QEX.doc) QEX 11 月掲載記事低価格スペアナの周波数拡張アダプタ ワンチップの GHz 帯シンセサイザ IC を応用して ローカル信号源とミキサーを一体化させた周波数拡張アダプタを試作しました RIGOL DSA815TG などの低価格スペアナで 6.5GHz までのフィルタやアンプの通過特性 スペクトルの測定を可能にします 周波数拡張アダプタの設計 製作 評価のレポートをいたします 1. ブロック図と主な仕様

More information

CLUSTERPRO MC ProcessSaver 2.3 for Windows 導入ガイド 第 5 版 2018 年 6 月 日本電気株式会社

CLUSTERPRO MC ProcessSaver 2.3 for Windows 導入ガイド 第 5 版 2018 年 6 月 日本電気株式会社 CLUSTERPRO MC ProcessSaver 2.3 for Windows 導入ガイド 第 5 版 2018 年 6 月 日本電気株式会社 目次 はじめに 本製品のねらい こんな障害が発生したら 導入効果 適用例 1 適用例 2 ProcessSaver 機能紹介 ProcessSaver とは? 消滅監視の概要 運用管理製品との連携 システム要件 製品価格 保守 / サービス関連情報 商標

More information

__________________

__________________ 第 1 回シミュレータとモデル第 3 回伝送線路シミュレータの検証 1. シミュレーション結果の検証電卓で計算をするとき みなさんは その結果を確認しますか? またどのような確認をするでしょう たとえば 108 x 39 = 5215 となった場合 5215 をそのまま答えとして書きますか? 多分 何らかの検算をして 答えはおかしいと思うでしょう もう一度 計算をしなおすか 暗算で大体の答えの予想を付けておいて

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 3.2 スイッチングの方法 1 電源の回路図表記 電源ラインの記号 GND ラインの記号 シミュレーションしない場合は 省略してよい ポイント : 実際には V CC と GND 配線が必要だが 線を描かないですっきりした表記にする 複数の電源電圧を使用する回路もあるので 電源ラインには V CC などのラベルを付ける 2 LED のスイッチング回路 LED の明るさを MCU( マイコン ) で制御する回路

More information

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+)

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+) RL78 開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行 ( 統合開発環境編 ) (High-performance Embedded Workshop CS+) 2017/4/7 R20UT2087JJ0103 ソフトウェア事業部ソフトウエア技術部ルネサスシステムデザイン株式会社 はじめに 本資料は 統合開発環境 High-performance Embedded Workshop

More information

LTspice/SwitcherCADⅢマニュアル

LTspice/SwitcherCADⅢマニュアル LTspice による 設計の効率化 1 株式会社三共社フィールド アプリケーション エンジニア 渋谷道雄 JPCA-Seminar_20190606 シミュレーション シミュレータ シミュレーションの位置づけ まずは 例題で動作確認 実際のリップル波形と比較してみる シミュレーションへの心構え オシロスコープ / プロービングの取り扱い 参考図書の紹介 シミュレータは 汎用の SPICE モデルが利用できる

More information

GUI Graphical User interface ジェンウェアスリー 情報家電から産業機器まで あらゆる分野のGUI開発で 驚異的な効率UPを実現する新世代ソリューション GUI開発工数 ソフトウェア構成 GUI統合開発環境 GENWARE3は GUIエディタ と GUIライブラリ で構成されています (ジェンウェアスリー) GUIライブラリ(ミドルウェア)( ) GUIエディタ (ジェンスケッチスリー)

More information

PowerPoint Presentation

PowerPoint Presentation Magic xpaアプリケーション用 実行 運用監視ツール MagicPatrol のご紹介 マジックソフトウェア ジャパン株式会社 http://www.magicsoftware.com/ja Oct. 2018 Magic アプリケーション開発 実行環境の支援ツール群 複雑な帳票作成もこれで容易に 0.01mm 単位調整 豊富な作図機能 豊富なバーコード 複数レイヤ対応 スキャナ読込位置調整

More information

(Microsoft Word - PLL\203f\203\202\216\221\227\277-2-\203T\203\223\203v\203\213.doc)

(Microsoft Word - PLL\203f\203\202\216\221\227\277-2-\203T\203\223\203v\203\213.doc) ディジタル PLL 理論と実践 有限会社 SP システム 目次 - 目次 1. はじめに...3 2. アナログ PLL...4 2.1 PLL の系...4 2.1.1 位相比較器...4 2.1.2 ループフィルタ...4 2.1.3 電圧制御発振器 (VCO)...4 2.1.4 分周器...5 2.2 ループフィルタ抜きの PLL 伝達関数...5 2.3 ループフィルタ...6 2.3.1

More information

6-2- 応ネットワークセキュリティに関する知識 1 独立行政法人情報処理推進機構

6-2- 応ネットワークセキュリティに関する知識 1 独立行政法人情報処理推進機構 6-2- 応ネットワークセキュリティに関する知識 1 6-2. ネットワークセキュリティに関する知識 OSS 動作環境におけるセキュリティリスク それに対応するセキュリ ティ要件とその機能 構成に関して 実際の開発 運用の際に必要な Ⅰ. 概要 管理知識 手法の種類と特徴 内容を理解する 特に Linux サーバ による実務の手順に即して ネットワークセキュリティを確保するため の手順を学ぶ Ⅱ.

More information

デジタル回路入門

デジタル回路入門 Open-It FPGA トレーニングコース ( 初級編 ) 第 9 版 2. 組み合わせ回路入門 2.2. 実習 Verilog-HDL 記述 2013 年 5 月 10 日修正 デジタル回路の構成要素 O=A&B; O=~I; INV O=A B; 全てのデジタル回路はこの 4 つの要素 ( 回路 ) のみで構成されている 4 要素の HDL 記述を知っていれば最低限の知識としては十分 2 HDL:

More information

PowerPoint Presentation

PowerPoint Presentation 製品ソフトウェアのセットアップ手順 UNIX/Linux 編 1. セットアップファイルの選択開発環境 / 実行環境 / バージョン /Hotfix/ インストール先 OS 2. 対象セットアップファイルのダウンロード開発環境の場合は 2 つのファイルが対象 3. ソフトウェア要件の確認 4. ソフトウェアのインストール 5. ライセンスの認証 1 1. セットアップファイルの選択 選択項目選択肢該当チェック

More information

IBIS Quality Framework IBIS モデル品質向上のための枠組み

IBIS Quality Framework IBIS モデル品質向上のための枠組み Quality Framework モデル品質向上のための枠組み EDA 標準 WG 1 目次 - 目次 - 1. 活動の背景 2. Quality Framework 3. ウェブサイトのご紹介 4. Frameworkの活用方法 2 目次 - 目次 - 1. 活動の背景 2. Quality Framework 3. ウェブサイトのご紹介 4. Frameworkの活用方法 3 1. 活動の背景

More information

SimscapeプラントモデルのFPGAアクセラレーション

SimscapeプラントモデルのFPGAアクセラレーション Simscape TM プラントモデルの FPGA アクセラレーション MathWorks Japan アプリケーションエンジニアリング部 松本充史 2018 The MathWorks, Inc. 1 アジェンダ ユーザ事例 HILS とは? Simscape の電気系ライブラリ Simscape モデルを FPGA 実装する 2 つのアプローチ Simscape HDL Workflow Advisor

More information

電子回路I_6.ppt

電子回路I_6.ppt 電子回路 Ⅰ 第 6 回 電子回路 Ⅰ 7 講義内容. 半導体素子 ( ダイオードとトランジスタ ). 基本回路 3. 増幅回路 バイポーラトランジスタの パラメータと小信号等価回路 二端子対回路 パラメータ 小信号等価回路 FET(MOFET) の基本増幅回路と等価回路 MOFET の基本増幅回路 MOFET の小信号等価回路 電子回路 Ⅰ 7 増幅回路の入出力インピーダンス 増幅度 ( 利得 )

More information

appli_HPhi_install

appli_HPhi_install 2018/3/7 HΦ version 3.0.0 インストール手順書 (Linux 64 ビット版 ) 目次 1. アプリケーション概要...- 1-2. システム環境...- 1-3. 必要なツール ライブラリのインストール...- 1-1 cmake...- 2-2 numpy...- 3-4. アプリケーションのインストール...- 4-5. 動作確認の実施...- 5 - 本手順書は HΦ

More information

スライド 1

スライド 1 高速基板のパワー インテグリティ ~ シミュレーションによる取り組み ~ Seminar ID(D2-A-3) presented by: EDA テクニカルサポート コンサルティング明石芳雄 Agenda はじめに Power Integrity (PI) による問題とシミュレーション 電磁界解析の検証 PIによる電源ノイズと信号波形への影響 電磁界解析の高速化と時間領域解析 まとめ ディジタル信号伝送のトレンド

More information

スライド 1

スライド 1 動作検証レポート :HP IceWall SSO + VMware 日本 HP テクノロジーコンサルティング統括本部ソリューションアーキテクト 久保俊彦 1 Copyright 20102011 Hewlett-Packard Development Company, L.P. 目次 はじめに 1. HP IceWall SSOとVMwareのユースケース 1.1 基本構成の統合イメージ 1.2 応用構成の統合イメージ

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Foundation アプライアンス スケーラブルシステムズ株式会社 サーバ クラスタの課題 複数のシステムを一つの だけで容易に管理することは出来ないだろうか? アプリケーションがより多くのメモリを必要とするのだけど ハードウエアの増設なしで対応出来ないだろうか? 現在の利用環境のまま 利用できるコア数やメモリサイズの増強を図ることは出来ないだろうか? 短時間で導入可能で また 必要に応じて 柔軟にシステム構成の変更が可能なソリューションは無いだろうか?...

More information

OSSTechプレゼンテーション

OSSTechプレゼンテーション Ver.3 ~ クラウド時代の ID 連携を支援する ~ オープンソース ソリューション テクノロジ株式会社 http://www.osstech.co.jp/ Copyright 2016 Open Source Solution Technology, Corp. 1 クラウド時代の ID 管理 1. 管理対象の分散化 オンプレミスとクラウドサービスの混在 システムごとの ID 管理 2. 3.

More information

Python によるジオプロセシング スクリプト入門

Python によるジオプロセシング スクリプト入門 アイコンの説明... 8 第 1 章イントロダクション... 9 本コースの目的... 11 講習内容... 11 講習資料... 12 イントロダクション... 12 ArcGIS... 13 Web サイト... 13 開発者向けプログラム / サービス... 14 演習 1: 演習環境の構築... 15 ステップ 1: Windows へのログオン ( オプション )... 15 ステップ 2:

More information

スライド 1

スライド 1 電子回路研究会 24 年 月 9 日 マルチビットデルタシグマ型 タイムデジタイザ回路の FPGA 実現 測定検証 中條剛志 平林大樹 荒船拓也 佐藤幸志 2 小林春夫 : 群馬大学 2: 光サイエンス Suppored by STARC Gunma niversiy Kobayashi Lab アウトライン 研究背景 シングルビットΔΣTDC マルチビットΔΣTDC 測定 評価 まとめ 今後の課題

More information

Fortran 勉強会 第 5 回 辻野智紀

Fortran 勉強会 第 5 回 辻野智紀 Fortran 勉強会 第 5 回 辻野智紀 今回のお品書き サブルーチンの分割コンパイル ライブラリ 静的ライブラリ 動的ライブラリ モジュール その前に 以下の URL から STPK ライブラリをインストールしておいて下さい. http://www.gfd-dennou.org/library/davis/stpk 前回参加された方はインストール済みのはず. サブルーチンの分割コンパイル サブルーチンの独立化

More information

目次 商標について.1 表記内容について.1 1 エディタ.2 1.1bluefish.2 1.2texi2html.2 2 科学.3 2.1chemtool.3 2.2Rasmol.3 2.3celestia.3 3 画像.4 3.1Blender.4 3.2tgif.4 4 数学.5 4.1ge

目次 商標について.1 表記内容について.1 1 エディタ.2 1.1bluefish.2 1.2texi2html.2 2 科学.3 2.1chemtool.3 2.2Rasmol.3 2.3celestia.3 3 画像.4 3.1Blender.4 3.2tgif.4 4 数学.5 4.1ge USB-KNOPPIX 追加可能 アプリケーション一覧 2009 年 07 月株式会社アルファシステムズ 目次 商標について.1 表記内容について.1 1 エディタ.2 1.1bluefish.2 1.2texi2html.2 2 科学.3 2.1chemtool.3 2.2Rasmol.3 2.3celestia.3 3 画像.4 3.1Blender.4 3.2tgif.4 4 数学.5 4.1geg.5

More information

1. 使用する信号 1.1. UART 信号 UART 通信に使用する信号と接続相手との接続は以下の通りです UART 信号表 番号 CPU 機能名 CPU 信号名 基板コネクタピン番号 方向 接続相手の信号名 1 USART1_TX PA9 CN > RxD 2 USART1_R

1. 使用する信号 1.1. UART 信号 UART 通信に使用する信号と接続相手との接続は以下の通りです UART 信号表 番号 CPU 機能名 CPU 信号名 基板コネクタピン番号 方向 接続相手の信号名 1 USART1_TX PA9 CN > RxD 2 USART1_R TrueSTUDIO 用 L152CD_UART1 の説明 V001 2014/10/22 UART( 非同期シリアル通信 ) で送受信を行う STM32L152C-DISCO のプロジェクトサンプルです STM32L152C-DISCO は STMicroelectronics 社製の Cortex-M3 ARM CPU である STM32L152RCT6 を搭載した基板です 試用版の開発ツール

More information

Microsoft PowerPoint - ADS2009_SI._Intro_U.ppt

Microsoft PowerPoint - ADS2009_SI._Intro_U.ppt Advanced Design System 2009 デジタルアプリケーション向け EDA ソリューションのご紹介 1 こんなことで お困りではないですか 利用していた IC が製造中止ピンコンパチ代替 IC を利用急に動かなくなった 部品調達コストの関係で DDR メモリから DDR2 メモリへ切り替え高速なメモリバス設計は初めて自信が持てない データ伝送 PHY を PCI Express に決定さて

More information

Quartus II クイック・スタート・ガイド

Quartus II クイック・スタート・ガイド ver.2.0 2010 年 1 月 1. はじめに 弊社では Quartus II をはじめて使用する方を対象に Quartus II はじめてガイド と題した簡易操作マニュアルを提供しています この資料では Quartus II の基本的な作業フローをご案内すると共に 各オペレーションではどの資料を参考にするのが適当かをご紹介しています 2. Quartus II の基本操作フロー 以下の図は

More information

図 2.Cat2 ケーブルの減衰特性 通常伝送線路の減衰特性は 1-1) 式のように 3つのパラメータで近似されます DC 抵抗表皮効果誘電損失 A + f*b + f*c 1-1) ところが仕様書の特性を見ると0~825MHz までは-5dB でフラット 5.1GHz までは直線的な減衰になってい

図 2.Cat2 ケーブルの減衰特性 通常伝送線路の減衰特性は 1-1) 式のように 3つのパラメータで近似されます DC 抵抗表皮効果誘電損失 A + f*b + f*c 1-1) ところが仕様書の特性を見ると0~825MHz までは-5dB でフラット 5.1GHz までは直線的な減衰になってい LTSPICE による HDMI コンプライアンステストシミュレーション シグナル工房 : www.signalkhobho.com 野田敦人 LTSPICE はリニアテクノロジー社のノード制限のないフリーの SPICE 解析ツールです これまで LTSPICE でサポートされている伝送線路モデルは無損失の TLINE か一定損失の LTLINE であるため 広帯域の周波数特性が必要なタイムドメインのアイパターンシミュレーションには使われてきませんでした

More information

Microsoft PowerPoint - Session4古賀様.ppt

Microsoft PowerPoint - Session4古賀様.ppt Windows Embedded CE の テスト環境と活用 マイクロソフト Windows Embedded Partner ( 株 ) サムシングプレシャス代表取締役社長古賀信哉 普段の開発の立ち位置 はじめに ミドルウェア層の開発が主 (DLNA 関連など ) 必要に応じてデバイスドライバ ( カーネルモジュール ) 概要 特定ターゲット向けの BSP も 開発環境及び開発環境を用いた動作確認

More information

86100C license installation

86100C license installation 86100C オプション 400 簡易取り扱い説明 以下のオプションで 14 日間のお試しライセンスがご利用頂けるようになりましたこの資料はオプションの 400 簡易取り扱い説明書です 86100C-200 拡張ジッタ解析ソフトウェアご利用に際しては 86100C-001 拡張トリガ機能が必要です 86100C-201 アドバンスド波形解析ご利用に際しては 86100C-001 拡張トリガ機能が必要です

More information

目次 1 I2Cとは 13 結線写真 2 センサの多くがI2Cに対応 14 WHO_AM_I 3 マイコンでのI2C通信例 15 I2C読込みプログラム 4 とは 16 I2C読込みスクリプト概要① 5 タイミングパラメータ 17 I2C読込みスクリプト概要② 6 書込み 18 センサ読込みプログラ

目次 1 I2Cとは 13 結線写真 2 センサの多くがI2Cに対応 14 WHO_AM_I 3 マイコンでのI2C通信例 15 I2C読込みプログラム 4 とは 16 I2C読込みスクリプト概要① 5 タイミングパラメータ 17 I2C読込みスクリプト概要② 6 書込み 18 センサ読込みプログラ 第5回 Arduino入門 I2C通信編 プレゼン by いっちー 目次 1 I2Cとは 13 結線写真 2 センサの多くがI2Cに対応 14 WHO_AM_I 3 マイコンでのI2C通信例 15 I2C読込みプログラム 4 とは 16 I2C読込みスクリプト概要① 5 タイミングパラメータ 17 I2C読込みスクリプト概要② 6 書込み 18 センサ読込みプログラム 7 読込み 19 センサ読込み概要①

More information