2005 D Pascal CASL ( ) Pascal C 3. A A Pascal TA TA TA

Size: px
Start display at page:

Download "2005 D Pascal CASL ( ) Pascal C 3. A A Pascal TA TA TA"

Transcription

1 2005 D Pascal CASL ( ) Pascal C 3. A A Pascal TA TA TA sdate@ist.osaka-u.ac.jp nakamoto@image.med.osaka-u.ac.jp h-kido@ist.osaka-u.ac.jp m-nakata@ist.osaka-u.ac.jp fuhongye@ist.osaka-u.ac.jp s-miyake@ist.osaka-u.ac.jp 1

2 3. A 4. (EnshuD-toolkit-2005.tgz) 2005 D ( ) % cd ~ % tar xvfz EnshuD-toolkit-2005.tgz EnshuD 5. PATH ~/EnshuD/bin C PATH.cshrc set ( ) set PATH =( ~/EnshuD/bin /usr/ucb /usr/bin /bin /usr/bin/x11.) 6. SPC (read permission) SPC 7. ( chmod 700 directory ) 1.4 (PDF ) A A-han B B-han 1 /home/suita/med/staff/k623144n/enshud2005/report/1st/ 2 /home/suita/med/staff/k623144n/enshud2005/report/2nd/ /home/suita/med/staff/k623144n/enshud2005/report/final/ ( ) 4 ( ) ) 1001 TADA Harumasa.pdf 444( ) 2

3 /13( ) 2 10/20( ) 3 10/27( ) 4 11/10( ) 1 11/16( ) 5 11/17( ) 6 11/24( ) 7 12/1( ) 2 12/7( ) 8 12/8( ) 9 12/15( ) 10 12/22( ) 11 1/12( ) 12 1/19( ) 1/31( ) 3

4 2 1 Pascal 2.1 Pascal Pascal scanner( 3) Pascal OK NG parser %parser filename.ts parser 1 parser Pascal ts parser parser (stderr) 2.2 Pascal Pascal Pascal Pascal 1. integer char, boolean (1 ) 2. if while if (begin end ) 3. (call-byvalue) 4. readln writeln 2 Pascal (Extended Backus-Naur Form (EBNF)) EBNF 1 ( ) (.) ( ) EBNF 0 { } (0 ) [ ] (0 1 ) (1 ) 4

5 2.3 scanner parser SYMBOL enum parser OK NG -(Pascal ) (Pascal ) { SAMPLE:OK } program coverage(output); var Sum, V : integer; { SAMPLE:NG } program coverage(output); var Sum, V : integer; procedure printdata; begin procedure printdata; Sum := 0; end ( : ) program SPROGRAM 17 2 coverage SIDENTIFIER 43 2 ( SLPAREN 33 2 output SIDENTIFIER 43 2 ) SRPAREN 34 2 ; SSEMICOLON 37 2 var SVAR 21 3 Sum SIDENTIFIER 43 3, SCOMMA 41 3 V SIDENTIFIER 43 3 : SCOLON 38 3 integer SINTEGER 11 3 ; SSEMICOLON 37 3 procedure SPROCEDURE 16 5 printdata SIDENTIFIER 43 5 ; SSEMICOLON 37 5 begin SBEGIN end SEND SDOT ( : ) OK end ( : ) program SPROGRAM 17 2 coverage SIDENTIFIER 43 2 ( SLPAREN 33 2 output SIDENTIFIER 43 2 ) SRPAREN 34 2 ; SSEMICOLON 37 2 var SVAR 21 3 Sum SIDENTIFIER 43 3, SCOMMA 41 3 V SIDENTIFIER 43 3 : SCOLON 38 3 integer SINTEGER 11 3 ; SSEMICOLON 37 3 procedure SPROCEDURE 16 5 printdata SIDENTIFIER 43 5 ; SSEMICOLON 37 5 Sum SIDENTIFIER 43 6 := SASSIGN SCONSTANT 44 6 ; SSEMICOLON end SEND SDOT ( ) NG Line

6 2.4 Pascal /EnshuD/testdata Pascal 001.pas 013.pas scanner 001.ts 013.ts 2.5 scanner C fscanf() ( ) 1 enum enum scanner lex scanner.l ( 3 ) 2.6 A4 5 SPC PARSE parser (chmod 600 ) ( ) 6 6

7 3 2 Pascal 3.1 Pascal Pascal scanner( 3) checker %checker filename.ts checker 1 checker Pascal ts checker checker (stderr) 3.2 Pascal Pascal Pascal (call-by-value) Pascal integer char boolean 3 integer char ( ) boolean false( ) true( ) false<true 1 integer 7

8 3.2.3 boolean integer char ( ) ( ) char ( ) ( ) boolean boolean boolean true false ( ) ( ) ( ) ( )

9 ( + ) not integer not boolean 2 ( + / div mod ) ( and or ) ( = <> < <= > >= ) integer boolean integer boolean boolean Pascal / div if while := readln writeln readln integer char char ( ) integer ( ) char 1 char 1 ( ) readln 1 writeln integer char char char ( char ) 1 writeln

10 ( ) ( ) program OK Line 12: syntax error 1. (syntax error)

11 integer 12. if while boolean TA ( ) SPC CHECK checker TA SPC tar TA TA Pascal /EnshuD/subtests 3.5 A4 5 SPC/CHECK (chmod 600 ) 12 7 ( ) 6 11

12 4 Pascal CASL ( ) 4.1 Pascal Pascal scanner( 3) CASL CASL spc %spc filename.ts spc 1 spc Pascal ts spc CASL imd ( ) UNIX checker spc 4.2 CASL CASL 4 CASL UNIX CASL START END DS DC 3 IN OUT EXIT 3 1. OUTINT adr adr 2. MUL GR, adr (GR) (adr) GR 3. DIV GR, adr (GR) (adr) GR 0 12 GR3 GR4 CASL WRTINT, WRTCH, WRTSTR WRTLN 12

13 MULT : GR1 GR2 GR2 DIVD : GR2 DIV GR1 GR2 MOD : GR2 MOD GR1 GR2 RDINT : (GR2) RDCH : (GR2) RDSTR : ( GR1) (GR2) RDLN : WRTINT : GR2 WRTCH : GR2 WRTSTR : (GR2) GR1 WRTLN : ERRSTP : ( ) 4.3 CASL spc CASL CASL CASL CASL 4 casl %casl filename.imd casl 1 casl CASL imd casl CASL UNIX UNIX casl CASL (syntax error) CASL (run time error) syntax error in line run time error in address xxxx casl link %link filename.imd link 1 link CASL imd link CASL 13

14 4.4 TA /EnshuD/testdata TA ( 100% ) TA 3. CASL 4. SPC spc TA SPC spc SPC spc 0 SPC tar TA TA TA 5. TA SPC (chmod 600 ) 14

15 (5 7) ( / (2005 ) (2005 ) 1 31 ( ) 6 15

16 1 SYMBOL enum SYMBOL { SAND, SARRAY, SBEGIN, SBOOLEAN, /* and array begin boolean */ SCHAR, SDIVD, /* char div / */ SDO, SELSE, SEND, /* do else end */ SFALSE, /* false */ SIF, SINTEGER, SMOD, SNOT, /* if integer mod not */ SOF, SOR, SPROCEDURE, SPROGRAM, /* of or procedure program */ SREADLN, STHEN, /* readln then */ STRUE, SVAR, /* true var */ SWHILE, SWRITELN, SEQUAL, SNOTEQUAL, /* while writeln = <> */ SLESS, SLESSEQUAL, SGREATEQUAL, SGREAT, /* < <= >= > */ SPLUS, SMINUS, SSTAR, SLPAREN, /* + - * ( */ SRPAREN, SLBRACKET, SRBRACKET, SSEMICOLON, /* ) [ ] ; */ SCOLON, SRANGE, SASSIGN, SCOMMA, /* :.. :=, */ SDOT, SIDENTIFIER, SCONSTANT, SSTRING, /*. */ SLBRACE, SRBRACE, SSQUOTE, SPARA, /* { } */ SDIGIT, SALPHA, SEOF, SNULL, /* */ 16

17 SERR /* */ }; /* */ /* */ /* */ /* SERR, SALPHA, SDIGIT, SLBRACE, SRBRACE, SSQUOTE */ /* SNULL */ /* SPARA */ /* */ /* */ 17

18 2 EBNF Pascal = program ( ) ; =... = {, }. =. = [ var ]. = : ; { : ; }. = =. {, }. =. = integer char boolean. = array [.. ] of. = =.. = [ ]. = + -. = { ; }. =. = procedure ;. =. = [ ( ) ]. = : { ; : }. = {, }. =. = begin end. = { ; }. = if then else if then while do. =. = :=. =. 18

19 =. =. = [ ]. =. = [ ( ) ]. = {, }. = [ ]. = [ ] { }. = { }. = ( ) not. = = <> < <= > >=. = + or. = / div mod and. = + -. = readln [ ( ) ] writeln [ ( ) ]. = {, }. = false true. = { }. = { }. = ( ) ( ). = { }. = a b z A B Z. =

20 3 scanner 1. lex scanner.l scanner_src lex, cc lex lex C %cd ~/EnshuD/scanner_src %lex scanner.l %cc -o scanner lex.yy.c -ll %mv scanner../bin (-ll lex ) ( ~/EnshuD/bin ) scanner.l enum 2. Pascal (1) (2) SYMBOL (3)enum 1 (4) %scanner filename.pas scanner 1 scanner Pascal pas scanner ts 4. Pascal Pascal 1 SYMBOL = + / = <> < <= > >= ( ) [ ] :=.,.. : ;. 20

21 = program var array of = = = procedure begin end if then else while do not or div mod and char integer boolean readln writeln true false. { }. a b z A B Z = { }. = { }. = ( ) ( ). char integer boolean readln writeln true false = { { } }. = } CASL CASL Makefile %cd ~/EnshuD/casl_src %make %mv casl../bin ( ~/EnshuD/bin )

22 TA ( ) TA -? -? TA -? -? ( ) TA -? -? Subject ( ) TA - - tar SPC tgz 4 %cd ~ %tar cvfz 1001_Nakamoto_Masahiko.tgz./SPC 22

23 [ ] Subject: D From: xxxxx@exp.ics.es.osaka-u.ac.jp To: yyyyy@ist.osaka-u.ac.jp Cc: xxxxx@exp.ics.es.osaka-u.ac.jp [ ] : : xxxx xxxx : 90xxxxxx /home/exp/exp0/xxxxxx/( ) ( ) 23

2009 D Pascal CASL II ( ) Pascal C 3. A A Pascal TA TA

2009 D Pascal CASL II ( ) Pascal C 3. A A Pascal TA TA 2009 D 1 1.1 1.2 Pascal CASL II ( ) Pascal 1. 2009 10 15 2010 1 29 16 2. C 3. A A 2 1 2 Pascal 1.3 1. 2. TA enshud@image.med.osaka-u.ac.jp TA enshu-d@image.med.osaka-u.ac.jp nakamoto@image.med.osaka-u.ac.jp

More information

2011 D Pascal CASL II ( ) Pascal C 3. A A Pascal TA TA enshu-

2011 D Pascal CASL II ( ) Pascal C 3. A A Pascal TA TA enshu- 2011 D 1 1.1 1.2 Pascal CASL II ( ) Pascal 1. 2011 10 6 2011 2 9 15 2. C 3. A A 2 1 2 Pascal 1.3 1. 2. TA enshud@fenrir.ics.es.osaka-u.ac.jp TA enshu-d@fenrir.ics.es.osaka-u.ac.jp higo@ist.osaka-u.ac.jp

More information

xl 1 program Othello6; 2 {$APPTYPE CONSOLE} 3 uses SysUtils; 4 5 type 6 TMasuNo = 0..99; // 7 TYouso = (Soto,Kara,Kuro,Siro); // 8 TBan = array [TMasu

xl 1 program Othello6; 2 {$APPTYPE CONSOLE} 3 uses SysUtils; 4 5 type 6 TMasuNo = 0..99; // 7 TYouso = (Soto,Kara,Kuro,Siro); // 8 TBan = array [TMasu xl 1 program Othello6; 2 {$APPTYPE CONSOLE 3 uses SysUtils; 4 5 type 6 TMasuNo = 0..99; // 7 TYouso = (Soto,Kara,Kuro,Siro); // 8 TBan = array [TMasuNo] of TYouso; // 10 10 9 TPlayer = Kuro..Siro; // 10

More information

1. A0 A B A0 A : A1,...,A5 B : B1,...,B

1. A0 A B A0 A : A1,...,A5 B : B1,...,B 1. A0 A B A0 A : A1,...,A5 B : B1,...,B12 2. 3. 4. 5. A0 A B f : A B 4 (i) f (ii) f (iii) C 2 g, h: C A f g = f h g = h (iv) C 2 g, h: B C g f = h f g = h 4 (1) (i) (iii) (2) (iii) (i) (3) (ii) (iv) (4)

More information

1. A0 A B A0 A : A1,...,A5 B : B1,...,B12 2. 5 3. 4. 5. A0 (1) A, B A B f K K A ϕ 1, ϕ 2 f ϕ 1 = f ϕ 2 ϕ 1 = ϕ 2 (2) N A 1, A 2, A 3,... N A n X N n X N, A n N n=1 1 A1 d (d 2) A (, k A k = O), A O. f

More information

橡挿入法の実践

橡挿入法の実践 PAGE:1 7JFC1121 PAGE:2 7JFC1121 PAGE:3 7JFC1121 Kadai_1.pas program input_file;{7jfc1121 19 20 { type item = record id : integer; math : integer; english : integer; var wfile data flag id_no filename :

More information

第10回 コーディングと統合(WWW用).PDF

第10回 コーディングと統合(WWW用).PDF 10 January 8, 2004 algorithm algorithm algorithm (unit testing) (integrated testing) (acceptance testing) Big-Bang (incremental development) (goto goto DO 50 I=1,COUNT IF (ERROR1) GO TO 60 IF (ERROR2)

More information

compiler-text.dvi

compiler-text.dvi 2018.4 1 2 2.1 1 1 1 1: 1. (source program) 2. (object code) 3. 1 2.2 C if while return C input() output() fun var ( ) main() C (C-Prime) C A B C 2.3 Pascal P 1 C LDC load constant LOD load STR store AOP

More information

橡ソート手順比較

橡ソート手順比較 PAGE:1 [Page] 20 1 20 20 QuickSort 21 QuickSort 21 21 22 QuickSort 22 QuickSort 22 23 0 23 QuickSort 23 QuickSort 24 Order 25 25 26 26 7 26 QuickSort 27 PAGE:2 PAGE:3 program sort; { { type item = record

More information

Pascal Pascal Free Pascal CPad for Pascal Microsoft Windows OS Pascal

Pascal Pascal Free Pascal CPad for Pascal Microsoft Windows OS Pascal Pascal Pascal Pascal Free Pascal CPad for Pascal Microsoft Windows OS 2010 10 1 Pascal 2 1.1.......................... 2 1.2.................. 2 1.3........................ 3 2 4 2.1................................

More information

1. A0 A B A0 A : A1,...,A5 B : B1,...,B

1. A0 A B A0 A : A1,...,A5 B : B1,...,B 1. A0 A B A0 A : A1,...,A5 B : B1,...,B12 2. 3. 4. 5. A0 A, B Z Z m, n Z m n m, n A m, n B m=n (1) A, B (2) A B = A B = Z/ π : Z Z/ (3) A B Z/ (4) Z/ A, B (5) f : Z Z f(n) = n f = g π g : Z/ Z A, B (6)

More information

コンピュータ概論

コンピュータ概論 5.1 VBA VBA Check Point 1. 2. 5.1.1 ( bug : ) (debug) On Error On Error On Error GoTo line < line > 5.1.1 < line > Cells(i, j) i, j 5.1.1 MsgBox Err.Description Err1: GoTo 0 74 Visual Basic VBA VBA Project

More information

コンピュータ概論

コンピュータ概論 4.1 For Check Point 1. For 2. 4.1.1 For (For) For = To Step (Next) 4.1.1 Next 4.1.1 4.1.2 1 i 10 For Next Cells(i,1) Cells(1, 1) Cells(2, 1) Cells(10, 1) 4.1.2 50 1. 2 1 10 3. 0 360 10 sin() 4.1.2 For

More information

r02.dvi

r02.dvi 172 2017.7.16 1 1.1? X A B A X B ( )? IBMPL/I FACOM PL1 ( ) X ( ) 1.2 1 2-0 ( ) ( ) ( ) (12) ( ) (112) (131) 281 26 1 (syntax) (semantics) ( ) 2 2.1 BNF BNF(Backus Normal Form) Joun Backus (grammer) English

More information

ohp02.dvi

ohp02.dvi 172 2017.7.16 1 ? X A B A X B ( )? IBMPL/I FACOM PL1 ( ) X 2 ( ) 3 2-0 ( ) ( ) ( ) (12) ( ) (112) 31) 281 26 1 4 (syntax) (semantics) ( ) 5 BNF BNF(Backus Normal Form) Joun Backus (grammer) English grammer

More information

untitled

untitled II 4 Yacc Lex 2005 : 0 1 Yacc 20 Lex 1 20 traverse 1 %% 2 [0-9]+ { yylval.val = atoi((char*)yytext); return NUM; 3 "+" { return + ; 4 "*" { return * ; 5 "-" { return - ; 6 "/" { return / ; 7 [ \t] { /*

More information

:30 12:00 I. I VI II. III. IV. a d V. VI

:30 12:00 I. I VI II. III. IV. a d V. VI 2017 2017 08 03 10:30 12:00 I. I VI II. III. IV. a d V. VI. 80 100 60 1 I. Backus-Naur BNF X [ S ] a S S ; X X X, S [, a, ], ; BNF X (parse tree) (1) [a;a] (2) [[a]] (3) [a;[a]] (4) [[a];a] : [a] X 2 222222

More information

情報科学概論 第1回資料

情報科学概論 第1回資料 1. Excel (C) Hiroshi Pen Fujimori 1 2. (Excel) 2.1 Excel : 2.2Excel Excel (C) Hiroshi Pen Fujimori 2 256 (IV) :C (C 65536 B4 :2 (2 A3 Excel (C) Hiroshi Pen Fujimori 3 Tips: (1) B3 (2) (*1) (3) (4)Word

More information

untitled

untitled II yacc 005 : 1, 1 1 1 %{ int lineno=0; 3 int wordno=0; 4 int charno=0; 5 6 %} 7 8 %% 9 [ \t]+ { charno+=strlen(yytext); } 10 "\n" { lineno++; charno++; } 11 [^ \t\n]+ { wordno++; charno+=strlen(yytext);}

More information

VDM-SL VDM VDM-SL Toolbox VDM++ Toolbox 1 VDM-SL VDM++ Web bool

VDM-SL VDM VDM-SL Toolbox VDM++ Toolbox 1 VDM-SL VDM++ Web bool VDM-SL VDM++ 23 6 28 VDM-SL Toolbox VDM++ Toolbox 1 VDM-SL VDM++ Web 2 1 3 1.1............................................... 3 1.1.1 bool......................................... 3 1.1.2 real rat int

More information

( ) ( ) lex LL(1) LL(1)

( ) ( ) lex LL(1) LL(1) () () lex LL(1) LL(1) http://www.cs.info.mie-u.ac.jp/~toshi/lectures/compiler/ 29 5 14 1 1 () / (front end) (back end) (phase) (pass) 1 2 1 () () var left, right; fun int main() { left = 0; right = 10;

More information

: gettoken(1) module P = Printf exception End_of_system (* *) let _ISTREAM = ref stdin let ch = ref ( ) let read () = (let c =!ch in ch := inp

: gettoken(1) module P = Printf exception End_of_system (* *) let _ISTREAM = ref stdin let ch = ref ( ) let read () = (let c =!ch in ch := inp 7 OCaml () 1. 2. () (compiler) (interpreter) 2 OCaml (syntax) (BNF,backus normal form ) 1 + 2; let x be 2-1 in x; ::= ; let be in ; ::= + - ::= * / ::= 7.1 ( (printable characters) (tokens) 1 (lexical

More information

:30 12:00 I. I VI II. III. IV. a d V. VI

:30 12:00 I. I VI II. III. IV. a d V. VI 2018 2018 08 02 10:30 12:00 I. I VI II. III. IV. a d V. VI. 80 100 60 1 I. Backus-Naur BNF N N y N x N xy yx : yxxyxy N N x, y N (parse tree) (1) yxyyx (2) xyxyxy (3) yxxyxyy (4) yxxxyxxy N y N x N yx

More information

main.dvi

main.dvi 20 II 7. 1 409, 3255 e-mail: namba@faculty.chiba-u.jp 2 1 1 1 4 2 203 2 1 1 1 5 503 1 3 1 2 2 Web http://www.icsd2.tj.chiba-u.jp/~namba/lecture/ 1 2 1 5 501 1,, \,", 2000 7. : 1 1 CPU CPU 1 Intel Pentium

More information

f(x) x S (optimal solution) f(x ) (optimal value) f(x) (1) 3 GLPK glpsol -m -d -m glpsol -h -m -d -o -y --simplex ( ) --interior --min --max --check -

f(x) x S (optimal solution) f(x ) (optimal value) f(x) (1) 3 GLPK glpsol -m -d -m glpsol -h -m -d -o -y --simplex ( ) --interior --min --max --check - GLPK by GLPK http://mukun mmg.at.infoseek.co.jp/mmg/glpk/ 17 7 5 : update 1 GLPK GNU Linear Programming Kit GNU LP/MIP ILOG AMPL(A Mathematical Programming Language) 1. 2. 3. 2 (optimization problem) X

More information

2004.11.29 4 Communication1 program communication1(input, output); procedure double; r1, r2: real; r2 := 2 * r1; double; end. Communication1 program communication1(input, output); procedure double; r1,

More information

CM-3G 周辺モジュール拡張技術文書 INA226センサ(電流、電圧、電力)

CM-3G 周辺モジュール拡張技術文書 INA226センサ(電流、電圧、電力) CM-3G 周辺モジュール拡張技術文書 INA226 センサ ( 電流 電圧 電力 ) ( 第 1 版 ) Copyright (C)2015 株式会社コンピューテックス 目次 1. はじめに... 1 2. INA226 について... 1 3. 接続図... 1 4. buildroot へのパッチと make 方法... 2 5. シェル スクリプト... 3 6. シェル スクリプトの実行...

More information

I. Backus-Naur BNF : N N 0 N N N N N N 0, 1 BNF N N 0 11 (parse tree) 11 (1) (2) (3) (4) II. 0(0 101)* (

I. Backus-Naur BNF : N N 0 N N N N N N 0, 1 BNF N N 0 11 (parse tree) 11 (1) (2) (3) (4) II. 0(0 101)* ( 2016 2016 07 28 10:30 12:00 I. I VI II. III. IV. a d V. VI. 80 100 60 1 I. Backus-Naur BNF : 11011 N N 0 N N 11 1001 N N N N 0, 1 BNF N N 0 11 (parse tree) 11 (1) 1100100 (2) 1111011 (3) 1110010 (4) 1001011

More information

XpressMemoForDash.dvi

XpressMemoForDash.dvi Xpress-MP 1 Ver 1.1 2006. 2. 16 (Ver1.0) 2006. 3. 1 (Ver1.1) 1 2006 3 Xpress-MP Xpress-MP 2006 Xpress-MP,. Dash [1]. mosel,, mosel.,,?, shokosv Xpress-MP,., 2. Example. 1 Xpress-MP 2 mosel 3 mosel 4, shakosv

More information

1 シミュレーションとは何か?

1 シミュレーションとは何か? Delphi P.1/16 Delphi Delphi Object Pascal Delphi Delphi Delphi (Borland) Windows Turbo Pascal Pascal Delphi Turbo Pascal Windows Pascal FORTRAN BASIC Java Algol Algol Pascal Pascal Pascal Pascal Delphi

More information

橡Pascal-Tの挙動を調べる

橡Pascal-Tの挙動を調べる PROGRAM SAMPLE01(INPUT, OUTPUT); BEGIN END. PROGRAM SAMPLE02(INPUT, OUTPUT); VAR X, Y, Z : INTEGER; BEGIN X := 1; Y := 2; Z := X + Y; WRITELN(Z); END. #!/usr/local/bin/perl #PASCAL-T OBJECT MAC FILE

More information

Excel ではじめる数値解析 サンプルページ この本の定価 判型などは, 以下の URL からご覧いただけます. このサンプルページの内容は, 初版 1 刷発行時のものです.

Excel ではじめる数値解析 サンプルページ この本の定価 判型などは, 以下の URL からご覧いただけます.   このサンプルページの内容は, 初版 1 刷発行時のものです. Excel ではじめる数値解析 サンプルページ この本の定価 判型などは, 以下の URL からご覧いただけます. http://www.morikita.co.jp/books/mid/009631 このサンプルページの内容は, 初版 1 刷発行時のものです. Excel URL http://www.morikita.co.jp/books/mid/009631 i Microsoft Windows

More information

ohp07.dvi

ohp07.dvi 17 7 (2) 2017.9.13 1 BNF BNF ( ) ( ) 0 ( ) + 1 ( ) ( ) [ ] BNF BNF BNF prog ::= ( stat ) stat ::= ident = expr ; read ident ; print expr ; if ( expr ) stat while ( expr ) stat { prog expr ::= term ( +

More information

基礎情報処理 I (文字型)

基礎情報処理 I (文字型) プログラミング 1 ( 文字型 ) program character1; a,b,c: char; writeln('1 文字づつ3 文字入力してください :'); readln(a); readln(b); readln(c); write(a); write(b); write(c); writeln; a,b,c:char; a:='a'; b:='b'; c:='c'; write(a);

More information

(Basic Theory of Information Processing) Fortran Fortan Fortan Fortan 1

(Basic Theory of Information Processing) Fortran Fortan Fortan Fortan 1 (Basic Theory of Information Processing) Fortran Fortan Fortan Fortan 1 17 Fortran Formular Tranlator Lapack Fortran FORTRAN, FORTRAN66, FORTRAN77, FORTRAN90, FORTRAN95 17.1 A Z ( ) 0 9, _, =, +, -, *,

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション ループ ループとは? ある条件を満たすまで 指定の命令を繰り返す Do... Loop For Next For Each Next While WEnd ループの種類 Do Loop Do While 条件 ステートメント Loop Do ステートメント Loop While 条件 Do Until 条件 ステートメント Loop Do ステートメント Until Loop 条件 Do Loop

More information

MQTT V3.1 プロトコル仕様

MQTT V3.1 プロトコル仕様 1999 d digit = X MOD 128 X = X DIV 128 // if there are mre digits t encde, set the tp bit f this digit if ( X > 0 ) digit = digit OR 0x80 endif 'utput' digit while ( X> 0 ) multiplier = 1 value = 0

More information

II 3 yacc (2) 2005 : Yacc 0 ~nakai/ipp2 1 C main main 1 NULL NULL for 2 (a) Yacc 2 (b) 2 3 y

II 3 yacc (2) 2005 : Yacc 0 ~nakai/ipp2 1 C main main 1 NULL NULL for 2 (a) Yacc 2 (b) 2 3 y II 3 yacc (2) 2005 : Yacc 0 ~nakai/ipp2 1 C 1 6 9 1 main main 1 NULL NULL 1 15 23 25 48 26 30 32 36 38 43 45 47 50 52 for 2 (a) 2 2 1 Yacc 2 (b) 2 3 yytext tmp2 ("") tmp2->next->word tmp2 yytext tmp2->next->word

More information

() / (front end) (back end) (phase) (pass) 1 2

() / (front end) (back end) (phase) (pass) 1 2 1 () () lex http://www.cs.info.mie-u.ac.jp/~toshi/lectures/compiler/ 2018 4 1 () / (front end) (back end) (phase) (pass) 1 2 () () var left, right; fun int main() { left = 0; right = 10; return ((left

More information

unix15-script2_09.key

unix15-script2_09.key UNIX講習会 シェルスクリプト2 31/July/2015 情報管理解析室 西出 浩世 SGE ~/unix15/sge $ cd ~/unix15/sge $ ls script* script2.sh script3.sh script4.sh ~/unix15/sge/results sam 12 $ ls results/*.sam $ rm -r results $ cp -r /usr/local/data/unix15/sge/results.

More information

ex01.dvi

ex01.dvi ,. 0. 0.0. C () /******************************* * $Id: ex_0_0.c,v.2 2006-04-0 3:37:00+09 naito Exp $ * * 0. 0.0 *******************************/ #include int main(int argc, char **argv) { double

More information

untitled

untitled Fortran90 ( ) 17 12 29 1 Fortran90 Fortran90 FORTRAN77 Fortran90 1 Fortran90 module 1.1 Windows Windows UNIX Cygwin (http://www.cygwin.com) C\: Install Cygwin f77 emacs latex ps2eps dvips Fortran90 Intel

More information

I. Backus-Naur BNF S + S S * S S x S +, *, x BNF S (parse tree) : * x + x x S * S x + S S S x x (1) * x x * x (2) * + x x x (3) + x * x + x x (4) * *

I. Backus-Naur BNF S + S S * S S x S +, *, x BNF S (parse tree) : * x + x x S * S x + S S S x x (1) * x x * x (2) * + x x x (3) + x * x + x x (4) * * 2015 2015 07 30 10:30 12:00 I. I VI II. III. IV. a d V. VI. 80 100 60 1 I. Backus-Naur BNF S + S S * S S x S +, *, x BNF S (parse tree) : * x + x x S * S x + S S S x x (1) * x x * x (2) * + x x x (3) +

More information

B Simon (Trump ) SimonU.pas SimonP.dpr Name FormSimon Caption Position podesktopcenter uses Windows, Messages, SysUtils,

B Simon (Trump ) SimonU.pas SimonP.dpr Name FormSimon Caption Position podesktopcenter uses Windows, Messages, SysUtils, B 132 20 1 1 20.1 20.1.1 1 52 10 1 2 3... 7 8 8 8 20.1.2 1 5 6 7 3 20.1.3 1 3 8 20.1.4 13 20.1.5 4 1 (solitaire) B 133 20.2 20.2.1 Simon (Trump ) SimonU.pas SimonP.dpr 20.2.2 Name FormSimon Caption Position

More information

n 第1章 章立ての部分は、書式(PC入門大見出し)を使います

n 第1章 章立ての部分は、書式(PC入門大見出し)を使います FORTRAN FORTRAN FORTRAN ) DO DO IF IF FORTRAN FORTRAN(FORmula TRANslator)1956 IBM FORTRAN IV FORTRAN77 Fortran90 FORTRAN77 FORTRAN FORTARN IF, DO C UNIX FORTRAN PASCAL COBOL PL/I BASIC Lisp PROLOG Lisp

More information

Java Java Java Java Java 4 p * *** ***** *** * Unix p a,b,c,d 100,200,250,500 a*b = a*b+c = a*b+c*d = (a+b)*(c+d) = 225

Java Java Java Java Java 4 p * *** ***** *** * Unix p a,b,c,d 100,200,250,500 a*b = a*b+c = a*b+c*d = (a+b)*(c+d) = 225 Java Java Java Java Java 4 p35 4-2 * *** ***** *** * Unix p36 4-3 a,b,c,d 100,200,250,500 a*b = 20000 a*b+c = 20250 a*b+c*d = 145000 (a+b)*(c+d) = 225000 a+b*c+d = 50600 b/a+d/c = 4 p38 4-4 (1) mul = 1

More information

double float

double float 2015 3 13 1 2 2 3 2.1.......................... 3 2.2............................. 3 3 4 3.1............................... 4 3.2 double float......................... 5 3.3 main.......................

More information

2 1/2 1/4 x 1 x 2 x 1, x 2 9 3x 1 + 2x 2 9 (1.1) 1/3 RDA 1 15 x /4 RDA 1 6 x /6 1 x 1 3 x 2 15 x (1.2) (1.3) (1.4) 1 2 (1.5) x 1

2 1/2 1/4 x 1 x 2 x 1, x 2 9 3x 1 + 2x 2 9 (1.1) 1/3 RDA 1 15 x /4 RDA 1 6 x /6 1 x 1 3 x 2 15 x (1.2) (1.3) (1.4) 1 2 (1.5) x 1 1 1 [1] 1.1 1.1. TS 9 1/3 RDA 1/4 RDA 1 1/2 1/4 50 65 3 2 1/15 RDA 2/15 RDA 1/6 RDA 1 1/6 1 1960 2 1/2 1/4 x 1 x 2 x 1, x 2 9 3x 1 + 2x 2 9 (1.1) 1/3 RDA 1 15 x 1 + 2 1/4 RDA 1 6 x 1 1 4 1 1/6 1 x 1 3

More information

( ) 1 Windows HTML ( ) ( ) ( ) WWW 10 ( )

( ) 1 Windows HTML ( ) ( ) ( ) WWW 10 ( ) ( ) 1 Windows HTML ( ) ( ) ( ) 1. 2. 3. 4. WWW 10 ( ) 2 1. 2. 1 3. ( ) 4. 5. 3 Windows 2 7 8 MS Word MS Excel 1. MS Word 600 2. MS Excel 1 34 2 83 3 23 4 70 5 100 6 45 7 58 8 29 9 68 10 72 11 37 12 12

More information

K227 Java 2

K227 Java 2 1 K227 Java 2 3 4 5 6 Java 7 class Sample1 { public static void main (String args[]) { System.out.println( Java! ); } } 8 > javac Sample1.java 9 10 > java Sample1 Java 11 12 13 http://java.sun.com/j2se/1.5.0/ja/download.html

More information

D0050.PDF

D0050.PDF Excel VBA 6 3 3 1 Excel BLOCKGAME.xls Excel 1 OK 2 StepA D B1 B4 C1 C2 StepA StepA Excel Workbook Open StepD BLOCKGAME.xls VBEditor ThisWorkbook 3 1 1 2 2 3 5 UserForm1 4 6 UsorForm2 StepB 3 StepC StepD

More information

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

if clear = 1 then Q <=  ; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst = VHDL 2 1 VHDL 1 VHDL FPGA VHDL 2 HDL VHDL 2.1 D 1 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; regs.vhdl entity regs is clk, rst : in std_logic; clear : in std_logic; we

More information

Excel Excel Excel = Excel ( ) 1

Excel Excel Excel = Excel ( ) 1 10 VBA / 10 (2016 06 21 ) Excel Excel Excel 20132 20 = 1048576 Excel 201316 100 10 (2016 06 21 ) 1 Excel VBA Excel Excel 2 20 Excel QR Excel R QR QR BLASLAPACK 10 (2016 06 21 ) 2 VBA VBA (Visual Basic

More information

(ver. 1.3 (2018) ) yacc 1 1 yacc yacc (Yet Another Compiler Compiler) UNIX yacc yacc y *.y yacc ) yacc *.tab.h *.tab.c C C yacc yacc UNIX yacc bison 2

(ver. 1.3 (2018) ) yacc 1 1 yacc yacc (Yet Another Compiler Compiler) UNIX yacc yacc y *.y yacc ) yacc *.tab.h *.tab.c C C yacc yacc UNIX yacc bison 2 (ver. 1.3 (2018) ) yacc 1 1 yacc yacc (Yet Another Compiler Compiler) UNIX yacc yacc y *.y yacc ) yacc *.tab.h *.tab.c C C yacc yacc UNIX yacc bison 2 yacc yacc lex %token yacc yacc token *.tab.h #define

More information

tuat1.dvi

tuat1.dvi ( 1 ) http://ist.ksc.kwansei.ac.jp/ tutimura/ 2012 6 23 ( 1 ) 1 / 58 C ( 1 ) 2 / 58 2008 9 2002 2005 T E X ptetex3, ptexlive pt E X UTF-8 xdvi-jp 3 ( 1 ) 3 / 58 ( 1 ) 4 / 58 C,... ( 1 ) 5 / 58 6/23( )

More information

B 5 (2) VBA R / B 5 ( ) / 34

B 5 (2) VBA R / B 5 ( ) / 34 B 5 (2) VBAR / B 5 (2014 11 17 ) / 34 VBA VBA (Visual Basic for Applications) Visual Basic VBAVisual Basic Visual BasicC B 5 (2014 11 17 ) 1 / 34 VBA 2 Excel.xlsm 01 Sub test() 02 Dim tmp As Double 03

More information

¥¤¥ó¥¿¡¼¥Í¥Ã¥È·×¬¤È¥Ç¡¼¥¿²òÀÏ Âè2²ó

¥¤¥ó¥¿¡¼¥Í¥Ã¥È·×¬¤È¥Ç¡¼¥¿²òÀÏ Âè2²ó 2 2015 4 20 1 (4/13) : ruby 2 / 49 2 ( ) : gnuplot 3 / 49 1 1 2014 6 IIJ / 4 / 49 1 ( ) / 5 / 49 ( ) 6 / 49 (summary statistics) : (mean) (median) (mode) : (range) (variance) (standard deviation) 7 / 49

More information

y = x 4 y = x 8 3 y = x 4 y = x 3. 4 f(x) = x y = f(x) 4 x =,, 3, 4, 5 5 f(x) f() = f() = 3 f(3) = 3 4 f(4) = 4 *3 S S = f() + f() + f(3) + f(4) () *4

y = x 4 y = x 8 3 y = x 4 y = x 3. 4 f(x) = x y = f(x) 4 x =,, 3, 4, 5 5 f(x) f() = f() = 3 f(3) = 3 4 f(4) = 4 *3 S S = f() + f() + f(3) + f(4) () *4 Simpson H4 BioS. Simpson 3 3 0 x. β α (β α)3 (x α)(x β)dx = () * * x * * ɛ δ y = x 4 y = x 8 3 y = x 4 y = x 3. 4 f(x) = x y = f(x) 4 x =,, 3, 4, 5 5 f(x) f() = f() = 3 f(3) = 3 4 f(4) = 4 *3 S S = f()

More information

1

1 1 2 3 4 . 5 6 7 8 9 10 11 12 .. 13 .. 14 15 16 17 18 19 20 .. 223 ( ) 218 1 21 1 225 72 63 154 141 54 24 40 274 186 226 197 507 22 23 24 25 26 27 28 29 .... 30 SPC 31 32 33 34 35 36 . 37 38 39 .......

More information

OpenMP¤òÍѤ¤¤¿ÊÂÎó·×»»¡Ê£±¡Ë

OpenMP¤òÍѤ¤¤¿ÊÂÎó·×»»¡Ê£±¡Ë 2011 5 26 scalar Open MP Hello World Do (omp do) (omp workshare) (shared, private) π (reduction) scalar magny-cours, 48 scalar scalar 1 % scp. ssh / authorized keys 133. 30. 112. 246 2 48 % ssh 133.30.112.246

More information

BASICとVisual Basic

BASICとVisual Basic Visual Basic BASIC Visual Basic BASICBeginner's All purpose Symbolic Instruction Code Visual Basic Windows BASIC BASIC Visual Basic Visual Basic End Sub .Visual Basic Visual Basic VB 1-1.Visual Basic

More information

[user@linux tmp]$ tar xzvf utvpn-src-unix-v100-7092-beta-2010.06.25.tar.gz [user@linux tmp]$ cd utvpn-unix-v100-7092-beta [user@linux utvpn-unix-v100-7092-beta]$ ls License-ja.txt configure makefiles src

More information

AN 100: ISPを使用するためのガイドライン

AN 100: ISPを使用するためのガイドライン ISP AN 100: In-System Programmability Guidelines 1998 8 ver.1.01 Application Note 100 ISP Altera Corporation Page 1 A-AN-100-01.01/J VCCINT VCCINT VCCINT Page 2 Altera Corporation IEEE Std. 1149.1 TCK

More information

syspro-0405.ppt

syspro-0405.ppt 3 4, 5 1 UNIX csh 2.1 bash X Window 2 grep l POSIX * more POSIX 3 UNIX. 4 first.sh #!bin/sh #first.sh #This file looks through all the files in the current #directory for the string yamada, and then prints

More information

Microsoft PowerPoint - while.ppt

Microsoft PowerPoint - while.ppt 本日の内容 繰り返し計算 while 文, for 文 例題 1. 自然数の和例題 2. 最大公約数の計算例題 3. ベクトルの長さ while 文例題 4. 九九の表 for 文と繰り返しの入れ子例題 5. ド モアブルの公式計算誤差の累積 今日の到達目標 繰り返し (while 文, for 文 ) を使って, 繰り返し計算を行えるようになること ループカウンタとして, 整数の変数を使うこと 今回も,

More information

2 X Y Y X θ 1,θ 2,... Y = f (X,θ 1,θ 2,...) θ k III 8 ( ) 1 / 39

2 X Y Y X θ 1,θ 2,... Y = f (X,θ 1,θ 2,...) θ k III 8 ( ) 1 / 39 III 8 (3) VBA, R / III 8 (2013 11 26 ) / 39 2 X Y Y X θ 1,θ 2,... Y = f (X,θ 1,θ 2,...) θ k III 8 (2013 11 26 ) 1 / 39 Y X 1, X 2,..., X n Y = f (X 1, X 2,..., X n,θ 1,θ 2,...) (y k, x k,1, x k,2,...)

More information

.......p...{..P01-48(TF)

.......p...{..P01-48(TF) 1 2 3 5 6 7 8 9 10 Act Plan Check Act Do Plan Check Do 11 12 13 14 INPUT OUTPUT 16 17 18 19 20 21 22 23 24 25 26 27 30 33 32 33 34 35 36 37 36 37 38 33 40 41 42 43 44 45 46 47 48 49 50 51 1. 2. 3.

More information

() () (parse tree) ( (( ) * 50) ) ( ( NUM 10 + NUM 30 ) * NUM 50 ) ( * ) ( + ) NUM 50 NUM NUM (abstract syntax tree, AST) ( (( ) * 5

() () (parse tree) ( (( ) * 50) ) ( ( NUM 10 + NUM 30 ) * NUM 50 ) ( * ) ( + ) NUM 50 NUM NUM (abstract syntax tree, AST) ( (( ) * 5 3 lex yacc http://www.cs.info.mie-u.ac.jp/~toshi/lectures/compiler/ 2018 6 1 () () (parse tree) ( ((10 + 30) * 50) ) ( ( NUM 10 + NUM 30 ) * NUM 50 ) ( * ) ( + ) NUM 50 NUM NUM 10 30 (abstract syntax tree,

More information

main.dvi

main.dvi 1 F77 5 hmogi-2008f@kiban.civil.saitama-u.ac.jp 2013/5/13 1 2 f77... f77.exe f77.exe CDROM (CDROM D D: setupond E E: setupone 5 C:work\T66160\20130422>f77 menseki.f -o menseki f77(.exe) f77 f77(.exe) C:work\T66160\20130422>set

More information

L N P Y F C T V W Z I X Pentomino Form Name Caption Position FormMain podesktopcenter

L N P Y F C T V W Z I X Pentomino Form Name Caption Position FormMain podesktopcenter 1. 1 1 1.1 5 12 60 3 20 4 15 5 12 6 10 12 L N P Y F C T V W Z I X 1.1.1 1.2 Pentomino 1.2.1 Form Name Caption Position FormMain podesktopcenter 1.2.2 unit PentominoU; interface uses Windows, Messages,

More information

Microsoft Word - Win-Outlook.docx

Microsoft Word - Win-Outlook.docx Microsoft Office Outlook での設定方法 (IMAP および POP 編 ) How to set up with Microsoft Office Outlook (IMAP and POP) 0. 事前に https://office365.iii.kyushu-u.ac.jp/login からサインインし 以下の手順で自分の基本アドレスをメモしておいてください Sign

More information

PL : pl0 ( ) 1 SableCC ( sablecc ) 1.1 sablecc sablecc Étienne Gagnon [1] Java sablecc sablecc ( ) Visitor DepthFirstAdapter 1 (Depth

PL : pl0 ( ) 1 SableCC ( sablecc ) 1.1 sablecc sablecc Étienne Gagnon [1] Java sablecc sablecc ( ) Visitor DepthFirstAdapter 1 (Depth PL0 2007 : 2007.05.29 pl0 ( ) 1 SableCC ( sablecc ) 1.1 sablecc sablecc Étienne Gagnon [1] Java sablecc sablecc () Visitor DepthFirstAdapter 1 (Depth First traversal) ( ) (breadth first) 2 sablecc 1.2

More information

DA100データアクイジションユニット通信インタフェースユーザーズマニュアル

DA100データアクイジションユニット通信インタフェースユーザーズマニュアル Instruction Manual Disk No. RE01 6th Edition: November 1999 (YK) All Rights Reserved, Copyright 1996 Yokogawa Electric Corporation 801234567 9 ABCDEF 1 2 3 4 1 2 3 4 1 2 3 4 1 2

More information

橡点検記録(集約).PDF

橡点検記録(集約).PDF 942.8.8.8.7 671 86 11 1 9 9 9 1 1,792 7,23 2,483 1,324 2,198 7,23 82 7,23 6,327 9,22 9,713 8,525 8,554 9,22. 8,554. 1,79 9,713 95 947 8,525.. 944 671 81 7 17 1,29 1,225 1,241 1,25 1,375 9.3 23,264 25,

More information

A/B (2018/10/19) Ver kurino/2018/soft/soft.html A/B

A/B (2018/10/19) Ver kurino/2018/soft/soft.html A/B A/B (2018/10/19) Ver. 1.0 kurino@math.cst.nihon-u.ac.jp http://edu-gw2.math.cst.nihon-u.ac.jp/ kurino/2018/soft/soft.html 2018 10 19 A/B 1 2018 10 19 2 1 1 1.1 OHP.................................... 1

More information

For_Beginners_CAPL.indd

For_Beginners_CAPL.indd CAPL Vector Japan Co., Ltd. 目次 1 CAPL 03 2 CAPL 03 3 CAPL 03 4 CAPL 04 4.1 CAPL 4.2 CAPL 4.3 07 5 CAPL 08 5.1 CANoe 5.2 CANalyzer 6 CAPL 10 7 CAPL 11 7.1 CAPL 7.2 CAPL 7.3 CAPL 7.4 CAPL 16 7.5 18 8 CAPL

More information

VLD Kazutoshi Kobayashi

VLD Kazutoshi Kobayashi VLD Kazutoshi Kobayashi (kobayasi@kuee.kyoto-u.ac.jp) 2005 8 26-29 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape

More information

離散数理工学 第 2回 数え上げの基礎:漸化式の立て方

離散数理工学 第 2回  数え上げの基礎:漸化式の立て方 2 okamotoy@uec.ac.jp 2015 10 20 2015 10 18 15:29 ( ) (2) 2015 10 20 1 / 45 ( ) 1 (10/6) ( ) (10/13) 2 (10/20) 3 ( ) (10/27) (11/3) 4 ( ) (11/10) 5 (11/17) 6 (11/24) 7 (12/1) 8 (12/8) ( ) (2) 2015 10 20

More information

Excel Excel Excel 20132 20 = 1048576 Excel 201316 100 III 7 (2014 11 18 ) 1

Excel Excel Excel 20132 20 = 1048576 Excel 201316 100 III 7 (2014 11 18 ) 1 III 7 VBA / III 7 (2014 11 18 ) Excel Excel Excel 20132 20 = 1048576 Excel 201316 100 III 7 (2014 11 18 ) 1 Excel VBA Excel Excel 2 20 Excel QR Excel R QR QR BLASLAPACK III 7 (2014 11 18 ) 2 VBA VBA (Visual

More information

num2.dvi

num2.dvi kanenko@mbk.nifty.com http://kanenko.a.la9.jp/ 16 32...... h 0 h = ε () 0 ( ) 0 1 IEEE754 (ieee754.c Kerosoft Ltd.!) 1 2 : OS! : WindowsXP ( ) : X Window xcalc.. (,.) C double 10,??? 3 :, ( ) : BASIC,

More information

2 2 ( M2) ( )

2 2 ( M2) ( ) 2 2 ( M2) ( ) 2007 3 3 1 2 P. Gaudry and R. Harley, 2000 Schoof 63bit 2 8 P. Gaudry and É. Schost, 2004 80bit 1 / 2 16 2 10 2 p: F p 2 C : Y 2 =F (X), F F p [X] : monic, deg F = 5, J C (F p ) F F p p Frobenius

More information

離散数学 第 1回 論理 (1):命題論理

離散数学 第 1回  論理 (1):命題論理 1 (1) okamotoy@uecacjp 2012 4 10 2012 4 11 10:57 () (1) 2012 4 10 1 / 53 () (1) 2012 4 10 2 / 53 () 1 (1) (4 10 ) () (4 17 ) 2 (1) (4 24 ) 3 (2) (5 1 ) 4 (2) (5 8 ) 5 (3) (5 15 ) 6 (1) (5 22 ) 7 (2) (5

More information

untitled

untitled StiLL StiLL Excel VBA IT Excel2000 2003 StiLL StiLL! Excel2007 StiLL -- -- Excel!!!! DB CSV VBA VBA ' VBA Public Sub SampleProgramDAO1() Dim SheetName As String Dim strname As String SheetName = "Sheet2"

More information

Compatibility list: vTESTstudio/CANoe

Compatibility list: vTESTstudio/CANoe 1.0 および 1.1 で作成されたテストユニットは テスト内で使用されるコマンドに関わらず 必ず下記の最小バージョン以降の CANoe にて実行してください vteststudio 2.0 以上で作成されたテストユニット ( 新機能を使用していない場合 ) は それぞれに応じた最小バージョン以降の CANoe にて実行してください 下記の表にて 各バージョンに対応する要件をご確認ください vteststudio

More information

Z80.pdf Page 2 of 30 1... 3 1.1... 3 1.2... 3 1.3... 4 2.... 5 2.1... 5 3... 6 4.... 6 5... 6 6.... 6 7.... 7 8... 7 9.... 7 9.1... 7 10... 8 10.1 Z80 (XAS)... 8 10.2 Z80 (XLD)... 9 10.3 Z80 (XLD)... 10

More information

¥¤¥ó¥¿¡¼¥Í¥Ã¥È·×¬¤È¥Ç¡¼¥¿²òÀÏ Âè2²ó

¥¤¥ó¥¿¡¼¥Í¥Ã¥È·×¬¤È¥Ç¡¼¥¿²òÀÏ Âè2²ó 2 212 4 13 1 (4/6) : ruby 2 / 35 ( ) : gnuplot 3 / 35 ( ) 4 / 35 (summary statistics) : (mean) (median) (mode) : (range) (variance) (standard deviation) 5 / 35 (mean): x = 1 n (median): { xr+1 m, m = 2r

More information

Mail_Spam_Manual_120815b

Mail_Spam_Manual_120815b server~>su - server:~#mount /mnt/cdrom server:~#umount /mnt/cdrom # cd /mnt/cdrom #./ginstall -F -M [MTA ] -P AV # wget http://download.gideon.co.jp/ginstall.tgz #./ginstall -F -M P -P AV #./ginstall -M

More information

3360 druby Web Who is translating it? http://dx.doi.org/10.1007/s10766-008-0086-1 $32.00 International Journal of PARALLEL PROGRAMING Must buy! http://dx.doi.org/10.1007/s10766-008-0086-1 toruby LT Linux

More information

PowerRDBconnector説明書(SQLServer編)

PowerRDBconnector説明書(SQLServer編) COBOL COBOL SQL COBOL COBOL COBOL OPEN REWRITE REWRITE SQL Server SQL Server PowerRDBconnector or NetCOBOL C C COBOL C C NetCOBOL [] NetCOBOL [] NetCOBOL SQL Server SQL Server NetCOBOL []

More information

OpenMP¤òÍѤ¤¤¿ÊÂÎó·×»»¡Ê£²¡Ë

OpenMP¤òÍѤ¤¤¿ÊÂÎó·×»»¡Ê£²¡Ë 2013 5 30 (schedule) (omp sections) (omp single, omp master) (barrier, critical, atomic) program pi i m p l i c i t none integer, parameter : : SP = kind ( 1. 0 ) integer, parameter : : DP = selected real

More information

PBASIC 2.5 PBASIC 2.5 $PBASIC directive PIN type New DEBUG control characters DEBUGIN Line continuation for comma-delimited lists IF THEN ELSE * SELEC

PBASIC 2.5 PBASIC 2.5 $PBASIC directive PIN type New DEBUG control characters DEBUGIN Line continuation for comma-delimited lists IF THEN ELSE * SELEC PBASIC 2.5 PBASIC 2.5 BASIC Stamp Editor / Development System Version 2.0 Beta Release 2 2.0 PBASIC BASIC StampR PBASIC PBASIC PBASIC 2.5 Parallax, Inc. PBASIC 2.5 PBASIC 2.5 support@microbot-ed.com 1

More information

̤Äê

̤Äê SNS 1, IT.,.,.,., SNS,,,..,,.,,,.,.,,. 2 1 6 1.1................................................ 6 1.2................................................ 6 1.3...............................................

More information

Gray [6] cross tabulation CUBE, ROLL UP Johnson [7] pivoting SQL 3. SuperSQL SuperSQL SuperSQL SQL [1] [2] SQL SELECT GENERATE <media> <TFE> GENER- AT

Gray [6] cross tabulation CUBE, ROLL UP Johnson [7] pivoting SQL 3. SuperSQL SuperSQL SuperSQL SQL [1] [2] SQL SELECT GENERATE <media> <TFE> GENER- AT DEIM Forum 2017 E3-1 SuperSQL 223 8522 3 14 1 E-mail: {tabata,goto}@db.ics.keio.ac.jp, toyama@ics.keio.ac.jp,,,, SuperSQL SuperSQL, SuperSQL. SuperSQL 1. SuperSQL, Cross table, SQL,. 1 1 2 4. 1 SuperSQL

More information

IP L09( Tue) : Time-stamp: Tue 14:52 JST hig TCP/IP. IP,,,. ( ) L09 IP (2017) 1 / 28

IP L09( Tue) : Time-stamp: Tue 14:52 JST hig TCP/IP. IP,,,. ( )   L09 IP (2017) 1 / 28 L09(2017-11-21 Tue) : Time-stamp: 2017-11-21 Tue 14:52 JST hig TCP/IP. IP,,,. http://hig3.net L09 (2017) 1 / 28 9, IP, - L09 (2017) 2 / 28 C (ex. ) 1 TCP/IP 2 3 ( ) ( L09 (2017) 3 / 28 50+5, ( )50+5. (

More information

Copyright c 2008 Zhenjiang Hu, All Right Reserved.

Copyright c 2008 Zhenjiang Hu, All Right Reserved. 2008 10 27 Copyright c 2008 Zhenjiang Hu, All Right Reserved. (Bool) True False data Bool = False True Remark: not :: Bool Bool not False = True not True = False (Pattern matching) (Rewriting rules) not

More information