1 機能概要 複数ペリフェラル ( デバイスと呼びます ) を並行動作させて その IO 完了などのイベントを1カ所で待ち合わせて イベントドリブン処理を可能にします イベントは16 個を扱えます シーケンス処理を組めるように 特定のイベントだけ選別して待ち合わせる機能があります 待ち合わせるときに

Size: px
Start display at page:

Download "1 機能概要 複数ペリフェラル ( デバイスと呼びます ) を並行動作させて その IO 完了などのイベントを1カ所で待ち合わせて イベントドリブン処理を可能にします イベントは16 個を扱えます シーケンス処理を組めるように 特定のイベントだけ選別して待ち合わせる機能があります 待ち合わせるときに"

Transcription

1 MSP430 複数ペリフェラルを並行動作させる方式 [ マルチ IO システム ] Version PIC 山内一男 この方式では 複数の IO 処理を並行して実行できますので MSP430 を幅広く利用できます OS を使用せずに 複数ペリフェラルを並行動作させて IO 完了やソフトイベントなどを複数管理して通知することができます これにより イベントドリブン型の並行処理システムを構築できます この方式のライブラリ自体は デバイスシリーズに依存していません ドライバーと ISR に特別なマクロコールを組み込んでライブラリと連携して実現します ドライバーと ISR はデバイスシリーズに依存します 現在は MSP430G2553 と MSP430FR5969 に実装してあります 別途マルチ IO システムとして example を提供いたします 内容 1 機能概要 従来方式との違い 改善点 方式概要 イベント定義テーブル ( the table of events ) IO 制御テーブル (The common table for IO control) IO 制御テーブルにアクセスするマクロ LPM mode control IO status and IO completed Event Manager の動作 Event Manager の関数例 ドライバーと ISR へのインプリメント ドライバー ISR アプリケーションでのイベント処理例 イベントハンドリング リトライ例

2 1 機能概要 複数ペリフェラル ( デバイスと呼びます ) を並行動作させて その IO 完了などのイベントを1カ所で待ち合わせて イベントドリブン処理を可能にします イベントは16 個を扱えます シーケンス処理を組めるように 特定のイベントだけ選別して待ち合わせる機能があります 待ち合わせるときに 適切な LPM0 LPM3 LPM4 を選んで wait します delay イベントを起こすことで タイムアウト監視やリトライが可能です 1.1 従来方式との違い 改善点 複数のデバイスを動作させたとき 従来の bis_sr_register(lpmx_bits+gie); で待ち合わせる方法は wait が解除されたのはどのデバイスが完了したのか判断ができません LPM0/LPM3/LPM4 どれで待つかはアプリケーションが適切に判断する必要があります ISR が先に wait 解除し アプリケーションが後から wait するクロス状態が起きると 永遠に wait することになります 今回提案する方式は ドライバーと ISR に所定の手続きを追加することで 複数のペリフェラル IO の待ち合わせを可能にします 複数同時の IO 完了も IOctl 領域の bit にプールされますので 取りこぼしがありません ISR の wait 解除とアプリケーションの IO 完了のタイミングが クロスしても正常に判定できます 特定のペリフェラル IO 完了だけ選別することができますので A->B->C のように IO 完了をシーケンスに組むこともできます ドライバーが必要な LPMx を設定しますので アプリケーションは LPM 制御の意識が不要になります 2 方式概要 下記の構成要素が連携して動作します 2

3 driver( デバイスに必要な初期設定をして ISR を呼ぶもの ) と ISR は IO 情報を IOctl に書き込んで wait と event を管理する event manager と相互連携して動きます AP は IO 要求 ( サイズ バッファアドレス ) を IO_req にセットして driver を呼びます driver は device に必要な設定をして IO を開始します ISR は device からの割り込みを処理して IO 完了したら AP を active にします driver と ISR は IO 情報を IOctl に書き込んで event manager に通知します event manager は IO 中の LPMx wait の制御と AP へ IO 完了の device_id を通知します 2.1 イベント定義テーブル ( the table of events ) IO 要求や完了などのイベントは device ID で識別します これは ユーザが再定義可能です イベントは下記のように bit 対応で定義します max16 個です Driver.h で定義します /* ======== device ID(uint16_t), user need to define ============== */ // the fixed ID #define Interval 0x01 // interval timer, timera1 #define DelayMs 0x02 // for delay_ms, ACLK(LPM3)-> WDT // user need to define following IDs #define Timer0 0x04 // ACLK,LPM3 //#define Timer1 0x08 // SMCLK,LPM0 #define SPI4 0x20 // SMCLK,LPM0 rev2.0 #define ADC 0x40 // ADC #define UartA0 0x80 // SMCLK,LPM0 #define PIO1 0x0100 // Port 1,LPM4 #define PIO2 0x0200 // 2 #define PIO3 0x0400 // 3 #define PIO4 0x0800 // IO 制御テーブル (The common table for IO control) 下記の構造体が Driver.h で定義されており 実体は IOctl_driver.c にあります driver と ISR がこのテーブルの device ID に該当する bit を on/off して制御します // common control structure for drivers and check_iowait() struct IO_ctl uint16_t Rq_LPM0; // requested LPM0 uint16_t Rq_LPM3; // LPM3 uint16_t St_busy; // under IO executing uint16_t St_endMK; // IO end mark ; extern struct IO_ctl IOctl; // defined in IOctl_driver.c IO 制御テーブルにアクセスするマクロアクセスの間違い防止と拡張性のため 下記のようなマクロを DriverG.h に用意してあります ドライバーと ISR はこのマクロを使用して IOctl table にアクセスします dev は device ID を表します 例 IOctl_start(UartA0); /* Macro define */ #define IOctl_LPM0on(dev) IOctl.Rq_LPM0 = dev 3

4 #define IOctl_LPM0off(dev) IOctl.Rq_LPM0 &= ~dev #define IOctl_LPM3on(dev) IOctl.Rq_LPM3 = dev #define IOctl_LPM3off(dev) IOctl.Rq_LPM3 &= ~dev #define IOctl_start(dev) IOctl.St_busy =dev; IOctl.St_endMK &= ~dev; #define IOctl_stop(dev) IOctl.St_busy &=~dev; IOctl.St_endMK = dev; LPM mode control LPM0 の wait を必要なデバイスは Rq_LPM0 の対応 bit を on にします IO 完了で off します LPM3 の wait を必要なデバイスは Rq_LPM3 の対応 bit を on にします IO 完了で off します Event Manager は wait するとき Rq_LPM0 と Rq_LPM3 を見て LPMx を判断して wait します IO status and IO completed driver は IO 開始するとき St_busy の device ID bit を on します IO 中か否かの識別に使います ISR は IO 完了 (IO_completed) のとき St_endMK の該当 bit を on にして Active mode に復帰します この後 event manager が wake-up して St_endMK: on の device ID を event として AP に返します 2.3 Event Manager の動作 アプリケーションは bis_sr_register(lpm0_bits+gie); の代わりに Check_IOwait(); で IO 完了を待ちます event manager は IO 完了デバイスを IOctl の St_endMK(LSB first) の on bit を探して見つけだし その device ID(uint16_t) を返します その時 St_endMK の device ID の bit は off します 複数 IO 完了しているときは この IOctl:St_endMK にプールされていますので Check_IOwait(); を呼ぶことで順次処理することができます もし IO 完了しているものがなければ (St_endMK=0) Rq_LPM0 Rq_LPM3 の bit が on の device がある場合は LPM0( 優先 ) か LPM3 で 無ければ LPM4 で wait します 2.4 Event Manager の関数例 1) uint16_t Check_IOwait(uint8_t wait_type) 戻り値 dv_id IO 完了したデバイス識別子 (Device ID) が戻ります wait_type: IOnowait wait しません IO 完了なしは dv_id=0 で戻ります IOwait wait します タイムアウトなしです 2) uint16_t Check_onlyDEV(uint16_t dv_id, uint8_t wait_type) 指定の dv-id イベントが起きるのを待ちます 4

5 3 ドライバーと ISR へのインプリメント この方式では ドライバーと ISR は IOctl を制御する所定の手続きの追加が必要です 3.1 ドライバー ドライバーは wait するときに必要な LPM0/LPM3 を設定し IO の開始を設定します 下記 2 行追加します IOctl_LPM3on(UartA0); IOctl_start(UartA0); 3.2 ISR ISR は IO 完了時には LPMx 解除と IO 終了を設定して active に復帰します IOctl_LPM3off(UartA0); IOctl_stop(UartA0); bic_sr_register_on_exit(lpm_all); LPM_all = LPM4_bits+GIE ISR は IO_req の U_status にエラー情報や IO 情報を返すことができます 下記は timer が割り込み CCR 種 別を返す例です また timer のように連続動作しながら CCR 割り込みを通知する場合は IOctl_stop(dev) ではなく IOctl_Mark_end(dev) により割り込みイベントの通知だけをすることができます switch ( _even_in_range( TA1IV, 0x0A) ) case 0x02: P_tm1->U_status = 0x02; case 0x0A: P_tm1->U_status = 0x01; default: IOctl_Mark_end(Timer1); bic_sr_register_on_exit(lpm_all); // CCR1 // CCR1 interrupt // TAIFG // set active 4 アプリケーションでのイベント処理例 4.1 イベントハンドリング 下記は SPI スレーブのイベントドリブン処理の抜粋です 前半 while までは 必要なデバイス (PIO ADC Timer0 SPI) の IO を開始しています while の中で各イベントの処理をしています switch(dev_id) 部分で イベント (Dev_ID=PIO1 ADC SPI4) を case に区分して 個別の処理をしています // enable interrupt of P1.3, SW2 PIOx_IntEnable_HtoL( Pio1, &SW_req ); Interval_set( &Interval_req ); // start ADCtemp and timer0->ta0.0->adc 5

6 // get my temperature TLV data ADCtemp_getTLV( ADC_data ); ADCtemp_start( ); ADCtemp_IO_NoWait( &IO_ADC ); // enable ADC timera0_io_nowait( &IO_timer0 ); // timer0 trigger ADC /* waiting event, endless loop */ /* exit by SPI received start command, _cmd_start */ while(1) uint8_t text, status; /* SPI transferring */ // sending RQSS if some messages leaved SPI_receive(); Dev_ID = Check_IOwait( IOwait ); switch ( Dev_ID ) /* PIO1: SW2-> P1.3 interrupt */ case PIO1: // P1.3: SW2 interrupt if( SW_req.U_status & SWbit ) LEDstatus ^= 0x00FF; // toggle if( LEDstatus ) P1OUT = BIT0; // LED on else P1OUT &= ~BIT0; // start interval to prevent from chattering of switchs Interval_CCRx_start( _ccr1 ); Mess_set_cmdDATA( s_id, Pio_ID, &PIO_event ); // send PIO event case Interval: // protecting from chattering if( Interval_req.U_status == fg_ccr1 ) Interval_CCRx_stop( _ccr1 ); PIOx_ReEnable_HtoL ( Pio1, SWbit ); /* ADC */ case ADC: Mess_set_cmdDATA( s_id, ADC_ID, &ADC_str ); // send ADC data ADCtemp_IO_NoWait( &IO_ADC ); // restart ADC /* SPI */ case SPI4: status = Mess_get_CMD( &R_mess ); SPIs_stop(); if ( status!= IO_completed ) // IO error P1OUT = BIT0; // LED on else // IO completed Mess_release_BUF(); // releasing the used buffer switch ( R_mess.M_cmd ) case _cmd_start: // restart goto Start; case _cmd_pio: Mess_get_DATA( 2, &LEDstatus ); 6

7 // LED on/off if( LEDstatus ) P1OUT = BIT0; // LED on else P1OUT &= ~BIT0; case _cmd_test: status = 0; for(cnt=4; cnt< R_mess.size_DT; cnt++) text = rvbuf[cnt] +1; if ( text!= rvbuf[cnt+1] ) status++; if ( status ) Mess_set_CMD( s_id, sdbuf_id, &NAK_str ); else Mess_set_CMD( s_id, sdbuf_id, &ACK_str ); default: // end of SPI4 switch default: /* switch end */ 4.2 リトライ例 IO エラーが起きた時など リトライしたいときは下記ように関数を呼ぶと 5ms 後に DelayMs イベントを起こすことができます 時間選択は Timer_driverG.h/Timer_driverFR.h に説明があります この関数は WDT をカウンタモードで利用しています msdelay_nowait( _V5ms ); 以上 7

1 装置構成 1.1 実験装置 下記写真が検証用に組み上げた装置外観です マスター 1 台とスレーブ 6 台で構成してあります Figure 1: Boards configuration master:msp-ts430rgz48c+fr5969 中央の黒いボード slave :MSP-EXP43

1 装置構成 1.1 実験装置 下記写真が検証用に組み上げた装置外観です マスター 1 台とスレーブ 6 台で構成してあります Figure 1: Boards configuration master:msp-ts430rgz48c+fr5969 中央の黒いボード slave :MSP-EXP43 MSP430 SPI マルチスレーブ システム Version3.0 2014/12/16 PIC 山内一男 この資料では SS(Slave Select) 信号線を使用して SPI のマルチスレーブ システムを MSP430 で構築する具体的な方法を説明します 一般的には master から slave に SS 信号を出して SPI 通信を行います これに加えて slave から master

More information

MSP430 4 線式 SPI マルチスレーブ ライブラリメッセージマネージャ編 version /3/10 PIC 山内一男 この資料は 複数 IOの管理方式 v1.0 4 線式 SPIマルチスレーブ ライブラリとデモシステム をベースにした続編にあたります 本書は マスターとマル

MSP430 4 線式 SPI マルチスレーブ ライブラリメッセージマネージャ編 version /3/10 PIC 山内一男 この資料は 複数 IOの管理方式 v1.0 4 線式 SPIマルチスレーブ ライブラリとデモシステム をベースにした続編にあたります 本書は マスターとマル MSP430 4 線式 SPI マルチスレーブ ライブラリメッセージマネージャ編 version 1.0 2014/3/10 PIC 山内一男 この資料は 複数 IOの管理方式 v1.0 4 線式 SPIマルチスレーブ ライブラリとデモシステム をベースにした続編にあたります 本書は マスターとマルチスレーブのSPI 接続を利用した メッセージ交換による マルチCPU 連携処理システム 向けの メッセージマネージャライブラリを説明するものです

More information

1 割 込 み 要 因 とベクターの 確 認 1.1 割 り 込 みベクター 割 込 みを 間 違 えていて ISR が 未 登 録 だと CCS の version によって 暴 走 するか 無 限 ループすることになります ( 後 述 ) そのリスクを 回 避 するために data-sheet

1 割 込 み 要 因 とベクターの 確 認 1.1 割 り 込 みベクター 割 込 みを 間 違 えていて ISR が 未 登 録 だと CCS の version によって 暴 走 するか 無 限 ループすることになります ( 後 述 ) そのリスクを 回 避 するために data-sheet MSP430 ISR 開 発 のノウハウとトラブル 防 止 [ msp430info, ISR and driver, trouble ] 2014.6.3 PIC 山 内 一 男 ペリフェラルの ISR を 開 発 するときの ノウハウとトラブル 防 止 の 留 意 事 項 について 解 説 いたします MSP430 のシリーズが 増 えて シリーズごとにペリフェラルのレジスタ 内 容 や 割 込

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 TMR 8 ビットタイマ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ TMR の概要 プログラムサンプル (1) パルス出力機能 (8 ビットモード ) プログラムサンプル (2) インターバルタイマ機能 (16 ビット コンペアマッチカウントモード ) プログラムサンプルのカスタマイズ

More information

FreeBSD 1

FreeBSD 1 FreeBSD 1 UNIX OS 1 ( ) open, close, read, write, ioctl (cdevsw) OS DMA 2 (8 ) (24 ) 256 open/close/read/write Ioctl 3 2 2 I/O I/O CPU 4 open/close/read/write open, read, write open/close read/write /dev

More information

RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for

RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for ARM Lite 4.2.0 で作成した STM32F4 Discovery 基板用のプロジェクトです

More information

スライド 1

スライド 1 RX62N 周辺機能紹介データフラッシュ データ格納用フラッシュメモリ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ データフラッシュの概要 プログラムサンプル 消去方法 書き込み方法 読み出し方法 FCUのリセット プログラムサンプルのカスタマイズ 2 データフラッシュの概要 3 データフラッシュとは フラッシュメモリ

More information

1. A/D 入力について分解能 12bit の A/D コンバータ入力です A/D 入力電圧とディジタル値との対応は理論上 入力電圧 0V : 0 入力電圧 +3V : 4095 です 実際はオフセットと傾きがあり ぴったりこの数値にはなりません 2. A/D 入力に使用する信号 STM32L_A

1. A/D 入力について分解能 12bit の A/D コンバータ入力です A/D 入力電圧とディジタル値との対応は理論上 入力電圧 0V : 0 入力電圧 +3V : 4095 です 実際はオフセットと傾きがあり ぴったりこの数値にはなりません 2. A/D 入力に使用する信号 STM32L_A STM32L_ADC の説明 V003 2014/03/30 STM32L-Discovery の A/D 入力を行うプログラムです A/D CH0 ~ A/D CH3 の 4 本の入力が可能です 提供する PC のアプリケーション Access_SerialPort を使用して UART( 非同期シリアル通信 ) により A/D 入力の表示を行うことができます 無料の開発ツール Atollic TrueSTUDIO

More information

MSP430 FR5739 LPM3.5 を RTC アラームで wake-up するデモシステム (Example2) - その 2- LPM3.5 省電力モードを RTC アラームで wake-up して ADC10 温度測定をするデモシステム 2 Rev02 July Trout

MSP430 FR5739 LPM3.5 を RTC アラームで wake-up するデモシステム (Example2) - その 2- LPM3.5 省電力モードを RTC アラームで wake-up して ADC10 温度測定をするデモシステム 2 Rev02 July Trout MSP430 FR5739 LPM3.5 を RTC アラームで wake-up するデモシステム (Example2) - その 2- LPM3.5 省電力モードを RTC アラームで wake-up して ADC10 温度測定をするデモシステム 2 Rev02 July.8.2013 Trout( ニックネーム ) 1 概要とポイント デモシステム2は LPM4 利用のデモシステム1を LPM3.5

More information

untitled

untitled FutureNet Microsoft Corporation Microsoft Windows Windows 95 Windows 98 Windows NT4.0 Windows 2000, Windows XP, Microsoft Internet Exproler (1) (2) (3) COM. (4) (5) ii ... 1 1.1... 1 1.2... 3 1.3... 6...

More information

スライド 1

スライド 1 マイコンをはじめよう 割り込みを使おう 徳島大学大学院ソシオテクノサイエンス研究部 技術専門職員辻明典 連絡先 : 770-8506 徳島市南常三島町 2-1 TEL/FAX: 088-656-7485 E-mail::a-tsuji@is.tokushima-u.ac.jp 割り込みを使おう 第 8 回 2013/9/14(Sat) 10:00 11:30 2 本日の予定 1 割り込みについて 2

More information

RX600 & RX200シリーズ アプリケーションノート RX用仮想EEPROM

RX600 & RX200シリーズ アプリケーションノート RX用仮想EEPROM R01AN0724JU0170 Rev.1.70 MCU EEPROM RX MCU 1 RX MCU EEPROM VEE VEE API MCU MCU API RX621 RX62N RX62T RX62G RX630 RX631 RX63N RX63T RX210 R01AN0724JU0170 Rev.1.70 Page 1 of 33 1.... 3 1.1... 3 1.2... 3

More information

CoIDE 用 F4D_VCP の説明 V /07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x

CoIDE 用 F4D_VCP の説明 V /07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x CoIDE 用 F4D_VCP の説明 V001 2014/07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x08000000 です デバッグが可能です 目次 1. USB の VCP( 仮想 COM ポート )

More information

~~~~~~~~~~~~~~~~~~ wait Call CPU time 1, latch: library cache 7, latch: library cache lock 4, job scheduler co

~~~~~~~~~~~~~~~~~~ wait Call CPU time 1, latch: library cache 7, latch: library cache lock 4, job scheduler co 072 DB Magazine 2007 September ~~~~~~~~~~~~~~~~~~ wait Call CPU time 1,055 34.7 latch: library cache 7,278 750 103 24.7 latch: library cache lock 4,194 465 111 15.3 job scheduler coordinator slave wait

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 SAU シリアル アレイ ユニット ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ SAU の概要 UART 通信機能のプログラム サンプル紹介 2 SAU の概要 3 SAU の機能 クロック同期式調歩同期式マスタ動作のみ チャネル 0: 送信チャネル 1: 受信 4 UART

More information

1. USB の VCP( 仮想 COM ポート ) について USB の VCP( 仮想 COM ポート ) は USB を非同期シリアル通信として使用するための USB のドライバです PC には VCP ドライバをインストールする必要があります USB の VCP( 仮想 COM ポート )

1. USB の VCP( 仮想 COM ポート ) について USB の VCP( 仮想 COM ポート ) は USB を非同期シリアル通信として使用するための USB のドライバです PC には VCP ドライバをインストールする必要があります USB の VCP( 仮想 COM ポート ) TrueSTUDIO 用 F4D_VCP の説明 V001 2014/07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです 無料の試用版開発ツール Atollic TrueSTUDIO for ARM Lite で作成したプロジェクトです ビルド可能なプログラムのコードサイズが 32Kbyte 以内の制限があります プログラムの開始番地は 0x08000000

More information

【注意事項】RXファミリ 組み込み用TCP/IP M3S-T4-Tiny

【注意事項】RXファミリ 組み込み用TCP/IP M3S-T4-Tiny 注意事項 RX ファミリ組み込み用 TCP/IP M3S-T4-Tiny R20TS0227JJ0100 Rev.1.00 号 概要 RX ファミリ組み込み用 TCP/IP M3S-T4-Tiny ( 注 ) の使用上の注意事項を連絡します 1. Ping Reply パケットに関する注意事項 2. LAN ネットワーク環境に関する注意事項 3. select() 関数のタイムアウト設定値に関する注意事項

More information

< D A0>

< D A0> #include #include ".h" * マスターモード専用 I2C 関数 教育 ホビー用 * 営利目的 商用への利用は禁止 * 詳しいタイミングは NXP の資料参照のこと 関数の説明 * ストップ状態にします : クロックをHにしてデータをL->Hします _stop() SDA_LOW(); 初めはデータを L 確認 SCL_HIGH(); SCL を H にする //

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 CMT コンペアマッチタイマ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ CMT の概要 プログラムサンプル プログラムサンプルのカスタマイズ 2 CMT の概要 3 CMT の仕様 CMT ユニット 0 チャネル 16ビットタイマ CMT0 CMT1 ユニット 1 CMT2 CMT3

More information

// USB_CNC_Machine リモート PIO 端末プログラムのメイン関数およびユーザアプリ部 // 編集作成 by Takehiko Inoue /*********** メイン関数 ***************************/ #pragma code

// USB_CNC_Machine リモート PIO 端末プログラムのメイン関数およびユーザアプリ部 // 編集作成 by Takehiko Inoue /*********** メイン関数 ***************************/ #pragma code // USB_CNC_Machine リモート PIO 端末プログラムのメイン関数およびユーザアプリ部 // 2017.03.16 編集作成 by Takehiko Inoue /*********** メイン関数 ***************************/ #pragma code void main(void) /* IO ピン初期設定 */ ANSEL = 0x00; // 0b10000000

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 MTU2 マルチファンクションタイマパルスユニット 2 ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ MTU2 の概要 プログラムサンプル (1) インプットキャプチャ機能 プログラムサンプル (2) PWM モード プログラムサンプル (3) 相補 PWM モード プログラムサンプルのカスタマイズ

More information

inst.c

inst.c 1#include 2#include 3#include // 乱乱乱乱 PC 内ののの規規に従っっ 疑疑乱乱を発発ささの 4#include // 現現の時時を秒乱で返す乱乱 5 6#define DATA BIT0 // DATA P2.0 7#define CLOCK BIT1 // CLOCK P2.1

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 ADC A/D コンバータ ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ ADC の概要 ソフトウエア トリガ セレクト モード 連続変換モードのプログラム サンプル紹介 2 ADC の概要 3 ADC のブロック図 パワー オフが可能 入力 選択 記憶 比較 基準電圧 変換結果

More information

GR-SAKURA-SAのサンプルソフト説明

GR-SAKURA-SAのサンプルソフト説明 フルカラーシリアル LED テープ (1m) を GR-KURUMI で使ってみる 2014/2/25 がじぇっとるねさす鈴木 Rev. 1.00 フルカラーシリアル LED の特徴 http://www.switch-science.com/catalog/1399/ 3570 円 1m で 60 個の LED がついている 電源と信号線 1 本で制御する x 24 この信号を 24 個送信して

More information

TFTP serverの実装

TFTP serverの実装 TFTP サーバーの実装 デジタルビジョンソリューション 佐藤史明 1 1 プレゼンのテーマ組み込みソフトのファイル転送を容易に 2 3 4 5 基礎知識 TFTP とは 実践 1 実際に作ってみよう 実践 2 組み込みソフトでの実装案 最後におさらい 2 プレゼンのテーマ 組み込みソフトのファイル転送を容易に テーマ選択の理由 現在従事しているプロジェクトで お客様からファームウェアなどのファイル転送を独自方式からTFTPに変更したいと要望があった

More information

Compatibility list: vTESTstudio/CANoe

Compatibility list: vTESTstudio/CANoe 1.0 および 1.1 で作成されたテストユニットは テスト内で使用されるコマンドに関わらず 必ず下記の最小バージョン以降の CANoe にて実行してください vteststudio 2.0 以上で作成されたテストユニット ( 新機能を使用していない場合 ) は それぞれに応じた最小バージョン以降の CANoe にて実行してください 下記の表にて 各バージョンに対応する要件をご確認ください vteststudio

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 RTC リアルタイムクロック ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ RTC の概要 プログラムサンプル プログラムサンプルのカスタマイズ 2 RTC の概要 3 RTC の仕様 32.768KHz メイン発振 サブ発振 CPG RTC システムクロック (ICLK) 周辺モジュールクロック

More information

CommandMatrix_8230E

CommandMatrix_8230E 4. USB 4. USB 4.1 USB2.0 Full Speed USB (Universal Serial Bus) USB 4.2 USB : USB2.0 Full Speed : USB B : A112010 USB(A) -USB B 1 m ID: MYID 1 127 : : ASCII : ASCII : ADC USB 4.3 USB 4.3.1 ADC USB ADC USB

More information

ETCB Manual

ETCB Manual ETCB Manual HARDWARE & PROGRAMMING MANUAL 目次 始めに ETCB 仕様 開発環境の構築 01 始めに 始めに 始めに 注意事項 免責事項 同梱品 02 始めに サポート 03 ETCB 仕様 ETCB 仕様 概要 仕様 項目サブ項目内容電源推奨入力電圧 6.6V~12V 最大入力電圧 16V 出力電圧内部 3.3V 外部 5.0V 最大出力電流値最大 2.2A

More information

CashDrawer ライブラリ API 仕様書 2014/07/09 CashDrawer ライブラリ API 仕様書 Rev / 10

CashDrawer ライブラリ API 仕様書 2014/07/09 CashDrawer ライブラリ API 仕様書 Rev / 10 2014/07/09 CashDrawer ライブラリ API 仕様書 Rev. 00.0.04 1 / 10 目次 1. ファイル構成... 3 2. 環境 3 2.1. 動作環境 OS... 3 2.2. コンパイル時の注意点... 3 2.3. USB ドライバ... 3 3. 関数一覧... 4 3.1. USB 接続確認処理 (CD_checkConnect CD_checkConnect)

More information

ReTRY HUB

ReTRY HUB USB デバイス接続制御アダプター ReTRY HUB 型番 CT USB4HUB 設定ソフト Ver1.0 版 マニュアル http://www.centech.jp 2017/04/21 製品仕様 商品名 型番 ReTRY HUB CT USB4HUB サイズ 縦 75mm x 横 120mm x 高さ15mm( 突起部含まず ) 重量 約 230g( 本体のみ ) 消費電流 12V 30mA(

More information

TCP_BP3591 の説明 V /03/28 ROHM 社製 WIFi モジュール BP3591 を使用して 無線 LAN により TCP/IP 通信を行うプログラムです 簡単な文字列によるコマンド ( 例 : LED0 ON ) を受信して LED の ON/OFF を行います 受

TCP_BP3591 の説明 V /03/28 ROHM 社製 WIFi モジュール BP3591 を使用して 無線 LAN により TCP/IP 通信を行うプログラムです 簡単な文字列によるコマンド ( 例 : LED0 ON ) を受信して LED の ON/OFF を行います 受 TCP_BP3591 の説明 V002 2014/03/28 ROHM 社製 WIFi モジュール BP3591 を使用して 無線 LAN により TCP/IP 通信を行うプログラムです 簡単な文字列によるコマンド ( 例 : LED0 ON ) を受信して LED の ON/OFF を行います 受信したデータはエコーバックされます 無料の開発ツール Atollic TrueSTUDIO for ARM

More information

REVISION 2.85(6).I 1

REVISION 2.85(6).I 1 REVISION 2.85(6).I 1 2 3 4 5 6 7 8 KDC300 ユーザーマニュアル 1.1 同梱物 本機のパッケージには 以下の物が同梱されています 1 2 3 4 本体 バーコード Data Collector 1 台 USB ケーブル 1本 ネックストラップ 1 本 ソフトウェアとユーザーマニュアルを含む CD-ROM 1枚 KTSync - XP, Vista,Windows7,

More information

MTK-RL78G14 クラウドデモンストレーション 2013 年 7 月 30 日 1

MTK-RL78G14 クラウドデモンストレーション 2013 年 7 月 30 日 1 MTK-RL78G14 クラウドデモンストレーション 2013 年 7 月 30 日 1 デモンストレーション構成 Exosite サーバ インターネット PC USB 接続 Wi-Fi でルータ等を介して接続 MTK Wi-Fi 接続 (Wi-Fi 設定時 ) MTK は PC を USB 接続すると即電源 ON する クラウドモードで再起動するとインターネット接続され Exosite のサーバにセンサーのデータが送信される

More information

Microsoft Word - Win-Outlook.docx

Microsoft Word - Win-Outlook.docx Microsoft Office Outlook での設定方法 (IMAP および POP 編 ) How to set up with Microsoft Office Outlook (IMAP and POP) 0. 事前に https://office365.iii.kyushu-u.ac.jp/login からサインインし 以下の手順で自分の基本アドレスをメモしておいてください Sign

More information

PIC

PIC PIC - 1 ページ 2 週目 UBW を使用してみる 2010 年 5 月 23 日 15:28 前回の Gainer mini はあくまでも PC 側にプログラムがあり PIC は IO ボックス的な使用しかできなかった 入出力デバイスとして PIC を使う場合は 簡易で便利であるが それ以上の事 (PC なしでの動作 PC と対等の動作 ) は出来ない 今週からは 本来の PIC としての使い方を学ぶ

More information

○前期高齢者(70歳~74歳)(老人保健なし)

○前期高齢者(70歳~74歳)(老人保健なし) 入院外レセプトのカスタマイズプログラムについて変更箇所を以下に記します 1. レセプト処理時に作成する中間ファイルについてコピー句が変更となります CPCOMMONDAT2.INC CPRECEDAT1.INC このコピー句変更に伴い 中間ファイルの作成場所が /var/tmp/ から / /tmp/ に変更となり 初期処理での中間ファイル名設定時に HOSPNUM のセットが必要となります 2.

More information

CoIDE 用 STM32F4_UART2 の説明 V /03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000

CoIDE 用 STM32F4_UART2 の説明 V /03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000 CoIDE 用 STM32F4_UART2 の説明 V002 2014/03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000000 です デバッグが可能です 提供する PC のアプリケーションの Access_SerialPort

More information

改版履歴 Ver 改版日内容 /02/07 新規作成 2 / 18

改版履歴 Ver 改版日内容 /02/07 新規作成 2 / 18 多目的俯瞰画像合成システム 機能仕様書 Crawler View 画像合成 RTC 発行日 2017 年 3 月 30 日 公立大学法人会津大学 株式会社東日本計算センター 1 / 18 改版履歴 Ver 改版日内容 1.0 2016/02/07 新規作成 2 / 18 1. 内容 1. はじめに... 4 1.1. 対象読者... 4 1.2. 適応範囲... 4 1.3. 開発環境及び使用機器...

More information

AN424 Modbus/TCP クイックスタートガイド CIE-H14

AN424 Modbus/TCP クイックスタートガイド CIE-H14 Modbus/TCP クイックスタートガイド (CIE-H14) 第 1 版 2014 年 3 月 25 日 動作確認 本アプリケーションノートは 弊社取り扱いの以下の機器 ソフトウェアにて動作確認を行っています 動作確認を行った機器 ソフトウェア OS Windows7 ハードウェア CIE-H14 2 台 ソフトウェア ezmanager v3.3a 本製品の内容及び仕様は予告なしに変更されることがありますのでご了承ください

More information

1. UART について UART は Universal Asynchronous Receiver Transmitter の頭文字をとったもので 非同期シリアル通信と呼ばれます シリアル通信とは 一本の信号線でデータをやりとりするために 1bit ずつデータを送出することをいいます データを受

1. UART について UART は Universal Asynchronous Receiver Transmitter の頭文字をとったもので 非同期シリアル通信と呼ばれます シリアル通信とは 一本の信号線でデータをやりとりするために 1bit ずつデータを送出することをいいます データを受 STM32L_UART1 の説明 V004 2014/03/30 STM32L-Discovery の UART 1 の送受信を行うプログラムです 無料の開発ツール Atollic TrueSTUDIO for ARM Lite( 試用版 ) で作成したプロジェクトです プログラムの開始番地は 0x08000000 です デバッグが可能です PC アプリケーションの Access_SerialPort

More information

oxygen49-61_userguide

oxygen49-61_userguide [ WEB ] 8 9 10 1 2 3 4 6 7 11 5 1 2 3 4 Key Parameter Value Control Assign (example) MIDI CC number 10 (Pan) Data 2 (example) Release 0 (minimum) Data 3 (example) Press 127 (maximum) Key Parameter

More information

TeraTerm Pro V.2.32の利用法

TeraTerm Pro V.2.32の利用法 Tera Term Pro ver.2.23 Windows Ver.2.1 2 Tterm23Zip Ttermp23 cedar ttermpro Ttermpro 3 Setup Terminal 3 Setup Window 4 Setup Font 5 Setup keyboard 6 Setup Serial port 7 Setup General 8 9 Tera Term Pro

More information

1

1 PalmGauss SC PGSC-5G Instruction Manual PalmGauss SC PGSC-5G Version 1.01 PalmGauss SC PGSC5G 1.... 3 2.... 3 3.... 3 3.1... 3 3.2... 3 3.3 PalmGauss... 4 3.4... 4 3.4.1 (Fig. 4)... 4 3.4.2 (Fig. 5)...

More information

5 1 2 3 4 5 6 7 8 9 10 11 12 1 132 CMOS Setup Utility - Copyright (C) 1984-2000 Award Software Power Management Setup ACPI Suspend Type S3 (STR) Power Management User Define Video Off Method DPMS Video

More information

JIIAセミナー

JIIAセミナー Digital Interface IIDC URL teli.co.jp/ E-Mail http://www.toshiba-teli.co.jp teli.co.jp/ s-itokawa@toshiba-teli.co.jpteli.co.jp EIA,NTSC EIA,NTSC 4-5 JIIA JIIA - / Digital Interface Digital Interface IEEE1394

More information

intra-mart Accel Platform

intra-mart Accel Platform intra-mart Accel Platform IM- 共通マスタスマートフォン拡張プログラミングガイド 2012/10/01 初版 変更年月日 2012/10/01 初版 > 変更内容 目次 > 1 IM- 共通マスタの拡張について...2 1.1 前提となる知識...2 1.1.1 Plugin Manager...2 1.2 表記について...2 2 汎用検索画面の拡張...3

More information

Axiom_AIR_49_-_UserGuideJP_-_v1.0

Axiom_AIR_49_-_UserGuideJP_-_v1.0 [ WEB ] [ MAIL ] USB MIDI IN MIDI OUT R L R L VOL 3 2 4 5 1 4 8 5 7 3 3 2 2 1 6 B D A C E G F F F F F F 1 2 3 4 5 6 7 8 Appendix MIDI Mode: Messages and Sub-Parameters Modulation Wheel, Fader,

More information

MSP430 CCSv5 を使い Flash Memory 内容と version 情報を確認する方法 ( テクニック編 ) Rev: PIC Trout 今回は 下記の2 件について説明します 1) CCSv5 を使用して MSP430 の Flash Memory 内容を

MSP430 CCSv5 を使い Flash Memory 内容と version 情報を確認する方法 ( テクニック編 ) Rev: PIC Trout 今回は 下記の2 件について説明します 1) CCSv5 を使用して MSP430 の Flash Memory 内容を MSP430 CCSv5 を使い Flash Memory 内容と version 情報を確認する方法 ( テクニック編 ) Rev:01 10.4.2013 PIC Trout 今回は 下記の2 件について説明します 1) CCSv5 を使用して MSP430 の Flash Memory 内容を確認する方法 JTAG アクセスができるデバイス ( セキュリティ Fuse 断ではできません ) に対して

More information

Lab GPIO_35 GPIO

Lab GPIO_35 GPIO 6,GPIO, PSoC 3/5 GPIO HW Polling and Interrupt PSoC Experiment Lab PSoC 3/5 GPIO Experiment Course Material 6 V2.02 October 15th. 2012 GPIO_35.PPT (65 Slides) Renji Mikami Renji_Mikami@nifty.com Lab GPIO_35

More information

Microsoft PowerPoint - WRR-celinux-upload 1.ppt

Microsoft PowerPoint - WRR-celinux-upload 1.ppt Embedded optimization (2) Starvation free real time scheduler NEC システムプラットフォーム研究所塚本明 (Akira Tsukamoto) WRR スケジュラーの設計 No starvation 従来の Linux スケジュラーではリアルタイムタスク (FIFO,RR) が存在中は 全く通常タスク (OTHER) が選択されない (

More information

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ 第 2 回 本日の内容割り込みとは タイマー 割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれば 次の動作に移るし そうでなければ また少し待ってから同じことを繰り返す

More information

手動操作によるパーティション作成方法 4. リストア方法 (3) システム情報の復元 の 3-2) の手順で sfdisk コマンドによるシステムのパーティション情報の復元に失敗する場合は fdisk コマンドにて手動でパーティションを作成してください 作成するパーティションのサイズは バックアップ

手動操作によるパーティション作成方法 4. リストア方法 (3) システム情報の復元 の 3-2) の手順で sfdisk コマンドによるシステムのパーティション情報の復元に失敗する場合は fdisk コマンドにて手動でパーティションを作成してください 作成するパーティションのサイズは バックアップ 本書は サポートポータルで公開している Express5800/R320c(RHEL6) システムディスクのバックアップリストア手順 ( コンテンツ ID: 3140101118) に掲載している システムのバックアップリストア手順書 の補足情報です システムディスクに 増設用 200GB SSD ( 型番 : N8850-052) を利用している環境で リストア実行時にパーティション作成に失敗して付録の

More information

1.dll の配置場所配布時はプログラムの実行フォルダーへ配置 2. 開発環境での使用 プロジェクトのプロパティーで [USBPIO.dll] を参照追加してください 開発環境 dll ファイルの場所 VB.Net Express Edition 境プロジェクトのフォルダ \bin\release VB.Netebugビルドの場合プロジェクトのフォルダ \bin\debug VB.Net Releaseビルドの場合プロジェクトのフォルダ

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

RF2_BIOS一覧

RF2_BIOS一覧 Main Main Menu System Time System Date hh:mm:ss( 時 :00~23/ 分 :00~59/ 秒 :00~59) www mm/dd/yyyy ( 曜日 : 自動設定 / 月 :01~12/ 日 :01~31/ 年 :1980~2099) Advanced Internal Pointing Device Enabled Enabled/Disabled

More information

RT300i/RT140x/RT105i 取扱説明書

RT300i/RT140x/RT105i 取扱説明書 2 3 4 5 6 7 8 9 10 Bold face Enter Ctrl Tab BS Del Typewriter face RT105i RT300i RT140p RT140f RT140i RT140e RT105i RT300i 11 RARP 9600 bit/s 8 http://www.rtpro.yamaha.co.jp/ ftp.rtpro.yamaha.co.jp 12

More information

スライド 1

スライド 1 8. ステッピングモータの制御を学ぼう 秋月電子通商 PIC ステッピングモータドライバキット ( 小型モータ付き ) を参照しました. 回路製作の詳細は第 0 章を参照してください. 1 2 第 0 章図 28 より完成写真 ( マイコン回路 + ステッピングモータ駆動回路 ) PIC マイコンによるステッピングモータの制御 PIC16F84 R 1 R 2 RB6 RB0 ステッピングモータ S

More information

スライド 1

スライド 1 RX ファミリ用コンパイラスタートアップの紹介 ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ スタートアップの概要 スタートアッププログラム例 外部メモリを利用する場合の設定 2 スタートアップの概要 3 処理の流れとファイル構成例 パワーオン リセット Fixed_Vectors ( 固定ベクタテーブル )

More information

DocuWide 2051/2051MF 補足説明書

DocuWide 2051/2051MF 補足説明書 ëêèõ . 2 3 4 5 6 7 8 9 0 2 3 4 [PLOTTER CONFIGURATION] [DocuWide 2050/205 Version 2.2.0] [SERIAL] BAUD_RATE =9600 DATA_BIT =7 STOP_BIT = PARITY =EVEN HANDSHAKE =XON/XOFF EOP_TIMEOUT_VALUE =0 OUTPUT RESPONSE

More information

intra-mart Accel Platform — IM-共通マスタ スマートフォン拡張プログラミングガイド   初版  

intra-mart Accel Platform — IM-共通マスタ スマートフォン拡張プログラミングガイド   初版   Copyright 2012 NTT DATA INTRAMART CORPORATION 1 Top 目次 1. 改訂情報 2. IM- 共通マスタの拡張について 2.1. 前提となる知識 2.1.1. Plugin Manager 2.2. 表記について 3. 汎用検索画面の拡張 3.1. 動作の概要 3.1.1. 汎用検索画面タブの動作概要 3.2. 実装の詳細 3.2.1. 汎用検索画面タブの実装

More information

RT300/140/105シリーズ 取扱説明書

RT300/140/105シリーズ 取扱説明書 REMOTE & BROADBAND ROUTER RT300i/RT140p/RT140f/RT140i RT140e/RT105p/RT105i/RT105e 2 3 4 5 6 7 8 9 10 Bold face Enter Ctrl Tab BS Del Console RT105i RT300i RT140p RT140f RT140i RT140e RT105p RT105i RT105e

More information

Rotem Meter View Software

Rotem Meter View Software Rotem Meter View (RMV) Version 2.05 Rotem Meter View Software PRIR42X9.DOC Page 1 1... 3 2... 3 3... 3 4... 4 5... 4 5.1 PC COM... 4 5.2 Excel... 5 5.3... 5 5.3.1... 5 5.3.2 Lost Contact Interval... 6

More information

プレゼンタイトルを入力してください

プレゼンタイトルを入力してください VoIP ゲートウェイと TELstaff の設定方法 株式会社日立ソリューションズ TELstaff サポートグループ 目次 1.VoIP ゲートウェイの設定 2.TELstaff の設定 VoIP ゲートウェイ側の詳細な設定は 機器付属のマニュアルをご参照ください 1 1.VoIP ゲートウェイの設定 1-1. メイン画面表示 1-1. メイン画面表示 メイン画面表示方法メイン画面の表示には 2

More information

13 I/O

13 I/O 13 I/O 98-0997-3 14 2 7 Linux OS OS OS I/O I/O TS-I/O I/O I/O TS-I/O TS-I/O 3 1 7 2 9 2.1..................... 9 2.2.................. 10 2.3 2...................... 12 2.4 Linux................... 14

More information

untitled

untitled VDSL... 1... 1 ACTIVATE VDSL LOOPBACK.... 3 CREATE VDSL PROFILE... 4 DESTROY VDSL PROFILE... 6 DISABLE VDSL PORT... 7 ENABLE VDSL PORT... 8 RESET VDSL... 9 RESET VDSL CPE.... 10 RESET VDSL PORT... 11 SET

More information

HULFT5 for Mainframe (XSP版) 新規導入手順書

HULFT5 for Mainframe (XSP版) 新規導入手順書 3 2002.5.21 1.1 1.2 HULFT 2.2 2.3 HULFT 2.4 2.5 2.6 HULFT JCL 2.7 2.8 HULFT 1 2 JOB HULIT,LIST=(A,JD) EX LIBE FD U01=MT,VOL=HULFT1 FD U02=DA,FILE=HULFT.V05.JCL,VOL=000001,CYL=(2,1),DRTY=10,FCB=(DSORG=PO)

More information

RX600 & RX200シリーズ RX用シンプルフラッシュAPI アプリケーションノート

RX600 & RX200シリーズ RX用シンプルフラッシュAPI アプリケーションノート R01AN0544JU0240 Rev.2.40 RX600 RX200 API MCU API API RX 0xFF 3.10 API RX610 RX621 RX62N RX62T RX62G RX630 RX631 RX63N RX63T RX210 1.... 2 2. API... 3 3.... 11 4.... 16 5. API... 18 6.... 32 R01AN0544JU0240

More information

本資料のご使用につきましては 次の点にご留意願います 本資料の内容については 予告無く変更することがあります 1. 本資料の一部 または全部を弊社に無断で転載 または 複製など他の目的に使用することは堅くお断りいたします 2. 本資料に掲載される応用回路 プログラム 使用方法等はあくまでも参考情報で

本資料のご使用につきましては 次の点にご留意願います 本資料の内容については 予告無く変更することがあります 1. 本資料の一部 または全部を弊社に無断で転載 または 複製など他の目的に使用することは堅くお断りいたします 2. 本資料に掲載される応用回路 プログラム 使用方法等はあくまでも参考情報で FSA サンプルプログラムマニュアル - 姿勢検出 - Rev.1.0 本資料のご使用につきましては 次の点にご留意願います 本資料の内容については 予告無く変更することがあります 1. 本資料の一部 または全部を弊社に無断で転載 または 複製など他の目的に使用することは堅くお断りいたします 2. 本資料に掲載される応用回路 プログラム 使用方法等はあくまでも参考情報であり これらに起因する第三者の知的財産権およびその他の権利侵害あるいは損害の発生に対し

More information

2

2 REVISION 2.85(6).I 2 3 4 5 8 24 32 37 83 87 88 88 89 90 1 91 1 6 7 8 KDC200 ユーザーマニュアル 1.1 同梱物 本機のパッケージには 以下の物が同梱されています 1 2 3 4 本体 バーコード Data Collector 1 台 USB ケーブル 1本 ネックストラップ 1 本 ソフトウェアとユーザーマニュアルを含む CD-ROM

More information

2006年10月5日(木)実施

2006年10月5日(木)実施 2010 年 7 月 2 日 ( 金 ) 実施 ファイル処理ファイルとはファイル (file) は日常用語では紙などを綴じたものを表すが, コンピュータ用語ではデータの集合体を指す言葉である ファイルは例えば, 文書ファイルやプログラムファイルのように, 用途によって分類されることもあれば, また, テキストファイルやバイナリファイルのように, ファイルの作り方によって分類されることもある なお,

More information

// このクラスの有効期間中の各呼び出しに使用される キャッシュされた Socket オブジェクト Socket socket = null; // 非同期処理が完了したことを通知するために信号を送るオブジェクト static ManualResetEvent clientdone = new Ma

// このクラスの有効期間中の各呼び出しに使用される キャッシュされた Socket オブジェクト Socket socket = null; // 非同期処理が完了したことを通知するために信号を送るオブジェクト static ManualResetEvent clientdone = new Ma HOW DO I ソケットで通信を行うには ここでは以下の手順で説明します ソケットクライアントを作成するデータを送信するデータを受信するソケットクライアントを使用する ソケットクライアントを作成する 1. このコンテンツのサポートファイルの Start フォルダから "UDPClient" プロジェクトを開きます 2. クライアントを動作させるため コンピューターで簡易 TCP/IP サービスを有効にする必要があります

More information

1. 使用する信号 1.1. UART 信号 UART 通信に使用する信号と接続相手との接続は以下の通りです UART 信号表 番号 CPU 機能名 CPU 信号名 基板コネクタピン番号 方向 接続相手の信号名 1 USART1_TX PA9 CN > RxD 2 USART1_R

1. 使用する信号 1.1. UART 信号 UART 通信に使用する信号と接続相手との接続は以下の通りです UART 信号表 番号 CPU 機能名 CPU 信号名 基板コネクタピン番号 方向 接続相手の信号名 1 USART1_TX PA9 CN > RxD 2 USART1_R TrueSTUDIO 用 L152CD_UART1 の説明 V001 2014/10/22 UART( 非同期シリアル通信 ) で送受信を行う STM32L152C-DISCO のプロジェクトサンプルです STM32L152C-DISCO は STMicroelectronics 社製の Cortex-M3 ARM CPU である STM32L152RCT6 を搭載した基板です 試用版の開発ツール

More information

Android プログラム ガイド

Android プログラム ガイド モバイルプリンター Android モジュールプログラムガイド ESC/POS, CPCL Ver. 1.00 更新履歴 日付 バージョン 対象 SDK 履歴 2012/11/29 0.08 新規 2014/03/18 1.00 1.064 USB インターフェース対応 1 1. 目次 Android モジュールプログラムガイド... 0 更新履歴... 1 1. 目次... 2 2. はじめに...

More information

Microsoft Word - DWR-S01D_Updater_取扱説明書_120514A.doc

Microsoft Word - DWR-S01D_Updater_取扱説明書_120514A.doc DWR-S01D Updater 取扱説明書 発行日 :2012/5/14 目次 概要...3 機能...3 準備するもの...3 本ソフトウェアについて...3 インストール手順...4 USBドライバーのインストール手順...8 デバイスマネージャーからのUSBドライバーのインストール手順...11 アップデート手順...16 アップデート後の確認...17 アップデートに失敗した場合...17

More information

+1 3 JKL F7 F6 +1 3 JKL SIMUL VIEW INST 9-16 DRUM 3 / 11 TRIG LIST 4 / 12 SAMPLE 5 / 13 OTHERS 6 / 14 7 / 15 PERFORM 1 / 9 VOICE 2 / 10 STEREO 8 / 16 OTHERS 6 / 14 DISK F1 DISK F1 SHIFT F5 DISK F1

More information

ユーザ デバイス プロファイル エクス ポートの使用方法

ユーザ デバイス プロファイル エクス ポートの使用方法 CHAPTER 41 ユーザデバイスプロファイルレコードをエクスポートする場合 次の 2 つのファイル形式名から選択できます All User Device Profile Details(All Phone Details ファイル形式 ): ユーザデバイスプロファイルに関連付けられた回線アトリビュート サービス およびユーザ ID をすべてエクスポートします Specific User Device

More information

PBASIC 2.5 PBASIC 2.5 $PBASIC directive PIN type New DEBUG control characters DEBUGIN Line continuation for comma-delimited lists IF THEN ELSE * SELEC

PBASIC 2.5 PBASIC 2.5 $PBASIC directive PIN type New DEBUG control characters DEBUGIN Line continuation for comma-delimited lists IF THEN ELSE * SELEC PBASIC 2.5 PBASIC 2.5 BASIC Stamp Editor / Development System Version 2.0 Beta Release 2 2.0 PBASIC BASIC StampR PBASIC PBASIC PBASIC 2.5 Parallax, Inc. PBASIC 2.5 PBASIC 2.5 support@microbot-ed.com 1

More information

A Responsive Processor for Parallel/Distributed Real-time Processing

A Responsive Processor for Parallel/Distributed Real-time Processing E-mail: yamasaki@{ics.keio.ac.jp, etl.go.jp} http://www.ny.ics.keio.ac.jp etc. CPU) I/O I/O or Home Automation, Factory Automation, (SPARC) (SDRAM I/F, DMAC, PCI, USB, Timers/Counters, SIO, PIO, )

More information

Prog1_10th

Prog1_10th 2012 年 6 月 20 日 ( 木 ) 実施ポインタ変数と文字列前回は, ポインタ演算が用いられる典型的な例として, ポインタ変数が 1 次元配列を指す場合を挙げたが, 特に,char 型の配列に格納された文字列に対し, ポインタ変数に配列の 0 番の要素の先頭アドレスを代入して文字列を指すことで, 配列そのものを操作するよりも便利な利用法が存在する なお, 文字列リテラルは, その文字列が格納されている領域の先頭アドレスを表すので,

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 磁気コンパスの試作 ~ データ送信の無線化 ~ 液晶表示 電源 5V 位 ICSP PICKit3 PIC:16F1827 液晶表示器 ACM1602NI-FLW-FBW-M01 液晶表示器 AQM0802A-RN-GBW PIC16F1827 完成版 変更点 :2015.1.23 2015.1.30 倒立振子デモ 2015.1.22 倒立振子, グラフィッデモ 2014.12.18 グラフィックデモ

More information

HA8000シリーズ ユーザーズガイド ~BIOS編~ HA8000/RS110/TS10 2013年6月~モデル

HA8000シリーズ ユーザーズガイド ~BIOS編~ HA8000/RS110/TS10 2013年6月~モデル P1E1M01500-3 - - - LSI MegaRAID SAS-MFI BIOS Version x.xx.xx (Build xxxx xx, xxxx) Copyright (c) xxxx LSI Corporation HA -0 (Bus xx Dev

More information

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ 第 2 回 本日の内容割り込みとは タイマー 割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれば 次の動作に移るし そうでなければ また少し待ってから同じことを繰り返す

More information

製åfi†æ¡‹åƒ–.xlsx

製åfi†æ¡‹åƒ–.xlsx [ 部品表 ] 名称 USB I/Oボード基板リレードライブボード基板 PIC8F2550-I/SP ICソケット 28P 抵抗 0KΩ 330Ω 電解コンデンサ 0μF セラミックコンデンサ 0.μF セラミック発振子 20MHz チョークコイル 00μH タクトスイッチ L 型ヘッダーピン 6P ヘッダーピン 5P XHコネクタ 2P( メス ) XHコネクタ 4P( メス ) XHコネクタ 8P(

More information

ユーザーズマニュアル(SVCCシリーズ)

ユーザーズマニュアル(SVCCシリーズ) SV-NET CONTROLLER SVCC SV-NET Controller SVCC SV-NET Controller Compact SV-NET SVCC SVCC SVC SVD SVCC SVCE TMasM TMc TMoS OS C SV-NET SV-NET AC SV-NET Controller Compact SV-NET Controller Ether C OS C

More information

Microsoft Word - CAN Monitor の使い方.doc

Microsoft Word - CAN Monitor の使い方.doc CAN Monitor の使い方 1. 仕様項目内容ポート数 1ch 対応速度 125kbps,250kbps,500kbps,1Mbps ログ記録 CSV フォーマットで時系列に記録時間は msec 単位 H/W mailbox 受信 :16ch 送信 :8ch 受信フィルタチャネル毎にデータ ID および MASK 機能設定可能 Bit Timing 調整可能 サンプリングポイントを約 30%~

More information

24th Embarcadero Developer Camp

24th Embarcadero Developer Camp 17 Th Developer Camp B4 Delphi/C++Builder テクニカルワークショップ Delphi / C++Builder 旧バージョンアプリケーションの移行 エンバカデロ テクノロジーズサポートチーム with 高橋智宏 1 17 Th Developer Camp Delphi Q1 2 midas.dll Q. 別々のバージョンで作成したデータベースアプリケーションがあります

More information

Untitled

Untitled AtTiny85 (TS119-5 ) ------------------------------------------ Ver-1.0 23.Aug.2016 S.S 9 5 CPU EE-PROM TS119-5(7 +α ) #include #define KEYIN 2 #define BUZZER 3 #define LED 5 #define stsound1

More information

ラズパイの USB バスパワーを OFF/ON する方法 2019/03/15 株式会社アートファイネックス 1. 概要 ラズパイを使用し RFID リーダ ライタ (USB 給電タイプ ) を制御する場合 何らかの理由によりリーダ ライタをリセットするために USB ケーブルを抜き差しして電源を切

ラズパイの USB バスパワーを OFF/ON する方法 2019/03/15 株式会社アートファイネックス 1. 概要 ラズパイを使用し RFID リーダ ライタ (USB 給電タイプ ) を制御する場合 何らかの理由によりリーダ ライタをリセットするために USB ケーブルを抜き差しして電源を切 ラズパイの USB バスパワーを OFF/ON する方法 1. 概要 ラズパイを使用し RFID リーダ ライタ (USB 給電タイプ ) を制御する場合 何らかの理由によりリーダ ライタをリセットするために USB ケーブルを抜き差しして電源を切り入りしたいことがあるかもしれません ところが 直接 USB ケーブルを抜き差しできないときは アプリケーション内からそれを行う必要があります 本書では

More information

CM-3G 周辺モジュール拡張技術文書 MS5607センサ(温度、気圧)

CM-3G 周辺モジュール拡張技術文書 MS5607センサ(温度、気圧) CM-3G 周辺モジュール拡張技術文書 MS5607 センサ ( 温度 気圧 ) ( 第 1 版 ) Copyright (C)2016 株式会社コンピューテックス 目次 1. はじめに... 1 2. MS5607 について... 1 3. 接続図... 1 4. アプリケーション ソース... 2 5. アプリケーションのコンパイル方法... 7 6. アプリケーションの実行... 8 1. はじめに

More information

Prog1_12th

Prog1_12th 2013 年 7 月 4 日 ( 木 ) 実施 ファイル処理ファイルとはファイル (file) は日常用語では紙などを綴じたものを表すが, コンピュータ用語ではデータの集合体を指す言葉である ファイルは例えば, 文書ファイルやプログラムファイルのように, 用途によって分類されることもあれば, また, テキストファイルやバイナリファイルのように, ファイルの作り方によって分類されることもある なお,

More information

帯域を測ってみよう (適応型QoS/QoS連携/帯域検出機能)

帯域を測ってみよう (適応型QoS/QoS連携/帯域検出機能) RTX1100 client server network service ( ) RTX3000 ( ) RTX1500 2 Sound Network Division, YAMAHA 3 Sound Network Division, YAMAHA 172.16.1.100/24 172.16.2.100/24 LAN2 LAN3 RTX1500 RTX1100 client 172.16.1.1/24

More information

PowerPoint Template

PowerPoint Template プログラミング演習 Ⅲ Linked List P. Ravindra S. De Silva e-mail: ravi@cs.tut.ac.jp, Room F-413 URL: www.icd.cs.tut.ac.jp/~ravi/prog3/index_j.html 連結リストとは? 一つひとつの要素がその前後の要素との参照関係をもつデータ構造 A B C D 連結リストを使用する利点 - 通常の配列はサイズが固定されている

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション TCG-JRF セミナー講演資料 PCでの活用事例 : PC 実装に必要な対応項目 ソリューション例 2010 年 11 月 4 日 ( 株 ) 富士通研究所ヒューマンセントリックシステム研究所 アジェンダ Opal HDD 採用のモチベーション Opal HDDの特徴 PC 搭載 Opal HDDの初期設定 Shadow Area 開発における注意点 Opal HDDの具体的なアクセス方法 Opal

More information

株式会社アルウィン C 言語コーディング規約 ver.0.1

株式会社アルウィン C 言語コーディング規約 ver.0.1 C 言語コーディング規約 ver.0.1 1. はじめに本コーディング規約は ( 株 ) アルウィン社内で作成する C 言語ソースコードの可読性 メンテナンス性の向上 丌具合の混入を防ぎやすくするための記述方法及び 推奨する記述方法を記述した文書である 2. 目的 本コーディング規約は ソースコードの可読性 メンテナンス性の向上 丌具合の混入 を可能な限り防ぎ 品質の高いソースコードを作成する一助とすることを目的とする

More information

MS916/MS926 照合機能の操作方法 Ver.4 照合モード (Data Validation) では 1 対 1 1 対 N および LookUp テーブル参照によるバーコードの照合を行います 照合したバーコードは一致したデータのみをホストに送信またはメモリに保存します 照合機能の設定手順

MS916/MS926 照合機能の操作方法 Ver.4 照合モード (Data Validation) では 1 対 1 1 対 N および LookUp テーブル参照によるバーコードの照合を行います 照合したバーコードは一致したデータのみをホストに送信またはメモリに保存します 照合機能の設定手順 MS916/MS926 照合機能の操作方法 Ver.4 照合モード (Data Validation) では 1 対 1 1 対 N および LookUp テーブル参照によるバーコードの照合を行います 照合したバーコードは一致したデータのみをホストに送信またはメモリに保存します 照合機能の設定手順 (1) 画面起動 [ トリガーボタン ] を 2 秒間長押しして電源を入れ 本体側面の

More information

今週の進捗

今週の進捗 Virtualize APIC access による APIC フック手法 立命館大学富田崇詠, 明田修平, 瀧本栄二, 毛利公一 2016/11/30 1 はじめに (1/2) マルウェアの脅威が問題となっている 2015年に4 億 3000 万以上の検体が新たに発見されている マルウェア対策にはマルウェアが持つ機能 挙動の正確な解析が重要 マルウェア動的解析システム : Alkanet 仮想計算機モニタのBitVisorの拡張機能として動作

More information

untitled

untitled CHAP. 1 ---------------------------------------------------------------- 5 1-1. ---------------------------------------------------------------- 5 1-2. ----------------------------------------------------------------

More information