Stratix IIデバイス・ハンドブック Volume 1

Size: px
Start display at page:

Download "Stratix IIデバイス・ハンドブック Volume 1"

Transcription

1 3. & SII IEEE Std JTAG Stratix II IEEE Std JTAG BST JTAG Stratix II Quartus II Jam.jam Jam Byte-Code.jbc JTAG Stratix II JTAG BST IOE I/O JTAG CONFIG_IO I/O Stratix II JTAG Stratix II JTAG I/O JTAG I/O I/O JTAG TDI TDO TMS TCK 4 TRST 1 TCK TDI TMS TRST JTAG 3.3 V VCCPD TDO 4 V CCIO Stratix II SignalTap JTAG Stratix II 3 1 JTAG Altera Corporation 3 1

2 Stratix II, Volume 1 Stratix II Stratix Cyclone II Cyclone JTAG 17 JTAG Stratix II Stratix Cyclone II Cyclone 18 SignalTap II Stratix II 10 USERCODE Stratix II IDCODE 3 1. Stratix II JTAG ( / ) JTAG SAMPLE/PRELOAD SignalTap II EXTEST(1) BYPASS TDI TDO 1 BST USERCODE USERCODE TDI TDO USERCODE TDO IDCODE IDCODE TDI TDO IDCODE TDO HIGHZ (1) TDI TDO 1 I/O BST 3 2 Altera Corporation Preliminary

3 IEEE Std JTAG 3 1. Stratix II JTAG ( / ) JTAG CLAMP (1) TDI TDO 1 I/O BST ICR JTAG Stratix IIMasterBlaster TM ByteBlasterMV TM ByteBlaster II JRunner.jam.jbc PULSE_NCONFIG nconfig Low CONFIG_IO (2) JTAG I/O I/O JTAG CONFIG_IO nstatus Low IOE nstatus Low TAP UPDATE_DR SignalTap II Signal Tap II 3 1 (1) HIGHZ CLAMP EXTEST (2) CONFIG_IO MorphIO: An I/O Reconfigulation Solution for Altera Devices White Paper Altera Corporation 3 3 Preliminary

4 Stratix II, Volume 1 Quartus II JTAG Auto Usercode USERCODE Settings Assignments General Device & Pin Options Auto Usercode 3 2. Stratix II EP2S15 1,140 EP2S30 1,692 EP2S60 2,196 EP2S90 2,748 EP2S130 3,420 EP2S180 3, Stratix II 32 IDCODE IDCODE 32 (1) 4 16 ID 11 LSB 1 (2) EP2S EP2S EP2S EP2S EP2S EP2S (1) MSB (2) IDCODE LSB Altera Corporation Preliminary

5 SignalTap II Stratix Stratix II Cyclone Cyclone II JTAG 17 JTAG Stratix Stratix II Cyclone Cyclone II 18 SignalTap II JTAG Stratix II Volume 2Stratix II GX Volume 2 IEEE Std (JTAG) Boundary-Scan Testing for Stratix II & Stratix II GX Devices Jam Programming & Test Language Specification SignalTap II Stratix II IEEE Std JTAG SignalTap II I/O FineLine BGA Stratix II CMOS SRAM FPGA Stratix II MAX II Stratix II FPP AS PSPPA JTAG Stratix II Stratix II Altera Corporation 3 5 Preliminary

6 Stratix II, Volume 1 Stratix II AES Stratix II FPGA Stratix II Stratix II SRAM SRAM I/O I/O Stratix II SRAM 3 6 Altera Corporation Preliminary

7 PORSEL 12 ms 100 ms POR PORSEL POR 100 ms PORSEL V CC POR 12 ms nio PULLUP I/O I/O ncso ASDO DATA[7..0] nws nrs RDYnBSY ncs CS RUnLU PGM[2..0] CLKUSR INIT_DONE DEV_OE DEV_CLR High 1.5 V 1.8 V 2.5 V 3.3 V Low Stratix II JTAG 3.3V/2.5V 3.3V V CCPD V CCPD VCCSEL JTAG TCK TMS TDI TRSTVCCSEL 3 4 VCCSEL V CCIO V CCIO V IL V IH Altera Corporation 3 7 Preliminary

8 Stratix II, Volume 1 PLL_ENA V/2.5 V 1.8 V/1.5 V VCCSEL 3 4 VCCSEL 3 4. VCCSEL VCCSEL = LOW (GND ) VCCSEL = HIGH (V CCPD ) nstatus ( ) nconfig CONF_DONE ( ) DATA[7..0] nce DCLK ( ) CS nws nrs ncs CLKUSR DEV_OE DEV_CLRn RUnLU PLL_ENA 3.3V/2.5V V CCPD 1.8V/1.5V I/O V CCIO VCCSEL VCCSEL VCCSEL V CCINT V CCPD High VCCSEL 1.8 V/1.5 V Low 3.3 V/2.5 V VCCSEL MAX II/ 3 8 Altera Corporation Preliminary

9 3.3 V/2.5 V VCCSEL Low I/O V CCIO 1.8 V/1.5 V VCCSEL High V CCIO 1.8 V/1.5 V TDO nceo Stratix II Volume 1 Stratix II Stratix II Stratix II JTAG Stratix II nce nceo 5 Stratix II Stratix II FPGA Stratix II Altera Corporation 3 9 Preliminary

10 Stratix II, Volume Stratix II FPP MAX II Flash (1) (1) (2) AS (3) PS MAX II Flash (4) PPA MAX II Flash JTAG (4) MAX II Flash 3 5 (1) 4 DCLK (2) Stratix II (3) AS (4) USB USB MasterBlaster /USB ByteBlaster II ByteBlasterMV Stratix II Stratix II GX Stratix II Volume 2 Stratix II GX Volume 2 Stratix II & Stratix II GX 3 10 Altera Corporation Preliminary

11 Stratix II FPGA (Advanced Encryption Standard AES FPGA 128 Stratix II FPGA Stratix II FPGA 128 Stratix II PSAS FPP 4 DCLK FPGA Stratix II FPGA Stratix II FPGA Stratix II FPGA SRAM Stratix II FPGA FPP MAX II / Flash AS PS PPA JTAG Altera Corporation 3 11 Preliminary

12 Stratix II, Volume 1 Stratix II Timeto-Market Stratix II FPGA Stratix II Nios RSC FPP AS PS PPA Stratix II RSC AES Stratix II Stratix II Stratix II Volume 2Stratix II GX Volume 2 Stratix II & Stratix II GX 3 12 Altera Corporation Preliminary

13 JRunner Stratix II FPGA JRunner JTAG ByteBlaster II ByteBlasterMV Stratix II FPGA Raw Binary File (.rbf) JRunner Quartus II Chain Description File (.cdf) JRunner JTAG /Windows NT OS JRunner JRunner Software Driver: An Embedded Solution to the JTAG Configuration White Paper SRunner SRunner SRunner SRunner.rpd SRunner Quartus II SRunner SRunner: An Embedded Solution for EPCS Programming White Paper Serial Configuration Devices (EPCS1 & EPCS4) Data Sheet Altera Corporation 3 13 Preliminary

14 Stratix II, Volume 1 MicroBlasterDviver Stratix II FPGA MicroBlaster TM RBF FPP PS Windows NT OS MicroBlaster Configuring the MicroBlaster Fast Passive Parallel Software Driver White Paper Configuring the MicroBlaster Passive Serial Software Driver White Paper PLL Stratix II PLL Phase-Locked-Loop VCO I/O PLL PLL PLL Stratix II PLL Stratix II Volume 2Stratix II GX Volume 2 Stratix II & Stratix II GX PLL Stratix II Maxim Integrated Products MAX1617A MAX1619 Stratix II 8 7 Stratix II 3 14 Altera Corporation Preliminary

15 3-1 Stratix II 2tempdiodep tempdioden Stratix II 3-1. Stratix II tempdiodep tempdioden 3 6 Stratix II 3 6. IBIAS High µa IBIAS Low µa VBP - VBN V VBN 0.7 V 3 Ω 3-2 Altera Corporation 3 15 Preliminary

16 Stratix II, Volume SEU Stratix II SEU Single Event Upset Quartus II Device & Pin Options CRC Cyclic Redundancy Check 32 CRC SEU CRC Stratix II Stratix II CRC CRC SRAM CRC_ERROR 3 16 Altera Corporation Preliminary

17 SEU Stratix II Stratix II SRAM 1 Quartus II 4.1 Device & Pin Options CRC CRC 400 khz 50 MHz CRC FPGA SRAM CRC AN 357: Error Detection Using CRC in Altera FPGA Devices Altera Corporation 3 17 Preliminary

18 Stratix II, Volume Altera Corporation Preliminary

コンフィギュレーション & テスト

コンフィギュレーション & テスト SIIGX51005-1.0 5. & IEEE Std. 1149.1 (JTAG) Stratix II GX IEEE Std. 1149.1 JTAG BST JTAG Stratix II GX Quartus II Jam (.jam) Jam Byte-Code (.jbc) JTAG Stratix II GX JTAG BST IOE I/O JTAG CONFIG_IO I/O

More information

Cyclone II Device Handbook

Cyclone II Device Handbook VI. Cyclone II Cyclone II JTAG 13 Cyclone II 14 Cyclone II IEEE 1149.1 (JTAG) Altera Corporation VI 1 Preliminary Cyclone II, Volume 1 13 14 / 13 2004 11 v1.1 2004 6 v1.0 14 2004 6 v1.0 AS AS 13-8 MAX

More information

IEEE (JTAG) Boundary-Scan Testing for Stratix II & Stratix II GX Devices

IEEE (JTAG) Boundary-Scan Testing for Stratix II & Stratix II GX Devices 4. Stratix II Stratix II GX IEEE 49. (JTAG) SII529-3. PCB PCB Bed-of-nails PCB 98 Joint Test Action Group (JTAG) IEEE Std. 49. (BST) PCB BST 4-4-. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin

More information

Arria GXデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

Arria GXデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト 3. Arria GX IEEE 49. (JTAG) AGX523-. PCB PCB Bed-of-nails PCB 98 Joint Test Action Group (JTAG) IEEE Std. 49. (BST) PCB BST 3 3. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin Signal Serial Data

More information

エンハンスド・コンフィギュレーション・デバイス(EPC4、EPC8 & EPC16)データシート

エンハンスド・コンフィギュレーション・デバイス(EPC4、EPC8 & EPC16)データシート 2. EPC4 EPC8 & EPC16 CF52002-2.2 EPC4 EPC8 EPC16 Stratix Cyclone APEX II APEX 20K APEX 20K APEX 20KC APEX 20KE Mercury ACEX 1K FLEX 10KFLEX 10KE FLEX 10KA 4 8 16 / EPC16 EPC4 8 Stratix FPP DCLK 8 FPGA

More information

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト 3. MAX II IEEE 49. JTAG MII54-.6 PCB PCB Bed-of-nails PCB 98 Joint Test Action Group JTAG IEEE Std. 49. BST PCB BST 3 3. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin Signal Serial Data Out Core

More information

USB-Blasterダウンロード・ケーブル・ユーザガイド

USB-Blasterダウンロード・ケーブル・ユーザガイド USB-Blaster 101 Innovation Drive San Jose, CA 95134 www.altera.com 2.3 2007 5 UG-USB81204-2.3 P25-10325-03 Copyright 2007 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company,

More information

pin-csp011.xls

pin-csp011.xls CSP-011-130E(EPF10K130ERC240) ピン番号 デバイスピン名称 信号名 内容 処理 1 TCK TCK JTAG:TCK プルダウン / 内部使用 2 CONF_DONE CONF_DONE CONF_DONE プルアップ / 内部使用 3 nceo nceo CEO プルアップ / 内部使用 4 TDO TDO JTAG:TDO プルアップ / 内部使用 5 VCCINT

More information

Quartus Prime はじめてガイド - デバイス・オプションの設定方法

Quartus Prime はじめてガイド - デバイス・オプションの設定方法 ALTIMA Corp. Quartus Prime はじめてガイドデバイス オプションの設定方法 ver.15.1 2016 年 5 月 Rev.3 ELSENA,Inc. Quartus Prime はじめてガイド デバイス オプションの設定方法 目次 1. 2. はじめに...3 デバイス オプションの設定...4 2-1. 2-2. 2-3. 2-4. 2-5. 2-6. 2-7. 2-8.

More information

Quartus II はじめてガイド ‐ Device and Pin Options 設定方法

Quartus II はじめてガイド ‐ Device and Pin Options 設定方法 ALTIMA Corp. Quartus II はじめてガイド Device and Pin Options 設定方法 ver.10.0 2010 年 9 月 ELSENA,Inc. Quartus II はじめてガイド Device and Pin Options 設定方法 目次 1. はじめに... 3 2. Device and Pin Options の起動... 3 3. Device and

More information

AN 100: ISPを使用するためのガイドライン

AN 100: ISPを使用するためのガイドライン ISP AN 100: In-System Programmability Guidelines 1999 5 ver. 3 Application Note 100 Time-to-Market ISP PLD PCB ISP IEEE Std. 1149.1 JTAG Joint Test Action Group JTAG PCB ISP ISP IEEE Std.1149.1 ISP ISP

More information

インテル® FPGA USBダウンロード・ケーブル・ユーザーガイド

インテル® FPGA USBダウンロード・ケーブル・ユーザーガイド 更新情報 フィードバック 最新版をウェブからダウンロード : PDF HTML 目次 目次 1 USB ダウンロード ケーブルの概要... 3 1.1 USB ダウンロード ケーブル レビジョン... 3 1.2 サポートしているデバイスおよびホストシステム... 3 2 USB ダウンロード ケーブルの仕様... 4 2.1 ブロック図および寸法...4 2.2 ケーブルとボードの接続...4 2.3

More information

1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll 14 5.1 Cyclone V GX FPGA... 14 5.2 FPGA ROM...

1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll 14 5.1 Cyclone V GX FPGA... 14 5.2 FPGA ROM... Mpression Beryll Board Revision 1.0 2014/2 2014/2 Mpression by Macnica Group http://www.m-pression.com 1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll

More information

Cyclone IIIデバイスのI/O機能

Cyclone IIIデバイスのI/O機能 7. Cyclone III I/O CIII51003-1.0 2 Cyclone III I/O 1 I/O 1 I/O Cyclone III I/O FPGA I/O I/O On-Chip Termination OCT Quartus II I/O Cyclone III I/O Cyclone III LAB I/O IOE I/O I/O IOE I/O 5 Cyclone III

More information

Quartus II はじめてガイド - Device and Pin Options 設定方法

Quartus II はじめてガイド - Device and Pin Options 設定方法 ALTIMA Corp. Quartus II はじめてガイド Device and Pin Options 設定方法 ver.14 2015 年 3 月 Rev.1 ELSENA,Inc. Quartus II はじめてガイド Device and Pin Options 設定方法 目次 1. 2. 3. はじめに...3 Device and Pin Options の起動...4 Device

More information

Report Template

Report Template 1 ( ) 4... 4... 4 ispvm system... 5... 6... 6... 7 I/O... 7 USB... 9... 12 ( )... 14... 15 ( ) 16... 16 Dual Boot... 16 Primary Image file... 19 USERCODE/UES... 21 I/O... 22... 24 ATE... 26 SVF... 29 SVF...

More information

AN 357: Error Detection & Recovery Using CRC in Altera FPGA Devices

AN 357: Error  Detection & Recovery Using CRC in Altera FPGA Devices 2008 年 7 月 ver. 1.4 アルテラ FPGA デバイスの CRC によるエラー検出およびリカバリ Application Note 357 概要 航空電子 テレコム システム コントロール および軍事用アプリケーションの分野で使用されるクリティカルな用途では 以下ができることが重要です FPGA デバイスに格納されたコンフィギュレーション データが正確であるかを確認する システムにコンフィギュレーション

More information

Quartus II - デバイスの未使用ピンの状態とその処理

Quartus II - デバイスの未使用ピンの状態とその処理 Quartus II はじめてガイド デバイスの未使用ピンの状態とその処理 ver. 9.1 2010 年 6 月 1. はじめに ユーザ回路で使用していないデバイス上のユーザ I/O ピン ( 未使用ユーザ I/O ピン ) は Quartus II でコンパイルすることによりピンごとに属性が確定されます ユーザは 各未使用ユーザ I/O ピンがどのような状態 ( 属性 ) であるかに応じて 基板上で適切な取り扱いをする必要があります

More information

Quartus II はじめてガイド - Device & Pin Options 設定方法

Quartus II はじめてガイド - Device & Pin Options 設定方法 - Quartus II はじめてガイド - Device & Pin Options 設定方法 ver.9.1 2010 年 5 月 1. はじめに この資料は Quartus II における Device & Pin Options の設定に関して説明しています Device & Pin Options ダイアログ ボックスでは 現在のプロジェクトで選択されているデバイスにおけるデバイス オプションとピン

More information

Quartus II はじめてガイド - デバイス・プログラミング方法

Quartus II はじめてガイド - デバイス・プログラミング方法 - Quartus II はじめてガイド - デバイス プログラミング方法 ver. 9.1 2010 年 1 月 1. はじめに この資料では Quartus II の Programmer の操作方法を紹介しています Programmer を使用し デバイスにプログラミング ( デバイスへの書き込み ) を行います アルテラのデバイスへデータを書き込むときには プログラミング ハードウェアを使用します

More information

AN 100: ISPを使用するためのガイドライン

AN 100: ISPを使用するためのガイドライン ISP AN 100: In-System Programmability Guidelines 1998 8 ver.1.01 Application Note 100 ISP Altera Corporation Page 1 A-AN-100-01.01/J VCCINT VCCINT VCCINT Page 2 Altera Corporation IEEE Std. 1149.1 TCK

More information

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO - 5ns - f CNT 25MHz - 800~6,400 36~288 5V ISP - 0,000 / - / 36V8-90 8 - IEEE 49. JTAG 24mA 3.3V 5V PCI -5-7 -0 CMOS 5V FastFLASH XC9500 XC9500CPLD 0,000 / IEEE49. JTAG XC9500 36 288 800 6,400 2 XC9500

More information

Stratix IIIデバイスの外部メモリ・インタフェース

Stratix IIIデバイスの外部メモリ・インタフェース 8. Stratix III SIII51008-1.1 Stratix III I/O R3 SRAM R2 SRAM R SRAM RII+ SRAM RII SRAM RLRAM II 400 MHz R Stratix III I/O On-Chip Termination OCT / HR 4 36 R ouble ata RateStratix III FPGA Stratix III

More information

FPGAメモリおよび定数のインシステム・アップデート

FPGAメモリおよび定数のインシステム・アップデート QII53012-7.2.0 15. FPGA FPGA Quartus II Joint Test Action Group JTAG FPGA FPGA FPGA Quartus II In-System Memory Content Editor FPGA 15 2 15 3 15 3 15 4 In-System Memory Content Editor Quartus II In-System

More information

ネットリストおよびフィジカル・シンセシスの最適化

ネットリストおよびフィジカル・シンセシスの最適化 11. QII52007-7.1.0 Quartus II Quartus II atom atom Electronic Design Interchange Format (.edf) Verilog Quartus (.vqm) Quartus II Quartus II Quartus II Quartus II 1 Quartus II Quartus II 11 3 11 12 Altera

More information

HardCopy IIIデバイスの外部メモリ・インタフェース

HardCopy IIIデバイスの外部メモリ・インタフェース 7. HardCopy III HIII51007-1.0 Stratix III I/O HardCopy III I/O R3 R2 R SRAM RII+ RII SRAM RLRAM II R HardCopy III Stratix III LL elay- Locked Loop PLL Phase-Locked Loop On-Chip Termination HR 4 36 HardCopy

More information

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ CPLD ISP ISP この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください AN-630-1.0 アプリケーション ノート このアプリケーションノートでは MAX II および MAX V デバイスにおけるリアルタイム ISP(In-System Programmability)

More information

エンハンスド・コンフィギュレーション(EPC)デバイス・データシート

エンハンスド・コンフィギュレーション(EPC)デバイス・データシート 2016.05.04 CF52002 更新情報 サポートされるデバイス 表 1: アルテラ EPCS デバイス デバイス メモリ サイズ ( ビット ) オンチップ復元のサポート ISP サポート カスケード接続サポート 再プログラム可能 動作電圧 (V) EPC4 4,194,304 有有無有 3.3 EPC8 8,388,608 有有無有 3.3 EPC16 16,777,216 有有無有 3.3

More information

Nios II ハードウェア・チュートリアル

Nios II ハードウェア・チュートリアル Nios II ver. 7.1 2007 8 1. Nios II FPGA Nios II Quaruts II 7.1 Nios II 7.1 Nios II Cyclone II count_binary 2. 2-1. http://www.altera.com/literature/lit-nio2.jsp 2-2. Nios II Quartus II FEATURE Nios II

More information

Quartus Prime はじめてガイド - デバイス・プログラミングの方法

Quartus Prime はじめてガイド - デバイス・プログラミングの方法 ALTIMA Corp. Quartus Prime はじめてガイドデバイス プログラミングの方法 ver.15.1 2016 年 3 月 Rev.1 ELSENA,Inc. Quartus Prime はじめてガイド デバイス プログラミングの方法 目次 1. 2. 3. 4. はじめに...3 プログラミング方法...5 Auto Detect 機能...14 ISP CLAMP 機能...17

More information

Quartus Prime - プログラミング・ファイルの生成や変換(Convert Programming Files)

Quartus Prime - プログラミング・ファイルの生成や変換(Convert Programming Files) ALTIMA Corp. Quartus Prime プログラミング ファイルの生成や変換 (Convert Programming Files) ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. Quartus Prime プログラミング ファイルの生成や変換 (Convert Programming Files) 目次 1. 2. はじめに...3 操作方法...4 2-1.

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

untitled

untitled COPAL ELECTRONICS 32 (DP) DP INC 2 3 3 RH RL RWB 32 C S U/D INC U/D CS 2 2 DP7114 32 SOIC CMOS 2.5 V - 6.0 V / 10 kω 50 kω 100 kω TSSOP MSOP /BFR INC / U/D RH RoHS GND RWB RL CS VCC 2017 6 15 1 : R = 2

More information

HardCopy IIデバイスのタイミング制約

HardCopy IIデバイスのタイミング制約 7. HardCopy II H51028-2.1 Stratix II FPGA FPGA ASIC HardCopy II ASIC NRE Quartus II HardCopy Design Center HCDC Quartus II TimeQuest HardCopy II 2 DR2 TimeQuest TimeQuest FPGA ASIC FPGA ASIC Quartus II

More information

ECP2/ECP2M ユーザーズガイド

ECP2/ECP2M ユーザーズガイド Lattice MachXO Lattice Lattice MachXO_design_guide_rev2.2.ppt Page: 2 1. MachXO 1-1. 1-2. PLL 1-3. JTAG 1-4. 2. MachXO I/O Bank I/O 2-1. I/O BANK 2-2. I/O I/F 2-3. I/F 2-4 I/F 2-5. 2-6. LVDS I/F 2-7. I/F

More information

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration 5. Stratix IV SIV52005-2.0 Stratix IV GX PMA BER FPGA PMA CMU PLL Pphased-Locked Loop CDR 5 1 5 3 5 5 Quartus II MegaWizard Plug-In Manager 5 42 5 47 rx_tx_duplex_sel[1:0] 5 49 logical_channel_address

More information

Quartus II はじめてガイド - Convert Programming File の使い方

Quartus II はじめてガイド - Convert Programming File の使い方 ALTIMA Corp. Quartus II はじめてガイド Convert Programming File の使い方 ver.14 2015 年 1 月 Rev.1 ELSENA,Inc. Quartus II はじめてガイド Convert Programming File の使い方 目次 1. 2. はじめに...3 操作方法...3 2-1. 2-2. 2-3. Convert Programming

More information

AN 386: Quartus II ソフトウェアでのパラレル・フラッシュ・ローダの使用

AN 386: Quartus II ソフトウェアでのパラレル・フラッシュ・ローダの使用 FPGA の集積度が増加される場合 より大きいコンフィギュレーション ストレージの必要性も高まっています システムにコモン フラッシュ インタフェース (CFI) が内蔵されている場合は そのシステムを FPGA コンフィギュレーション ストレージにも利用できます MAX II デバイスのパラレル フラッシュ ローダ (PFL) 機能は JTAG インタフェースを介して CFI フラッシュ メモリ

More information

MAX 10 FPGA コンフィグレーション・ユーザーガイド

MAX 10 FPGA コンフィグレーション・ユーザーガイド UG-M10CONFIG 2017.02.21 更新情報 フィードバック 目次 目次 1 MAX 10 FPGA コンフィグレーションの概要...4 2 MAX 10 FPGA のコンフィグレーション手法と機能...5 2.1 コンフィグレーション手法... 5 2.1.1 JTAG コンフィグレーション... 5 2.1.2 内部コンフィグレーション... 6 2.2 コンフィグレーション機能...

More information

KEIm-08SoMハードウェアマニュアル

KEIm-08SoMハードウェアマニュアル KEIm-08SoM ハードウェアマニュアル Ver.1.1.2 はじめにこの度は KEIm 製品をお買い上げいただき誠にありがとうございます 本製品をご使用になる前に 本マニュアル及び関連資料を十分ご確認いただき 使用上の注意を守って正しくご使用ください 取扱い上の注意 本書に記載されている内容は 将来予告なく変更されることがあります 本製品のご使用にあたっては 弊社窓口又は弊社ホームページなどで最新の情報をご確認ください

More information

Chip PlannerによるECO

Chip PlannerによるECO 13. Chip Planner ECO QII52017-8.0.0 ECO Engineering Change Orders Chip Planner ECO Chip Planner FPGA LAB LE ALM ECO ECO ECO ECO Chip Planner Chip Planner ECO LogicLock Chip Planner Quartus II Volume 2

More information

News & Views Q1 2004

News & Views Q1 2004 NV-2004-Q1/JP Executive Viewpoint 2 Altera Corporation News & Views First Quarter 2004 Table of Contents 4 8 13 Altera, ACAP, ACCESS, ACEX, ACEX 1K, AMPP, APEX, APEX 20K, APEX 20KC, APEX 20KE, APEX II,

More information

プロセッサ・アーキテクチャ

プロセッサ・アーキテクチャ 2. NII51002-8.0.0 Nios II Nios II Nios II 2-3 2-4 2-4 2-6 2-7 2-9 I/O 2-18 JTAG Nios II ISA ISA Nios II Nios II Nios II 2 1 Nios II Altera Corporation 2 1 2 1. Nios II Nios II Processor Core JTAG interface

More information

AN6591FJM

AN6591FJM IC AN6591FJM PHS, PLL IC AN6591FJMPHSIF PLL IC QFN (Quad flat non-leaded PKG) (0.63) 34 44 R0.30 6.20±0.10 (6.00) 33 23 1 11 (0.63) 22 12 3-C 0.50 (6.00) 6.20±0.10 0.20±0.10 0.80 max Unit : mm, PLL,, APC

More information

    

     The Intelligent Technology Company ALTERA CPLD/FPGA ELS5004_S000_10 2006 4 ALTERA CPLD/FPGA...3...3 - Absolute Maximum Ratings...3 - Recommended Operating Conditions...4 - DCDC Operating Conditions...4

More information

untitled

untitled ( ) () ( ) 1 1 TX19A31_AG3 TX19A/H1 CPUTMP19A31CYFG AG3 AG3 2 2 3 TX19A31_AG3 CPU 32 RISC TMP19A31CYFG (U1) 80MHz ROM 32Mbit ROM 1 ROM (U8) 16Mbit Flash ROM 1 (U9) RAM 4Mbit SRAM 1 (U10) I/F RS232C 1chSIO

More information

Powerデバッガ(Version1

Powerデバッガ(Version1 M2V5 Power Debugger Debug System for Boundary Scan Board バウンダリスキャンの原理 ご注意. このソフトウェアの著作権は 社にあります 2. このソフトウェアおよびマニュアルの一部または全てを無断で使用 複製することはできません 3. ソフトウェアは コンピュータ 台につきセット購入が原則となっております 4. このソフトウェアおよびマニュアルは

More information

DS555 : XA2C256 CoolRunner-II オートモーティブ CPLD

DS555 : XA2C256 CoolRunner-II オートモーティブ CPLD 0 XA2C256 Coolunner-II CPLD DS555 (v1.1) 2007 5 5 0 0 AEC-Q100 PPAP I Q ( ) T A = -40 C +105 C T J = +125 C (Q ) 1.8V 0.18 CMOS CPLD - ( Coolunner -II ) - 1.5V 3.3V - 100 VQFP (80 ) - 144 TQFP (118 ) -

More information

R1RW0408D シリーズ

R1RW0408D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

インテル® Cyclone® 10 LPデバイスの概要

インテル®  Cyclone® 10 LPデバイスの概要 更新情報 フィードバック 最新版をウェブからダウンロード : PDF HTML 目次 目次 Cyclone 10 LP デバイスの概要... 3 Cyclone 10 LP 機能の概要... 4 Cyclone 10 LP で使用可能なオプション... 5 Cyclone 10 LP の最大リソース...6 Cyclone 10 LP のパッケージプラン...6 Cyclone 10 LP の I/O

More information

IPSJ SIG Technical Report Vol.2017-ARC-225 No.12 Vol.2017-SLDM-179 No.12 Vol.2017-EMB-44 No /3/9 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS

IPSJ SIG Technical Report Vol.2017-ARC-225 No.12 Vol.2017-SLDM-179 No.12 Vol.2017-EMB-44 No /3/9 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS RTOS OS Lightweight partitioning architecture for automotive systems Suzuki Takehito 1 Honda Shinya 1 Abstract: Partitioning using protection RTOS has high

More information

Quartus II Web Edition インストール・ガイド

Quartus II Web Edition インストール・ガイド ver. 9.01 2009 年 9 月 1. はじめに Quartus II Web Edition ソフトウェアは アルテラの低コスト FPGA および CPLD ファミリの開発に必要な環境一式が含まれた無償パッケージです 回路図とテキスト形式によるデザイン入力 統合された VHDL と Verilog HDL 合成 サードパーティ ソフトウェアへのサポート SOPC Builder システム生成ソフトウェア

More information

AN 74: アルテラ・デバイスの消費電力評価方法

AN 74: アルテラ・デバイスの消費電力評価方法 AN 74: Evaluating Power for Altera Devices 1998 1 ver.2 Application Note 74 P EST = P INT + P IO P INT = I CCINT V CCINT P IO = P ACOUT + P DCOUT P EST = (I CCINT V CCINT ) + (P ACOUT + P DCOUT ) Altera

More information

不可能への挑戦株式会社日昇テクノロジー低価格 高品質が不可能? 日昇テクノロジーなら可能にする Cyclone II EP2C5T144 ボード マニュアル 株式会社日昇テクノロジー /01/13 copyright

不可能への挑戦株式会社日昇テクノロジー低価格 高品質が不可能? 日昇テクノロジーなら可能にする Cyclone II EP2C5T144 ボード マニュアル 株式会社日昇テクノロジー /01/13 copyright Cyclone II EP2C5T144 ボード マニュアル 株式会社日昇テクノロジー http://www.csun.co.jp info@csun.co.jp 2012/01/13 copyright@2012 ホームページ :http://www.csun.co.jp メール :info@csun.co.jp 1 第一章 Cyclone II/EP2C5T144 ボードの概要...3 1.1

More information

mbed祭りMar2016_プルアップ.key

mbed祭りMar2016_プルアップ.key 1 2 4 5 Table 16. Static characteristics (LPC1100, LPC1100L series) continued T amb = 40 C to +85 C, unless otherwise specified. Symbol Parameter Conditions Min Typ [1] Max Unit Standard port pins, RESET

More information

スライド 1

スライド 1 SoC -SWG ATE -SWG 2004 2005 1 SEAJ 2 VLSI 3 How can we improve manageability of the divergence between validation and manufacturing equipment? What is the cost and capability optimal SOC test approach?

More information

R1LV1616H-I シリーズ

R1LV1616H-I シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

R1RW0416DI シリーズ

R1RW0416DI シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

Architecture Device Speciication Transceiver Coniguration Select Options in the Dynamic Reconiguration Controller (i required) Clocking Imp

Architecture Device Speciication Transceiver Coniguration Select Options in the Dynamic Reconiguration Controller (i required) Clocking Imp 2. SIV53002-3.0 Stratix IV GX 2 3 2 7 2 9 2 10 2 11 2 13 2 1 2009 3 Altera Corporation Stratix IV Device Handbook Volume 3 2 2 2 2 1. Architecture Device Speciication Transceiver Coniguration Select Options

More information

MAX9257 EV.J

MAX9257 EV.J 9-76; Rev 0; 6/08 PART TYPE MAX957/MAX958EVKIT+ EV Kit DESIGNATN QTY DESCRIPTN C C6, C6 C9, C C5, C50 C55, C59 C65, C75 C80, C8 C0, C06 C, C, C5, C6 C7 C, C66 C7 C0, C, C8, C8 79 C, C8 C6 C9, C0 C05 C56,

More information

ANJ_1092A

ANJ_1092A Application Note SBAA066 ± ± ± ± µ ± ± ± ± 24 Bits 20/24MSB 2 s f S 768 khz 25 MHz (1) V IH 2.0 5.0 V (1) V IL 0 0.8 V (2) V IH 3.0 0 V (2) V IL 5.0 4.2 V (1) I IH V IH = V DD ±10 µa (1) I IL V IL = 0V

More information

基盤設計時資料

基盤設計時資料 Rev.1.1 JTAG_Board_Design_Rev1.1.ppt Page: 1 JTAG_Board_Design_Rev1.1.ppt Page: 2 JTAG_Board_Design_Rev1.1.ppt Page: 3 JTAG_Board_Design_Rev1.1.ppt Page: 4 JTAG_Board_Design_Rev1.1.ppt Page: 5 ispjtag

More information

R1RP0416D シリーズ

R1RP0416D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

Microsoft Word - quick_start_guide_16 1_ja.docx

Microsoft Word - quick_start_guide_16 1_ja.docx Quartus Prime ソフトウェア ダウンロードおよびインストール クイック スタート ガイド 2016 Intel Corporation. All rights reserved. Intel, the Intel logo, Intel FPGA, Arria, Cyclone, Enpirion, MAX, Megacore, NIOS, Quartus and Stratix words

More information

DS90CP04 1.5 Gbps 4x4 LVDS Crosspoint Switch (jp)

DS90CP04 1.5 Gbps 4x4 LVDS Crosspoint Switch (jp) 1.5 Gbps 4x4 LVDS Crosspoint Switch Literature Number: JAJS984 1.5Gbps 4 4 LVDS 4 4 (LVDS) ( ) 4 4:1 4 1 MODE 4 42.5Gb/s LVDS 20010301 33020 23900 11800 ds200287 2007 12 Removed preliminary. Removed old

More information

DS90LV011A 3V LVDS 1 回路入り高速差動出力ドライバ

DS90LV011A 3V LVDS 1 回路入り高速差動出力ドライバ 3V LVDS Single High Speed Differential Driver Literature Number: JAJS962 Single High Speed Differential Driver 19961015 23685 ds200149 Input Voltage changed to 3.6V from 5V Updated DC and AC typs basic

More information

LatticeXP ファミリ・データシート

LatticeXP ファミリ・データシート MachXO DS1002 Version 02.5, Feb. 2007 MachXO ( ) SRAM SRAM MPU JTAG TransFR TM (TFR) 256 2280 LUT4 FF 73 271 I/O /RoHS MachXO EBR 27.6Kbits sysmem TM RAM(EBR) 7.5Kbit FIFO sysio LVCMOS 3.3/2.5/1.8/1.5/1.2

More information

デザイン・スペース・エクスプローラ

デザイン・スペース・エクスプローラ 12. QII52008-6.1.0 Quartus II Quartus II FPGA Tcl/Tk DSEDSE DSE DSE DSE DSE Quartus II Synthesis Fitter 1 DSE Quartus II Fitter Quartus II Altera Corporation 12 1 2006 11 Quartus II Volume 2 DSE DSE 1

More information

matrox0

matrox0 Image processing products Hardware/Software Software Hardware INDEX 4 3 2 12 13 15 18 14 11 10 21 26 20 9 8 7 6 5 Hardware 2 MatroxRadient 3 MatroxSolios MatroxMorphis MatroxVio 10 MatroxOrionHD 11 MatroxConcord

More information

DS04-21361-4

DS04-21361-4 Cypress () FUJITSU SEMICONDUCTOR DATA SHEET DS4 236 4 ASSPDTS Bi-CMOS PLL (. GHz PLL) MB5F7SL MB5F7SL,, MHz 2 PLL (Phase Locked Loop) LSI Bi CMOS, 5 ma (VCC 2.7 V), VCC 2.4 V,.5 ma, 6 ma 2, MB5F7SL,, MHz

More information

A Responsive Processor for Parallel/Distributed Real-time Processing

A Responsive Processor for Parallel/Distributed Real-time Processing E-mail: yamasaki@{ics.keio.ac.jp, etl.go.jp} http://www.ny.ics.keio.ac.jp etc. CPU) I/O I/O or Home Automation, Factory Automation, (SPARC) (SDRAM I/F, DMAC, PCI, USB, Timers/Counters, SIO, PIO, )

More information

Avalon Memory-Mappedブリッジ

Avalon Memory-Mappedブリッジ 11. Avalon emory-apped QII54020-8.0.0 Avalon emory-apped Avalon- OPC Builder Avalon- OPC Builder Avalon- OPC Builder Avalon-11 9 Avalon- Avalon- 11 12 Avalon- 11 19 OPC Builder Avalon emory-apped Design

More information

EVI-D100/D100P

EVI-D100/D100P A-AYS-100-11(1) EVI-D100/D100P 2001 Sony Corporation ... 3... 4... 5... 7... 18 D30/D31... 40... 46... 48... 49 2 3 VIDEO S S S VIDEO VISCA 1 VISCA IN VISCA OUT RS-232C EVI-D100/P VISCA IN AC DC IN 12V

More information

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp)

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp) ADC121S625 ADC121S625 12-Bit, 50 ksps to 200 ksps, Differential Input, Micro Power Sampling A/D Converter Literature Number: JAJSAB8 ADC121S625 12 50kSPS 200kSPS A/D ADC121S625 50kSPS 200kSPS 12 A/D 500mV

More information

LM9822 3 Channel 42-Bit Color Scanner Analog Front End (jp)

LM9822 3 Channel 42-Bit Color Scanner Analog Front End (jp) LM9822 LM9822 3 Channel 42-Bit Color Scanner Analog Front End Literature Number: JAJS680 LM9822 3 42 LM9822 AFE CIS CCD CDS / LM9822 14 6MHz ADC 600 / CCD CDS CCD CIS TTL/CMOS 14 6MHz 5V 5% I/O 3.3V 10%

More information

MO 2 E 2 POM -248/16 ev. 1.3_2 L D WP V GND 2* D IN LOD / W D OU OMP LOD 3 Min. yp. Max. V IN Y V IH V = V V = V V IL V = V 2 V =

MO 2 E 2 POM -248/16 ev. 1.3_2 L D WP V GND 2* D IN LOD / W D OU OMP LOD 3 Min. yp. Max. V IN Y V IH V = V V = V V IL V = V 2 V = ev. 1.3_2 MO 2 E 2 POM -248/16 8-Pin DIP ( DP8-DP8-E) 8-Pin OP ( FJ8-DFJ8-E) :µ Max. (V =5.5 V) :.8 m Max. (V =5.5 V, f=4khz).4 m Max. (V =4.5 V, f=1khz) :2.5 5.5 V :1.8 5.5 V 16 (-248, -2416) GN 1 2 8-Pin

More information

Microsoft Word - uSDCONF2A_DS_A_140303aV1803.docx

Microsoft Word - uSDCONF2A_DS_A_140303aV1803.docx usdconf2a 仕様書 (for ALTERA ユーザ ) (usdconf2a8-001-xxv) Rev0.41 2014.03.03(ROM Ver1.803 対応 ) Rev0.40 2014.02.28(ROM Ver1.800 対応 ) Rev 0.31 2013.12.02(ROM Ver.1.800 対応 ) Rev 0.30 2013.09.26(ROM Ver.1.800 対応

More information

N&VP.1-19PDF用

N&VP.1-19PDF用 100% 80% 60% 40% 20% 0% 1992 1993 1994 1995 1996 1997 1998 1999 2000 2001 2.5 V 3.3 V A-NV-Q198-01/J Altera, ASEND, APEX, AMPP, BitBlaster, ByteBlaster, lassic, FastTrack Interconnect, FLEX, FLEX 10K,

More information

MAX1213N EV.J

MAX1213N EV.J 19-0610; Rev 0; 7/06 DESIGNATION QTY DESCRIPTION C1 C9, C13, C15, C16, C18, C19, C20, C35 C39, C49, C52 22 C10, C27, C28, C40 4 C11, C30 2 C12, C17, C58 C71 0 C14, C33 2 C21 C24 4 C25, C26, C51, C53, C54,

More information

LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifi(jp)

LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifi(jp) LMV851,LMV852,LMV854 LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifiers Literature Number: JAJSAM3 LMV851/LMV852/LMV854 8MHz CMOS EMI LMV851/LMV852/LMV854 CMOS IC 40 125 LMV851/

More information

GT-X980

GT-X980 NPD5061-00 JA !! Epson Event Manager...3 Epson Event Manager...3... 4... 4 Copy Utility...5 Copy Utility...6... 6... 7...9...9 Windows...9 Mac OS X...10...10 2 !! Epson Event Manager Epson Event Manager

More information

MAX7319 EV.J

MAX7319 EV.J 19-4043; Rev 0; 2/08 PART TYPE MAX7319EVKIT+ EV Kit DESIGNATION QTY DESCRIPTION C1, C5 C9, C17, C18, C37 9 0.1μF ±10%, 16V X7R ceramic capacitors (0603) TDK C1608X7R1C104K C2 0 Not installed, capacitor

More information

untitled

untitled 78K/USB I/O JavaScript /PHS 78K USB-JTAG naitou@tokudenkairo.co.jp 78K 1 USB 2 CPU 1 AXHLBCDE 8 8bit 4 16bit,8k ROM,3k RAM Z80 3 1 LED K A IC 1. LED 2. 3. DA 4. 4 1 7 LED I/O CPLD CPLD FPGA USB USB 78

More information

TXZ Family Reference Manual BSC-A

TXZ Family Reference Manual BSC-A 32 ビット RISC マイクロコントローラ TXZ ファミリ リファレンスマニュアル バウンダリスキャン (BSC-A) Revision 1.2 2017-07 2017-07-14 1 / 19 Rev. 1.2 2017 Toshiba Electronic Devices & Storage Corporation 目次 序章... 4 関連するリファレンスマニュアル... 4 表記規約...

More information

KEIm-25ヘッダーボードハードウェアマニュアル

KEIm-25ヘッダーボードハードウェアマニュアル Ver.1.0 はじめにこの度は KEIm 製品をお買い上げいただき誠にありがとうございます 本製品をご使用になる前に 本マニュアル及び関連資料を十分ご確認いただき 使用上の注意を守って正しくご使用ください 取扱い上の注意 本書に記載されている内容は 将来予告なく変更されることがあります 本製品のご使用にあたっては 弊社窓口又は弊社ホームページなどで最新の情報をご確認ください 本製品には一般電子機器用部品が使用されています

More information

ACM108R2-MAN-JP-V21.xdw

ACM108R2-MAN-JP-V21.xdw Cyclone IV FPGA ボード ACM-108 シリーズ (Rev2) ユーザーズマニュアル Ver.2.1 ヒューマンデータ 目次 はじめに... 1 ご注意... 1 改訂記録... 1 1. 共通ピンピンについてについて 重要重要... 2 2. 開発環境... 3 3. 製品の内容内容について... 3 4. 仕様... 4 5. 製品説明... 5 5.1. 各部名称... 5

More information

SerialATA ATA Embedded Clocking 8B10B coding 2 pair Hot Plug ATA ATA (150MB/s ) 10 roadmap 2004/2/17 SATA Overview Page 2

SerialATA ATA Embedded Clocking 8B10B coding 2 pair Hot Plug ATA ATA (150MB/s ) 10 roadmap 2004/2/17 SATA Overview Page 2 2004.2.17 akinori_maeda@agilent.com SerialATA ATA Embedded Clocking 8B10B coding 2 pair Hot Plug ATA ATA (150MB/s ) 10 roadmap 2004/2/17 SATA Overview Page 2 SATA PC JBOD 2004/2/17 SATA Overview Page 3

More information

Platform Flash インシステム プログラマブル コンフィギュレーション PROM (DS123)

Platform Flash インシステム プログラマブル コンフィギュレーション PROM (DS123) 53 DS123 (v2.16) 2008 年 11 月 14 日 0 機能 ザイリンクス FPGA のコンフィギュレーション用インシステムプログラマブル PROM 低電力のアドバンス CMOS NOR フラッシュプロセス 20,000 回までプログラム / 消去可能 インダストリアルグレードの温度範囲で動作 (-40 C ~ +85 C) プログラム プロトタイプ作成 テストにおいて IEEE 規格

More information

Nios II Flash Programmer ユーザ・ガイド

Nios II Flash Programmer ユーザ・ガイド ver. 8.0 2009 年 4 月 1. はじめに 本資料は Nios II 開発環境においてフラッシュメモリ または EPCS へのプログラミングを行う際の参考マニュアルです このマニュアルでは フラッシュメモリの書き込みの際に最低限必要となる情報を提供し さらに詳しい情報はアルテラ社資料 Nios II Flash Programmer User Guide( ファイル名 :ug_nios2_flash_programmer.pdf)

More information

MAP2496.PDF

MAP2496.PDF Audiophile 2496 24 Bit 96 khz 4 in/ 4 out PCI Digital Recording Interface with MIDI 2 M-AUDIO 3 PCI 1. INS1&2 IN1 IN2 2. OUTS1&2 OUT1 OUT2 3. 15 D-sub S/PDIF MIDI 4. S/PDIF S/PDIF DAT MD A/D S/PDIF 5.

More information

JTAG バウンダリスキャンテストの容易化設計を支援する OrCAD Capture の無償プラグイン 21 July 2017 ( 富士設備 / 浅野義雄 )

JTAG バウンダリスキャンテストの容易化設計を支援する OrCAD Capture の無償プラグイン 21 July 2017 ( 富士設備 / 浅野義雄 ) JTAG バウンダリスキャンテストの容易化設計を支援する OrCAD Capture の無償プラグイン 21 July 2017 ( 富士設備 / 浅野義雄 ) PACKAGE COMPLEXITY & TRANSISTOR COUNT 課題 : 実装検査 不良解析 デバッグ プローブ接続では BGA 実装の検査 / 解析 / デバッグができない プローブ接続が困難な高密度実装は増加の一方 このままではテスト費用のほうが高くなる!

More information

MAX191 EV J

MAX191 EV J -0; Rev ; / µ µ PART TEMP. RANGE BOARD TYPE MAXEVSYS-DIP 0 C to +0 C Through-Hole MAXEVKIT-DIP 0 C to +0 C Through-Hole 0CMODULE-DIP 0 C to +0 C Through-Hole Evaluates: MAX Maxim Integrated Products Evaluates:

More information

PowerPoint Presentation

PowerPoint Presentation VME Embedded System ユーザーズマニュアル ~ Slim VME Embedded ~ Tecstar Page: 1 Agenda 1. VME Embedded System 概要 2. VME Embedded の特徴 3. Embedded Overview 4. VMEファイルとHEXファイルについて 5. Slim VME について 6. Deployment Toolの起動方法について

More information

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用 WP-01034-1.0/JP DLL (PVT compensation) 90 PLL PVT compensated FPGA fabric 90 Stratix III I/O block Read Dynamic OC T FPGA Write Memory Run Time Configurable Run Time Configurable Set at Compile dq0 dq1

More information

タイトル

タイトル 不可能への挑戦株式会社低価格 高品質が不可能? ALTERA Cyclone IV EP4CE6 ボードのマニュアル 株式会社 http://www.csun.co.jp info@csun.co.jp 作成日 2014/10/02 copyright@2017 ホームページ :http://www.csun.co.jp メール :info@csun.co.jp 1 不可能への挑戦株式会社低価格 高品質が不可能?

More information