Revision

Size: px
Start display at page:

Download "Revision"

Transcription

1 Revision 0

2 Lattice Mico FAX

3 LatticeMico Mico Microprocessor Platform isplever Project... 5 MSB(MicoSystemBuilder)... 7 LatticeMico SRAM GPIO UART IRQ Microprocessor Platform CPU FPGA isplever VerilogImport FPGAI/O CPU FPGA CPU C/C++SPE C/C++SPE... 23

4 C/C++SPE GUI C/C++SPE C/C++SPE C/C++SPE Mico32system GUI ROM FlashProrammer FlashProgrammer FPGASPI FlashROM

5 1 Lattice CPU Mico32 CPU Mico32 Lattice Lattice isplever LatticeMicoe32system LatticeMico32system URL isplever LatticeMico32system URL ocument_type=65&sloc= Mico32 1

6 LatticeMico32 LatticeMico32 4.CPU Mico32system C/C++SPE CPU C 1.MicroProcessor Platform Mico32system MicosystemBuilder CPU Mico32 Verilog,VHDL 2.CPU FPGA MicosystemBuilder CPU VHDL,Verilog isplever FPGA Bitstream 3.FPGA Bitstream FPGA isplever ispvmsystem 5. Micosystem JTAG PC 6. ROM Micosystem PROM,Flash ROM 2

7 Mico32 LatticeMico32 Processor Reference Manual &jsessionid=ba30f42dd5794$3f$3f$ LatticeMico32 Softwear Developer User s Guide &jsessionid=ba30f42dd5794$3f$3f$ Mico32system Help Mico32system >Help Mico32system UART,GPIO, Mico32 8 LED 100ms SRAM ROM 3

8 8bitLED FPGA Flash SRAM Program FLASH 4

9 2 Microprocessor Platform isplever Project FPGA isplever Project isplever Project isplever isplever Project 1. File>NewProject 2. Project Project Project1 Project project1 Project (File)Name Platform(.syn) Project Name platform Project type Verilog HDL 5

10 3. Synthesis Synplify 4. OK Family LatticeECP2 Device LFE2-50E Speedgrade -6 PackageType FPBGA672 Operating Commercial Project sample.syn Schematic/VHDL Schematic FPGA and or 6

11 MSB(MicoSystemBuilder) Microprocessor Platform MicoSystemBuilder( MSB) MSB (.msb) isplever MSB 1. MSB isplever LatticeMico32system 2. Mico32system Mico32system Option Mico32system 7

12 3. MSB File>New Platform Platform name : platform1 Directory : Device Family : ECP2 Platform templates : blank Platform Templates Platform Templates Mico32system CPU Template Platform CPU Mico32system 4. MSB 8

13 LatticeMico32 LatticeMico32 1. MSB LatticeMico32 Add Add 2. LatticeMico32 Mico CPU Instruction,Data 32kByte 9

14 3. OK MSB CPU SRAM Mico32 MBit SRAM CPU 1Mbit SRAM 1. MSB Async SRAM AsyncSram Add 2. AsyncSRAM BaseAddress 0x SRAM Setting 3. OK MSB 10

15 Mico32 8Mbyte 32bit Flash Mbyte Mico32 1. MSB Parallel Flash 2. Parallel Flash Mico32 () Mbyte= bit Flash 3. OK MSB GPIO Mico32 Mico32 FPGA I/O pin GPIO Mico32CPU Wishbone I/O Mico32 LED GPIO 1. MSB GPIO 11

16 2. GPIO PortType Output Port Input Port Tristate Ports Both Input and Output InputWidth OutputWidth C LED LED OK MSB UART PC Mico32 JTAG UART UART 1. MSB UART 2. UART Interrupt Buffer 2Byte 12

17 3. OK MSB UART MSB UART NationalSemiconductor UARTIC16450 MSB Mico32 Arbiter Priority Priority 1. ASRAM Mico32 2. GPIO Mico32 3. Flash Mico32 13

18 4. UART Mico32 SRAM,FLASH,LED,UART Mico32 1. Flash EditView Lock 0x Lock 14

19 2. MSB Platform Tools>Generate Address Generate Address 0x x1FFFFFFF Generate Address 0x xFFFFFFFF 15

20 IRQ IRQ 1. MSB Platform Tools>Generate IRQ Generate IRQ IRQ Pulldown 1. MSB Platform Tools>Run DRC Run DRC Microprocessor Platform Mico32 Microprocessor Platform Verilog msb 1. MSB Platform Tools>Run Generator Run Generator 2. Box Finish Generator 16

21 Run Generator platform1.v Verilog platform1.msb MSB platform1.v Mico32 Top Top isplever FPGA platform1.msb C/C++ SPE 17

22 3 CPU FPGA Mico32+ FPGA isplever Verilog Import MSB Verilog 1. isplever Project isplever File>Open Project 2. Import isplever Source>Import MSB platform1.v platform1.v <Mico32Workspace>/platform1/soc/platform1.v 18

23 3. SourceType Verilog Module 4. Top Module Dialog Box platform1 OK ( )isplever isplever Verilog Import 1. isplever Option>Environment General 2. Copy source file to project directory for Source-Import Command FPGA I/O I/O FPGA I/O Mico32.lpf 1. ProjectNavigator Source>Import Constraint/Preference File 2..lpf Import <isplever install >/micosystem/platforms/platforme/ecp2 3. Do you want to reset the project update status? OK lpf Import 19

24 .bit FPGA Mico32 MSB isplever forfpga 20

25 4 CPU FPGA 21

26 5 CPU CPU C/C++SPE C/C++SPE C/C++SPE C/C++SPE Eclipse GNU C/C++ Compiler Assembler,Linker,Debugger C/C++SPE MSB LatticeMico32 system GUI 22

27 C/C++SPE C/C++SPE MSB 1. C/C++SPE GUI MSB C/C++ 2. File>New>Mico32 Managed Make C project 3. ProjectWizard Project LEDtest MSB.msb <Mico32systemWorkspace>pl atform1>soc>platform1.msb Template LEDTest Project Mico32 Workspace 4. Finish 23

28 C/C++SPE GUI C/C++SPE C/C++SPE GUI C C/C++SPE C C/C++SPE File>New Source File SourceFile File SourceFile 24

29 Linker Project Property C/C++SPE Template Linker CPU Project >>Property LinkerScript Proram, MSB SRAM,Flash 25

30 C/C++SPE Project Project >>BuildProject Project BuildAll() C/C++SPE BuildProject() BuildWorkingSet 26

31 6 Mico32system GNUGDB Mico32system Mico32system LatticeMico32 Lattice USB JTAG PC Mico32 LatticeMico32 27

32 LatticeMico32 PC Debug JTAGUART Debugger PC Debugger SRAM C/C++SPE RUN>Debug Project 3. Configuration mico32hardware New mico32hardware Perspective Debug,Run Perspective Debug New 28

33 4. Main Project LEDtest C/C++Application *.elf Project LEDtest C/C++SPE Application LEDtest.elf TAB Debugger Remote Source Debugger 5. Debug Perspective 1 Yes * This kind of launch is configured to open the debug perspective when it suspends.do you want to open this perspective now? Chapter 29

34 GUI GUI 30

35 1 1 main 1 C C 31

36 Window ShowView Variables ChangeValue() Window 32

37 Add Watch Expression Add Watch Expression 33

38 1. 2. Property / Igonore 34

39 7 ROM ROM( Flash) Mico32system Flash CPU Mico32system FlashProgrammer (Flash ) SRAM Mico32 SRAM FlashProgrammer FlashProgrammer LEDTest.elf PC Read 0x CodeLocator ROM Mico32 0x CodeLocator CodeLocator ROM SRAM CodeLocator SRAM Mico32 35

40 FlashProrammer SRAM ROM FlashProgrammer 1. C/C++ C/C++ C/C++ C/C++ 2. File>New>Mico32 Managed Make C Project 3. ProjectName FlashProgrammer TargetHardware ProjectTemplates: Mico32system Template CFI Flash Programmer 4. Finisha 5. FlashProgrammer BuildProject 36

41 FlashProgrammer FlashProgrammer FlashProgrammer ROM 1. FlashProgrammer 2. Tools>Software Deployment 3. CFI Flash Deployment New CFI Flash Deployment New 4. FlashProgrammer 37

42 Name FlashProgrammer Flash Programmer Address: 0x Flash Programmer Application: FlashProgrammer <C/C++SPE >\FLashProgrammer\debug\FlashProg rammer.elf Prepend Code Relocation: Flash SRAM Project Project Browse ProjectSelection LEDTest C/C++Application SearchProject LEDTest.elf 5. ApplyStart FlashProrammer

43 8. FPGA 8 LED 39

44 8 FPGA SPI FlashROM FPGA ROM ROM SPIFLASH 40

45 Revision 2007/10/02 Rev0 Machida First Revision 41

Nios II ハードウェア・チュートリアル

Nios II ハードウェア・チュートリアル Nios II ver. 7.1 2007 8 1. Nios II FPGA Nios II Quaruts II 7.1 Nios II 7.1 Nios II Cyclone II count_binary 2. 2-1. http://www.altera.com/literature/lit-nio2.jsp 2-2. Nios II Quartus II FEATURE Nios II

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

MINI2440マニュアル

MINI2440マニュアル Open-JTAG LPC2388 + GCC + Eclipse http://www.csun.co.jp info@csun.co.jp Ver1.4 2009/7/31 LPC2388 OpenJTAG copyright@2009 http://www.csun.co.jp info@csun.co.jp 1 ...3 ARM...4...5...6 4.2 OpenJTAG...6 4.2...8

More information

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that use microcontrollers (MCUs)

More information

Report Template

Report Template f 1 3... 3 PC... 3... 4 12... 12 Web... 12 E-mail FAX... 17... 18 Service Pack 19 UPDATE... 19... 20 web... 21 Version 24 25... 25... 26... 27... 28 29 2 isplever7.1 for Windows isplever7.1 PC isplever7.1

More information

スライド 1

スライド 1 isplever CLASIC 1.2 Startup Manual for MACH4000 Rev.1.0 isplever_ CLASIC Startup_for_MACH4000_Rev01.ppt Page: 1 1. Page 3 2. Lattice isplever Design Flow Page 4 3. Page 5 3-1 Page 6 3-2 Page 7 3-3 Page

More information

main.dvi

main.dvi CAD 2001 12 1 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape ref0177/html/index.html.,, View Encoding Japanese

More information

Quickstart Guide 3rd Edition

Quickstart Guide 3rd Edition 10 QNX QNX 1 2 3 4 5 QNX Momentics QNX Neutrino RTOS QNX Neutrino 6 7 8 QNX Neutrino 9 10 1 1 QNX Neutrino RTOS QNX Momentics Windows Vista Windows 2000 Windows XP Linux QNX Neutrino QNX Momentics CD http://www.qnx.co.jp/

More information

Report Template

Report Template 1 ( ) 4... 4... 4 ispvm system... 5... 6... 6... 7 I/O... 7 USB... 9... 12 ( )... 14... 15 ( ) 16... 16 Dual Boot... 16 Primary Image file... 19 USERCODE/UES... 21 I/O... 22... 24 ATE... 26 SVF... 29 SVF...

More information

Lab GPIO_35 GPIO

Lab GPIO_35 GPIO 6,GPIO, PSoC 3/5 GPIO HW Polling and Interrupt PSoC Experiment Lab PSoC 3/5 GPIO Experiment Course Material 6 V2.02 October 15th. 2012 GPIO_35.PPT (65 Slides) Renji Mikami Renji_Mikami@nifty.com Lab GPIO_35

More information

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」 ALTIMA Company, MACNICA, Inc Nios II HAL API Modular Scatter-Gather DMA Core Ver.17.1 2018 8 Rev.1 Nios II HAL API Modular Scatter-Gather DMA Core...3...3...4... 4... 5 3-2-1. msgdma... 6 3-2-2. On-Chip

More information

1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll 14 5.1 Cyclone V GX FPGA... 14 5.2 FPGA ROM...

1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll 14 5.1 Cyclone V GX FPGA... 14 5.2 FPGA ROM... Mpression Beryll Board Revision 1.0 2014/2 2014/2 Mpression by Macnica Group http://www.m-pression.com 1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll

More information

プロセッサ・アーキテクチャ

プロセッサ・アーキテクチャ 2. NII51002-8.0.0 Nios II Nios II Nios II 2-3 2-4 2-4 2-6 2-7 2-9 I/O 2-18 JTAG Nios II ISA ISA Nios II Nios II Nios II 2 1 Nios II Altera Corporation 2 1 2 1. Nios II Nios II Processor Core JTAG interface

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル ALTIMA Corp. ver.14 2014 年 8 月 Rev.1 ELSENA,Inc. 目次 1. はじめに...3 1-1. フロー概要... 3 2. ハードウェア...4 2-1. 2-2. 2-3. 2-4. 2-5. ハードウェア プロジェクトの作成 ( Quartus II )... 4 コンフィギュレーション モードの設定... 5 Qsys にてシステムを構成し HDL を生成...

More information

Introduction Purpose The course describes library configuration and usage in the High Performance Embedded Workshop (HEW), which speeds development of

Introduction Purpose The course describes library configuration and usage in the High Performance Embedded Workshop (HEW), which speeds development of Introduction Purpose The course describes library configuration and usage in the High Performance Embedded Workshop (HEW), which speeds development of software for embedded systems. Objectives Learn the

More information

修正履歴 NO バージョ 修正内容 修正日 ン 1 Ver1.0 新規作成 2014/04/13 この文書の情報は 文書を改善するため 事前の通知なく変更されることがあります 最新版は弊社ホームページからご参照ください ( 株 ) の書面による許可のない複

修正履歴 NO バージョ 修正内容 修正日 ン 1 Ver1.0 新規作成 2014/04/13 この文書の情報は 文書を改善するため 事前の通知なく変更されることがあります 最新版は弊社ホームページからご参照ください   ( 株 ) の書面による許可のない複 XC6SLX16 FPGA 開発ボード快速入門ガイド 株式会社 http://www.csun.co.jp info@csun.co.jp 作成 更新日 2014/04/13 copyright@2014 ホームページ :http://www.csun.co.jp メール :info@csun.co.jp 1 修正履歴 NO バージョ 修正内容 修正日 ン 1 Ver1.0 新規作成 2014/04/13

More information

PowerPoint Presentation

PowerPoint Presentation VME Embedded System ユーザーズマニュアル ~ Slim VME Embedded ~ Tecstar Page: 1 Agenda 1. VME Embedded System 概要 2. VME Embedded の特徴 3. Embedded Overview 4. VMEファイルとHEXファイルについて 5. Slim VME について 6. Deployment Toolの起動方法について

More information

FPGAメモリおよび定数のインシステム・アップデート

FPGAメモリおよび定数のインシステム・アップデート QII53012-7.2.0 15. FPGA FPGA Quartus II Joint Test Action Group JTAG FPGA FPGA FPGA Quartus II In-System Memory Content Editor FPGA 15 2 15 3 15 3 15 4 In-System Memory Content Editor Quartus II In-System

More information

Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool

Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that

More information

WinDriver PCI Quick Start Guide

WinDriver PCI Quick Start Guide WinDriver PCI/PCI Express/PCMCIA 5! WinDriver (1) DriverWizard (2) DriverWizard WinDriver (1) Windows 98/Me/2000/XP/Server 2003/Vista Windows CE.NET Windows Embedded CE v6.00 Windows Mobile 5.0/6.0 Linux

More information

Microsoft Word - jpluginmanual.doc

Microsoft Word - jpluginmanual.doc TogoDocClient TogoDocClient... i 1.... 1 2. TogoDocClient... 1 2.1.... 1 2.1.1. JDK 5.0... 1 2.1.2. Eclipse... 1 2.1.3.... 1 2.1.4.... 2 2.2.... 3 2.2.1.... 3 2.2.2.... 4 2.3. Eclipse Commands... 5 2.3.1....

More information

quattro.PDF

quattro.PDF Quattro USB Audio Interface 2 M-AUDIO 3 Windows Windows 98 SE/ Windows ME/ Windows 2000/ Windows XP Platinum III 500MHz/ 96kHz Platinum II 400MKz/ 48kHz 128MB RAM / 96kHz 64MB RAM/ 48kHz Macintosh USB

More information

workshop Eclipse TAU AICS.key

workshop Eclipse TAU AICS.key 11 AICS 2016/02/10 1 Bryzgalov Peter @ HPC Usability Research Team RIKEN AICS Copyright 2016 RIKEN AICS 2 3 OS X, Linux www.eclipse.org/downloads/packages/eclipse-parallel-application-developers/lunasr2

More information

VLD Kazutoshi Kobayashi

VLD Kazutoshi Kobayashi VLD Kazutoshi Kobayashi (kobayasi@kuee.kyoto-u.ac.jp) 2005 8 26-29 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape

More information

HDL Designer Series SupportNet GUI HDL Designer Series HDL Desi

HDL Designer Series SupportNet GUI HDL Designer Series HDL Desi ALTIMA Company, MACNICA, Inc. HDL Designer Series Ver. 2016.2 2017 7 Rev.1 ELSENA,Inc. 1. 2. 3....3 HDL Designer Series...3...4 3-1. 3-2. SupportNet... 4... 5 4....6 4-1. 4-2.... 6 GUI... 6 5. HDL Designer

More information

MINI2440マニュアル

MINI2440マニュアル Open-JTAG LPC2388 ボード + GCC + Eclipse 版マニュアル http://www.nissin-tech.com info@nissin-tech.com Ver1.4 2009/10/14 LPC2388 ボード OpenJTAG copyright@2009 1 第一章背景...3 第二章 ARMシリーズ開発の仕組み イメージ...4 第三章用意するもの...5 第四章インストール手順...6

More information

<Documents Title Here>

<Documents Title Here> Oracle Application Server 10g Release 2 (10.1.2) for Microsoft Windows Business Intelligence Standalone Oracle Application Server 10g Release 2 (10.1.2) for Microsoft Windows Business Intelligence Standalone

More information

実施していただく前に

実施していただく前に SiteProtector 2.0 Service Pack 5 Service Pack 6 2006 4 7 1.... 2 Event Collector Service Pack 1.13... 3 2. SiteProtector Core SP6... 4 3. Console... 10 4. Service Pack 6... 11 5. 1 Deployment Manager...

More information

Nios IIプロセッサ・リファレンス・ハンドブック、セクション I. Nios IIプロセッサ Ver. 1.2

Nios IIプロセッサ・リファレンス・ハンドブック、セクション I. Nios IIプロセッサ Ver. 1.2 I. Nios II Nios II 1 2 3 4 SOPC Builder Nios II Altera Corporation I 1 Nios II 1 4 Nios II Nios II / 1 2004 9 v1.1 Nios II 1.01 2004 5 v1.0 2 2004 12 v1.2 ctl5 2004 9 v1.1 Nios II 1.01 2004 5 v1.0 3 2004

More information

スライド 1

スライド 1 1 1. 2 2. 3 isplever 4 5 6 7 8 9 VHDL 10 VHDL 4 Decode cnt = "1010" High Low DOUT CLK 25MHz 50MHz clk_inst Cnt[3:0] RST 2 4 1010 11 library ieee; library xp; use xp.components.all; use ieee.std_logic_1164.all;

More information

PowerPoint Presentation

PowerPoint Presentation SSPI Embedded System ユーザーズマニュアル Tecstar Page: 1 Agenda 1. SSPI Embedded System 概要 2. SSPI Embedded の特徴 3. Deployment Toolの起動方法について 4. SSPI Embedded 用意されているファイル 5. ソースファイルについて 6. SSPI Embedded ユーザーフロー 7.

More information

TOPLON PRIO操作手順

TOPLON PRIO操作手順 TOPLON PRIO 2004/05/24 I/O LON WAGO TOPLON PRIO 1. 1) PCC-10 S/W 2) PC 3) PCC-10 4) Windows Lon WorksR Plug n Play Apply OK 5) Visio LonMaker LonPoint 6) TOPLON PRIO 2. IO-PRO SYM TOPLON-PRIO SNVT NVI

More information

MINI2440マニュアル

MINI2440マニュアル ARM7TDMI/LPC2388 http://www.csun.co.jp info@csun.co.jp 2009/3/17 copyright@2009 http://www.csun.co.jp info@csun.co.jp 1 ARM7TDMI/LPC2388...4...5 2.1...5 2.2 USB...6 2.3 USB...7 2.4 USB OTG...7 2.5...8

More information

Microsoft PowerPoint - Tutorial_2_upd.ppt

Microsoft PowerPoint - Tutorial_2_upd.ppt 2 Eclipse を使った Bluemix アプリケーション開発 1 ハンズオン手順 ハンズオンの概要 Eclipse から Java アプリをデプロイする 公開されているプロジェクトをインポートする インポートしたプロジェクトをBluemixにデプロイする ここでは PostgreSQL サービスを提供する ElephantSQL というサービスを使用します デプロイしたアプリケーションを確認する

More information

Power Calculator

Power Calculator 1 4... 4... 4... 5 6... 6... 6 isplever... 6... 7... 8... 8... 8 (NCD)... 9 (.vcd)... 10... 11...11... 12 Power Summary... 16 Logic Block... 19 Clocks... 20 I/O... 20 I/O Term... 21 Block RAM... 22 DSP...

More information

untitled

untitled 13 Verilog HDL 16 CPU CPU IP 16 1023 2 reg[ msb: lsb] [ ]; reg [15:0] MEM [0:1023]; //16 1024 16 1 16 2 FF 1 address 8 64 `resetall `timescale 1ns/10ps module mem8(address, readdata,writedata, write, read);

More information

Eclipse&gcc install and tutorial

Eclipse&gcc install and tutorial Eclipse & Blackfin Toolchain(gcc) インストール & チュートリアル 第 1 版 ご注意 : このドキュメントは旧版となりました 下記 URL から最新版を参照ください http://kaneko-sys.co.jp/support/ 金子システム株式会社 1 ご注意 1. 本資料に記載されている内容は本資料発行時点のものであり 予告なく変更することがあります 当社製品のご購入およびご使用にあたりましては

More information

R1EV5801MBシリーズ データシート

R1EV5801MBシリーズ データシート 1M EEPROM (128-kword 8-bit) Ready/Busy and function R10DS0209JJ0100 Rev.1.00 131072 8 EEPROM ROM MONOS CMOS 128 2.7V 5.5V 150ns (max) @ Vcc=4.5V 5.5V 250ns(max) @ Vcc=2.7V 5.5V 20mW/MHz (typ) 110µW (max)

More information

1 122

1 122 6 1 2 3 4 5 6 1 122 PhoenixBIOS Setup Utility MainAdvancedSecurityPowerExit MainSystem DevicesSecurityBootExit System Time: [XX:XX:XX] [XX:XX:XX] System Date: [XX/XX/XX] [XX/XX/XXXX] Item Specific Help

More information

Configuring_01

Configuring_01 Symantec Backup Exec Dell EqualLogic Microsoft Exchange Server SQL Server IT / / 24 365 Symantec Backup Exec Advanced Disk-based Backup Option (ADBO) Dell Equal- Logic Microsoft Exchange Server 2003 2007

More information

untitled

untitled CISC(complex instruction set computer) RISC(reduced instruction set computer) (cross software) (compiler) (assembler) (linkage editor) (loader) tokenizer) (parser) (code generator) (execute) GNU http://www.gnu.org/

More information

Java Platform Debugger Architecture Apache JServ Oracle JVM JPDA JVM Tomcat Oracle JVM... 7

Java Platform Debugger Architecture Apache JServ Oracle JVM JPDA JVM Tomcat Oracle JVM... 7 Oracle JDeveloper 3.1 Servlet/JSP 1... 2 1.1... 2 2 Java Platform Debugger Architecture... 3 3 Apache JServ... 5 3.1 Oracle JVM... 5 3.2 JPDA JVM... 5 4 Tomcat... 7 4.1 Oracle JVM... 7 4.2 JPDA JVM...

More information

MicroBlazeのチュートリアル

MicroBlazeのチュートリアル Xilinx 社 Spartan 3E Starter Kit を使った Micro Blaze のチュートリアル 九州工業大学 笹尾研究室 中原啓貴 1 はじめに 本ドキュメントは Xilinx Spartan3E Starter Kit と Embedded Development Kit( 以降 EDK) を用いた Micro Blaze のチュートリアルとなっています 環境 ISE 8.2i

More information

Microsoft Word - Lab110131b.doc

Microsoft Word - Lab110131b.doc 組み込みソフトウェア実践プロジェクト演習講座 本演習は Xilinx ISE Design Suite 12.3 (Embedded Edition) を対象としています Lab1: BSB を使った PowerPC システムの構築 この Lab で習得する事 BSB(BaseSystemBuilder) を使ったツール基本操作と FPGA へのダウンロード手順を習得します 実習ボード設定 実習ボードのスイッチは以下のように設定してください

More information

fx-9860G Manager PLUS_J

fx-9860G Manager PLUS_J fx-9860g J fx-9860g Manager PLUS http://edu.casio.jp k 1 k III 2 3 1. 2. 4 3. 4. 5 1. 2. 3. 4. 5. 1. 6 7 k 8 k 9 k 10 k 11 k k k 12 k k k 1 2 3 4 5 6 1 2 3 4 5 6 13 k 1 2 3 1 2 3 1 2 3 1 2 3 14 k a j.+-(),m1

More information

Cleaner XL 1.5 クイックインストールガイド

Cleaner XL 1.5 クイックインストールガイド Autodesk Cleaner XL 1.5 Contents Cleaner XL 1.5 2 1. Cleaner XL 3 2. Cleaner XL 9 3. Cleaner XL 12 4. Cleaner XL 16 5. 32 2 1. Cleaner XL 1. Cleaner XL Cleaner XL Administrators Cleaner XL Windows Media

More information

untitled

untitled JavaFX Mobile 1. JavaFX Mobile... 2 1.1. JavaFX... 2 1.2. JavaFX Script... 3 1.2.1.... 3 1.2.2.... 5 1.2.3.... 5 2.... 7 2.1. JDK 6 Update 13... 7 2.2. NetBeans IDE 6.5.1 for JavaFX 1.1.1... 7 3.... 10

More information

1 142

1 142 7 1 2 3 4 5 6 7 8 1 142 PhoenixBIOS Setup Utility MainSystem DevicesSecurityPowerOthersBootExit System Time: [XX:XX:XX] Item Specific Help System Date: [XX/XX/XXXX] Floppy Drive: 1.44MB, 3 1 / 2" Hard

More information

本操作マニュアルは ATLYS ボードと一緒に Digilent 社オプションモジュールである VmodCAM - Stereo Camera Module のリファレンスデザインをそのまま流用した操作手順のご紹介になります リファレンスデザインは他にもWEB に掲載ございますので 活用頂き 本操作

本操作マニュアルは ATLYS ボードと一緒に Digilent 社オプションモジュールである VmodCAM - Stereo Camera Module のリファレンスデザインをそのまま流用した操作手順のご紹介になります リファレンスデザインは他にもWEB に掲載ございますので 活用頂き 本操作 TOKYOELECTRONDEVICE 本操作マニュアルは ATLYS ボードと一緒に Digilent 社オプションモジュールである VmodCAM - Stereo Camera Module のリファレンスデザインをそのまま流用した操作手順のご紹介になります リファレンスデザインは他にもWEB に掲載ございますので 活用頂き 本操作は一例としてご参照下さい リファレンスデザイン内の ISEプロジェクトを立ち上げ

More information

HARK Designer Documentation 0.5.0 HARK support team 2013 08 13 Contents 1 3 2 5 2.1.......................................... 5 2.2.............................................. 5 2.3 1: HARK Designer.................................

More information

, FPGA Verilog-HDL

, FPGA Verilog-HDL Kazutoshi Kobayashi (kobayasi@kuee.kyoto-u.ac.jp) 2007 12 19-20 1 1 1.1...................................... 1 1.2,................................. 1 2 2 2.1 FPGA......................... 2 2.2 Verilog-HDL.............................

More information

基盤設計時資料

基盤設計時資料 Rev.1.1 JTAG_Board_Design_Rev1.1.ppt Page: 1 JTAG_Board_Design_Rev1.1.ppt Page: 2 JTAG_Board_Design_Rev1.1.ppt Page: 3 JTAG_Board_Design_Rev1.1.ppt Page: 4 JTAG_Board_Design_Rev1.1.ppt Page: 5 ispjtag

More information

Nios II Flash Programmer ユーザ・ガイド

Nios II Flash Programmer ユーザ・ガイド ver. 8.0 2009 年 4 月 1. はじめに 本資料は Nios II 開発環境においてフラッシュメモリ または EPCS へのプログラミングを行う際の参考マニュアルです このマニュアルでは フラッシュメモリの書き込みの際に最低限必要となる情報を提供し さらに詳しい情報はアルテラ社資料 Nios II Flash Programmer User Guide( ファイル名 :ug_nios2_flash_programmer.pdf)

More information

Quartus II はじめてガイド - Convert Programming File の使い方

Quartus II はじめてガイド - Convert Programming File の使い方 ALTIMA Corp. Quartus II はじめてガイド Convert Programming File の使い方 ver.14 2015 年 1 月 Rev.1 ELSENA,Inc. Quartus II はじめてガイド Convert Programming File の使い方 目次 1. 2. はじめに...3 操作方法...3 2-1. 2-2. 2-3. Convert Programming

More information

Report Template

Report Template 1 3 IPexpress 4 IPexpress... 4 IPexpress... 4 Ipexpress... 5 IP/Module tree... 5 Entry... 6 IPexpress... 7 IPexpress... 10... 10 IP... 10 lpc... 12... 13 IP 14 15 2 /IP 1-1 3 IPexpress IPexpress IPexpress

More information

Kazutoshi Kobayashi (kobayasi kit.ac.jp)

Kazutoshi Kobayashi (kobayasi kit.ac.jp) Kazutoshi Kobayashi (kobayasi kit.ac.jp) 2009 11 24-25 1 1 1.1.................................. 1 1.2,............................ 1 2 2 2.1 FPGA.................... 2 2.2 Verilog-HDL........................

More information

PowerPoint Presentation

PowerPoint Presentation VME Embedded System ユーザーズマニュアル ~ Full VME Embedded ~ Tecstar Page: 1 Agenda 1. VME Embedded System 概要 2. VME Embedded の特徴 3. Embedded Overview 4. VMEファイルとHEXファイルについて 5. Deployment Toolの起動方法について 6. VME

More information

SR-X324T1/316T1 サーバ収容スイッチ ご利用にあたって

SR-X324T1/316T1 サーバ収容スイッチ ご利用にあたって SR-X324T1/316T1 P3NK-4092-04Z0 324T1/316T1 V01 SR-X324T1/316T1 V01 2010 4 2011 5 2 2012 3 3 2012 11 4 Microsoft Corporation Copyright FUJITSU LIMITED 2010-2012 2 SR-X324T1/316T1 V01...2...5...5...5...5...6...7...8...8...11...11...11...11...11...11...12...12...12...12...12...13...13

More information

1 新しいプロジェクトを作る 1-1:[File]-[New]-[C Project] で C Project ウィンドウを表示 1-2: 下記の設定をする Project name 好きな名前を英文でつける Project type [ARM Cross Target Application] の

1 新しいプロジェクトを作る 1-1:[File]-[New]-[C Project] で C Project ウィンドウを表示 1-2: 下記の設定をする Project name 好きな名前を英文でつける Project type [ARM Cross Target Application] の TrueSTUDIO から Eclipse への乗り換えガイド ( 花岡ちゃんに花束を http://cyberworks.cocolog-nifty.com/ ) お世話になったサイト http://ameblo.jp/henachokox/entry-11207507403.html ありがとうございます 目的 : TrueSTUDIO の無償版で作った STM32F4 のプロジェクトを Eclipse

More information

Eclipse&gcc install and tutorial

Eclipse&gcc install and tutorial Eclipse & Blackfin Toolchain(gcc) インストール & チュートリアル 第 8 版 金子システム株式会社 1 ご注意 1. 本資料に記載されている内容は本資料発行時点のものであり 予告なく変更することがあります 当社製品のご購入およびご使用にあたりましては 当社ホームページを通じて公開される情報を参照ください 2. 当社から提供する情報の正確性と信頼性には万全を尽くしていますが

More information

SR-X526R1 サーバ収容スイッチ ご利用にあたって

SR-X526R1 サーバ収容スイッチ ご利用にあたって SR-X526R1 P3NK-3432-05Z0 526R1 V01 SR-X526R1 V01 2009 10 2010 4 2 2011 5 3 2012 3 4 2012 11 5 Microsoft Corporation Copyright FUJITSU LIMITED 2009-2012 2 SR-X526R1 V01...2...5...5...5...5...6...7...8...8...11...11...11...11...11...11...12...12...12...12...13...13...13

More information

FTDI Driver Error and Recovery Procedure Check FTDI driver operation Rev :OK, Rev :NG, Rev :NG May 11, 2017 CHECK FTDI DRIVER

FTDI Driver Error and Recovery Procedure Check FTDI driver operation Rev :OK, Rev :NG, Rev :NG May 11, 2017 CHECK FTDI DRIVER FTDI Driver Error and Recovery Procedure Check FTDI driver operation Rev. 2.08.02:OK, Rev. 2.08.24:NG, Rev. 2.12.06:NG May 11, 2017 CHECK FTDI DRIVER VERSION 1. Connect FTDI device to PC FTDI デバイスを PC

More information

MOTIF XF 取扱説明書

MOTIF XF 取扱説明書 MUSIC PRODUCTION SYNTHESIZER JA 2 (7)-1 1/3 3 (7)-1 2/3 4 (7)-1 3/3 5 http://www.adobe.com/jp/products/reader/ 6 NOTE http://japan.steinberg.net/ http://japan.steinberg.net/ 7 8 9 A-1 B-1 C0 D0 E0 F0 G0

More information

13 Student Software TI-Nspire CX CAS TI Web TI-Nspire CX CAS Student Software ( ) 1 Student Software 37 Student Software Nspire Nspire Nspir

13 Student Software TI-Nspire CX CAS TI Web TI-Nspire CX CAS Student Software ( ) 1 Student Software 37 Student Software Nspire Nspire Nspir 13 Student Software TI-Nspire CX CAS TI Web TI-Nspire CX CAS Student Software ( ) 1 Student Software 37 Student Software 37.1 37.1 Nspire Nspire Nspire 37.1: Student Software 13 2 13 Student Software esc

More information

Microsoft Word - ALT0982_program_epcs_by_niosii_v10.doc

Microsoft Word - ALT0982_program_epcs_by_niosii_v10.doc ver. 1.0 2008 年 6 月 1. はじめに この資料では ホスト PC に存在する ハードウェアのコンフィギュレーション データ ファイルをホスト ファイルシステムの機能を使用して Nios II システム メモリへ転送し そのコンフィギュレーション データを Nios II を使って EPCS へプログラムする手法を紹介します この資料は Quartus II ver.7.2 SP3

More information

1 I EViews View Proc Freeze

1 I EViews View Proc Freeze EViews 2017 9 6 1 I EViews 4 1 5 2 10 3 13 4 16 4.1 View.......................................... 17 4.2 Proc.......................................... 22 4.3 Freeze & Name....................................

More information

RX600 & RX200シリーズ アプリケーションノート RX用仮想EEPROM

RX600 & RX200シリーズ アプリケーションノート RX用仮想EEPROM R01AN0724JU0170 Rev.1.70 MCU EEPROM RX MCU 1 RX MCU EEPROM VEE VEE API MCU MCU API RX621 RX62N RX62T RX62G RX630 RX631 RX63N RX63T RX210 R01AN0724JU0170 Rev.1.70 Page 1 of 33 1.... 3 1.1... 3 1.2... 3

More information

ユーザーズマニュアル(SVCCシリーズ)

ユーザーズマニュアル(SVCCシリーズ) SV-NET CONTROLLER SVCC SV-NET Controller SVCC SV-NET Controller Compact SV-NET SVCC SVCC SVC SVD SVCC SVCE TMasM TMc TMoS OS C SV-NET SV-NET AC SV-NET Controller Compact SV-NET Controller Ether C OS C

More information

TLS PC Link TM Users Manual I

TLS PC Link TM Users Manual I TLS PC Link TM Users Manual I Copyright Brady Worldwide, Inc.( Brady) ( ) Brady Brady Brady TLS PC Link TM LabelMark TM Brady Worldwide, Inc. CODESOFT TM Teklynx International Co. Microsoft Windows Microsoft

More information

Nios II SBT Flash Programmer ユーザ・ガイド

Nios II SBT Flash Programmer ユーザ・ガイド ALTIMA Corp. Nios II SBT Flash Programmer ユーザ ガイド ver.9.1 2010 年 12 月 ELSENA,Inc. 目次 1. はじめに... 3 2. 使用条件... 3 3. GUI 操作手順... 3 3-1. SOF ファイルをダウンロード... 4 3-1-1. Quartus II Programmer の起動... 4 3-1-2. SOF

More information

Microsoft Word - Win-Outlook.docx

Microsoft Word - Win-Outlook.docx Microsoft Office Outlook での設定方法 (IMAP および POP 編 ) How to set up with Microsoft Office Outlook (IMAP and POP) 0. 事前に https://office365.iii.kyushu-u.ac.jp/login からサインインし 以下の手順で自分の基本アドレスをメモしておいてください Sign

More information

RAID RAID 0 RAID 1 RAID 5 RAID * ( -1) * ( /2) * RAID A. SATA B. BIOS SATA ( 1) C. RAID BIOS RAID D. SATA RAID/AHCI 2 SATA M.2 SSD ( 2) ( (

RAID RAID 0 RAID 1 RAID 5 RAID * ( -1) * ( /2) * RAID A. SATA B. BIOS SATA ( 1) C. RAID BIOS RAID D. SATA RAID/AHCI 2 SATA M.2 SSD ( 2) ( ( RAID RAID 0 RAID 1 RAID 5 RAID 10 2 2 3 4 * ( -1) * ( /2) * RAID A. SATA B. BIOS SATA ( 1) C. RAID BIOS RAID D. SATA RAID/AHCI 2 SATA M.2 SSD ( 2) ( ( 3) 2 ) Windows USB 1 SATA A. SATA SATA Intel SATA

More information

HLS はじめてガイド - 簡易チュートリアル

HLS はじめてガイド - 簡易チュートリアル ALTIMA Company, MACNICA, Inc. HLS はじめてガイド簡易チュートリアル Ver.17.1 2018 年 9 月 Rev.2 HLS はじめてガイド簡易チュートリアル 目次 はじめに...3 評価環境...3 インテル HLS コンパイラ...5 インテル HLS コンパイラの概要... 5 インテル HLS コンパイラ使用時に必要なソフトウェア... 6 事前準備 (

More information

untitled

untitled 1 OrCAD PSpice OrCAD PSpice OrCAD PSpice OrCAD Capture OrCAD Capture OrCAD 15.7 Demo OrCAD Capture CIS Demo 1.1 Capture 1 OrCAD Capture 1.2 1.2 OrCAD Capture [File] [New] [Project] 1.3 Project 2 New Project

More information

? FPGA FPGA FPGA : : : ? ( ) (FFT) ( ) (Localization) ? : 0. 1 2 3 0. 4 5 6 7 3 8 6 1 5 4 9 2 0. 0 5 6 0 8 8 ( ) ? : LU Ax = b LU : Ax = 211 410 221 x 1 x 2 x 3 = 1 0 0 21 1 2 1 0 0 1 2 x = LUx = b 1 31

More information

WAGO PROFIBUS バスカプラ/コントローラと、QJ71PB92Dとのコンフィグレーションマニュアル

WAGO PROFIBUS バスカプラ/コントローラと、QJ71PB92Dとのコンフィグレーションマニュアル < 概要 > WAGO-I/O-SYSTEM750 シリーズ PROFIBUS バスカプラ / コントローラと 三菱電機 製 PROFIBUS ユニット QJ71PB92D とのコンフィグレーション手順を説明しております < 使用機器接続図 > 下記機器を準備し 図の通り接続しました WAGO-I/O-SYSTEM PROFIBUS バスカプラ / コントローラ 750-xxx および I/O モジュール

More information

Systemwalker IT Service Management Systemwalker IT Service Management V11.0L10 IT Service Management - Centric Manager Windows

Systemwalker IT Service Management Systemwalker IT Service Management V11.0L10 IT Service Management - Centric Manager Windows Systemwalker IT Service Management Systemwalker IT Service Management V11.0L10 IT Service Management - Centric Manager Windows Systemwalker IT Service Management Systemwalker Centric Manager IT Service

More information

untitled

untitled Dell PowerEdgeDell EMC CX500BakBone NetVault VMware ESX Server 2.5 & NetVault... 2... 2... 3 OS... 4 VMWARE ESX SERVER 2.5 SERVICE CONSOLE... 5 VMWARE ESX SERVER 2.5 NETVAULT... 6... 7 OS... 7 OS... 8

More information

SR-X340TR1 サーバ収容スイッチ ご利用にあたって

SR-X340TR1 サーバ収容スイッチ ご利用にあたって SR-X340TR1 P3NK-4102-01Z0 340TR1 V01 SR-X340TR1 V01 2010 4 Microsoft Corporation Copyright FUJITSU LIMITED 2010 2 SR-X340TR1 V01...2...5...5...5...5...6...6...7...7...10...10...10...10...10...10...11...11...11...11...12...12

More information

Quartus Prime - プログラミング・ファイルの生成や変換(Convert Programming Files)

Quartus Prime - プログラミング・ファイルの生成や変換(Convert Programming Files) ALTIMA Corp. Quartus Prime プログラミング ファイルの生成や変換 (Convert Programming Files) ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. Quartus Prime プログラミング ファイルの生成や変換 (Convert Programming Files) 目次 1. 2. はじめに...3 操作方法...4 2-1.

More information

137 6 1 2 3 4 5 6 138 6 139 1 2 3 4 5 6 140 6 141 1 2 1 2 142 6 3 143 1 2 144 6 145 1 2 3 4 5 146 6 147 1 1 148 6 1 2 149 1 2 1 2 150 6 151 152 6 1 2 153 1 2 3 154 1 2 6 3 155 156 6 157 158 1 6 2 159 1

More information

MINI2440マニュアル

MINI2440マニュアル ARM7/TDMI LPC2148 Eclipse + GCC OpenJTAG http://www.csun.co.jp info@csun.co.jp 2009/8/1 LPC2148 LPC2148 ARM9 USB LPC2148 copyright@2009 http://www.csun.co.jp info@csun.co.jp 1 ARM7TDMI/LPC2148...3 ARM7TDMI/LPC2148...6...7

More information

2 BIG-IP 800 LTM v HF2 V LTM L L L IP GUI VLAN.

2 BIG-IP 800 LTM v HF2 V LTM L L L IP GUI VLAN. BIG-IP800 LTM v11.4.0 HF2 V1.0 F5 Networks Japan 2 BIG-IP 800 LTM v11.4.0 HF2 V1.0...1 1....3 1.1. LTM...3 2. L3...4 2.1. L3...4 2.2. L3...5 3....6 3.1....6 3.1.1. IP...6 3.1.2. GUI...10 3.1.3. VLAN...19

More information

LR DEVICE Version 1.1 706434 / 01 04 / 2017 1................................................ 3 1.1............................................... 3 2................................................ 3

More information

MINI2440マニュアル

MINI2440マニュアル 株式会社日新テクニカ STM32F207 開発キット 株式会社日新テクニカ 全ての資料 回路図 サンプルは http://kanebebe.dip.jp/download/stm32f207 http://www.nissin-tech.com info@nissin-tech.com 2011/9/15 copyright@2011 ホームページ http://www.nissin-tech.com

More information

「FPGAを用いたプロセッサ検証システムの製作」

「FPGAを用いたプロセッサ検証システムの製作」 FPGA 2210010149-5 2005 2 21 RISC Verilog-HDL FPGA (celoxica RC100 ) LSI LSI HDL CAD HDL 3 HDL FPGA MPU i 1. 1 2. 3 2.1 HDL FPGA 3 2.2 5 2.3 6 2.3.1 FPGA 6 2.3.2 Flash Memory 6 2.3.3 Flash Memory 7 2.3.4

More information

HA8000-bdシリーズ RAID設定ガイド HA8000-bd/BD10X2

HA8000-bdシリーズ RAID設定ガイド HA8000-bd/BD10X2 HB102050A0-4 制限 補足 Esc Enter Esc Enter Esc Enter Main Advanced Server Security Boot Exit A SATA Configuration SATA Controller(s) SATA Mode Selection [Enabled] [RAID] Determines how

More information

1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/

1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/ 1 Kazutoshi Kobayashi kobayasi@ieeeorg 2002 12 10-11 1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/kobayasi/kobayasi/refresh/indexhtml,,

More information

Introduction Purpose This course explains how to use Mapview, a utility program for the Highperformance Embedded Workshop (HEW) development environmen

Introduction Purpose This course explains how to use Mapview, a utility program for the Highperformance Embedded Workshop (HEW) development environmen Introduction Purpose This course explains how to use Mapview, a utility program for the Highperformance Embedded Workshop (HEW) development environment for microcontrollers (MCUs) from Renesas Technology

More information

Microsoft Word - D JP.docx

Microsoft Word - D JP.docx Application Service Gateway Thunder/AX Series vthunder ライセンスキー インストール 手順 1 1.... 3 2. vthunder... 3 3. ACOS... 3 4. ID... 5 5.... 8 6.... 8 61... 8 62 GUI... 10 2 1. 概要 2. vthunder へのアクセス 方法 SSHHTTPSvThunder

More information

LiveCode初心者開発入門サンプル

LiveCode初心者開発入門サンプル / About LiveCode 01:... 11 02: Create... 15 set 03:... 21 name title LiveCode 04:... 29 global local width height 05:... 37 Controls Tools Palette Script Editor message handler 06:... 52 RGB 07:... 63

More information

Cisco® ASA シリーズルーター向けDigiCert® 統合ガイド

Cisco® ASA シリーズルーター向けDigiCert® 統合ガイド Cisco ASA DigiCert 2013 7 8 Cisco ASA VPN DigiCert : 2013 7 8 Copyright 2018 DigiCert, Inc. All rights reserved. DigiCert DigiCert DigiCert, Inc. Symantec Norton Symantec Corporation DigiCert, Inc. DigiCert,

More information

MAP2496.PDF

MAP2496.PDF Audiophile 2496 24 Bit 96 khz 4 in/ 4 out PCI Digital Recording Interface with MIDI 2 M-AUDIO 3 PCI 1. INS1&2 IN1 IN2 2. OUTS1&2 OUT1 OUT2 3. 15 D-sub S/PDIF MIDI 4. S/PDIF S/PDIF DAT MD A/D S/PDIF 5.

More information

DL1010.PDF

DL1010.PDF Delta 1010 24 Bit/96 khz PCI Digital I/O Card 2 M-AUDIO 3 Rack Unit 1. Power LED LED MIDI LED LED MIDI Delta 1010 MIDI MIDI LED LED MIDI Delta 1010 MIDI MIDI MIDI MIDI MIDI MTC Delta 1010 MIDI MIDI MIDI

More information

SR-X324T2/316T2 サーバ収容スイッチ ご利用にあたって

SR-X324T2/316T2 サーバ収容スイッチ ご利用にあたって SR-X324T2/316T2 P3NK-4612-01Z0 324T2/316T2 V01 2012 11 Microsoft Corporation Copyright FUJITSU LIMITED 2012 2 ...2...5...5...5...5...6...7...8...8...11...11...11...11...11...12...12...12...12...12...13...13...13

More information

HP Server tc2120 Microsoft Windows 2000 Server SP3 HP P

HP Server tc2120 Microsoft Windows 2000 Server SP3 HP P HP Server tc2120 Microsoft Windows 2000 ServerSP3 HP P312977-191 200210 Hewlett-Packard Company Hewlett- Packard Company Hewlett-Packard Company Intel Corporation MicrosoftMS-DOSWindows Windows NT Microsoft

More information

iStorage NS47Pユーザーズガイド

iStorage NS47Pユーザーズガイド 5 Press to enter SETUP or Press to boot from Netork Enter passord[ ] Main Phoenix cme FirstBIOS Pro Setup Utility Advanced Security Server Boot Exit System Management Console Redirection Event

More information

2 1,384,000 2,000,000 1,296,211 1,793,925 38,000 54,500 27,804 43,187 41,000 60,000 31,776 49,017 8,781 18,663 25,000 35,300 3 4 5 6 1,296,211 1,793,925 27,804 43,187 1,275,648 1,753,306 29,387 43,025

More information