§0 コンピュータの歴史と概要

Size: px
Start display at page:

Download "§0 コンピュータの歴史と概要"

Transcription

1 Ver.15 コンピュータの仕組みを理解するために 1. ハードウエアの基本構成 中央処理装置 (CPU) 演算装置 (ALU) 制御装置 入力装置主記憶装置 (main memory) 出力装置 キーホ ート マウス ライトヘ ン半導体メモリテ ィスフ レイ フ リンタなど OCR,MCR, イメーシ スキャナ, タッチハ ネルなど 外部記憶装置 ( 補助記憶装置 ) 通信制御装置 (CCU) モテ ム ルータ (LANホ ート 磁気テーフ ハート テ ィスク フロッヒ ーテ ィスク PCカート 無線 LAN) など MO,CD,DVD, フラッシュメモリ (USBメモリやSDメモリ) など通信回線へテ ータの流れ制御の流れ コンピュータのハードウェアは 中央処理装置 記憶装置 入出力装置という3つの部分に大別される 中央処理装置 (CPU: central processing unit) は頭脳の中枢にあたるところで メモリとデータのやりとりをしながら各種の演算を実行する演算装置 (ALU: arithmetic and logical unit) と メモリから読み出した命令 ( プログラム ) を解読しそれを実行するために各部の制御を行う制御装置とからなる データやプログラムは キーボード マウス タッチパネルなどの入力装置 (I/O unit) から読み込まれ 主記憶装置 (main memory) に格納され CPUとの間で高速のやりとりをして処理 実行される 特別な入力装置としては ビデオカメラ ( 動画 ) マイク( 音声 ) イメージスキャ 1

2 ナ ( 画像 ) などもあり これらは取り込んだ動画 音声 画像などをデジタルデータに変換してコンピュータに入力する メインメモリ ( 高速だが高価 ) には限りがあるので 大量のデータは外部の補助記憶装置 ( 低速だが廉価 ) に格納する 処理結果はディスプレイやプリンタなどの出力装置に出力される ほかの出力装置としては プロジェクタ プロッタ スピーカーやイヤフォンなどもある 入力装置と出力装置を合わせて入出力装置 (I/O: input-output unit) という 入出力装置 通信制御装置 無停電電源装置など コンピュータの本体以外の部分を総称して周辺装置 (peripheral unit) という 大容量のデータを保存するために補助記憶装置は必須である かつて補助記憶装置の中心であった磁気テープは現在ではほとんど使われていないが 磁気ディスク装置は大容量の補助記憶装置として現在でも主役である パソコンで広く使われているハードディスク (HDD: hard disk) は磁性体を塗布したディスク ( 円盤 ) を何層か重ねたもので 現在ではTB( テラバイト ) 程度の容量も珍しくない その他の補助記憶装置にはフロッピーディスク (FD: floppy disk) 光磁気ディスク (MO: magneto-optical disk) フラッシュメモリ(USBメモリやSDメモリなど) CD(compact disc), DVD(digital versatile disc) などがある かつて PC の外部メモリの主役であった FD ( や その後の一時期使われた MO) は今では CD, DVD, フラッシュメモリに取って代わられている 1982 年頃から普及しだした CD は光ディスク ( 光 ( 半導体レーザー ) の反射により情報の読み書きをする ) ものであり 記憶容量 640MB/700MB, 読み込み速度 1.2Mbps 程度である 1996 年頃から登場したDVD も光ディスク ( 第二世代に分類される ) であるが 記憶容量は120mm 両面 2 層で最大 5.2GB, 読み込み速度 11Mbps( 最大で16 倍速 ) 程度であるフラッシュメモリ (flash memory) は書き換え可能で 電源を切ってもデータが消えない不揮発性の半導体メモリの一つである USB ポートを用いてデータを転送する際に補助記憶装置としてフラッシュメモリが用いられることが多いため USBメモリと呼ばれることもある 記憶容量は16MB~512GB(2015 年現在 ) と幅広い 携帯電話 ( スマホを含む ) 等で使われている小型のメモリカードであるSDメモリ ( や minisd, microsd) はフラッシュメモリの一種である ( 数十 GBくらいまで ) 形態によって SD, MS, SM, xd, CF とも呼ばれる ディスプレイには 一種の真空放電管であるCRT(cathode ray tube) を用いたCRTディスプレイと 液体と結晶の両方の特性を持つ物質である液晶が透過光の偏向角を変える性質を利用した液晶ディスプレイがある イメージスキャナ (image scanner) は 写真や絵などの画像を読み取ってデジタルデータに変換する装置である 通信制御装置 (CCU: communication control unit) の一つであるモデム (modem: modulator/demodulatorの造語 ) は 電話などのアナログ回線と デジタルデータしか扱わない 2

3 コンピュータとの間でデータ変換を行う装置である シリアル信号を半導体レーザー光に変換する光モデム シリアル信号を無線によって伝送するための無線モデム (Bluetoothなど) ブロードバンドインターネット接続用のADSLモデムなどに分類されることもある ADSLモデムの場合 ルーターに内蔵されていることが多い ルータ (router) は コンピュータを繋げたネットワークにおいて複数のサブネットの間でデータを中継する ( データをサブネットに分配すると同時に転送の可否等も判断する ) 通信機器の総称である 管理の対象や能力によってブロードバンドルータとかモバイルWiFiルータなどに分類することもある プリンタには 1 文字ずつ横方向に印刷するシリアルプリンタ (serial printer) と 1 行分をまとめて印刷するラインプリンタ (line printer) と 1 頁分のドット情報をメモリ内に展開して印刷するページプリンタ (page printer) があるが シリアプリンタやラインプリンタは現在ではほとんど用いられていない また 印刷方式によって 感熱式プリンタ インクジェットプリンタ レーザープリンタなどがあるが 感熱式プリンタは現在ではほとんど用いられていない 2. よく使われる単位 ビット bit (binary digit) 0/1(yes/no, 有 / 無など ) の2 値を表す単位 2 進数 1 桁 バイト byte (Bと略記する) 1 文字を表す単位に相当する ( 正しくは1 語をいくつかに分割したもの ) 1byte=8bitsであることが多い KB Kilobyte (1000B) MB Megabyte (100 万 B) GB Gigabyte (10 億 B) TB Terabyte (1 京 B) ワード ( 語 ) word (Wと略記する) コンピュータ内部で演算対象となる単位 ( そのビット幅の演算回路やバス ( データ伝送路 ) がある ) 1W=8bits,16bits,32bits,64bits 等 現在は 1W =32bitsまたは64bitsが主流である MIPS (million instructions per second) 1 秒間に何百万回の基本命令を実行するか を表す単位 FLOPS (floating point instructions per second) 1 秒間に何回の浮動小数点命令 ( 実数に関する命令 ) を実行するか を表す単位 普通 浮動小数点数命令の方が他の数値演算命令 ( 固定小数点数命令 = 整数に関する命令 ) より実行時間がかかる MFLOP=10 6 FLOPS. 3

4 最近は 演算速度を表す単位として固定小数点演算にSPECint92 浮動小数点演算にSPECfp92 を使うこともある MFLOPS Mega Flops (100 万 FLOPS) GFLOPS Giga Flops (10 億 FLOPS) bps bit per second(1 秒間に送信できるビット数 ).B/s とか b/s とも書く 2 進数 (binary number) 下位から i ビット目は 2 i を表す ( ただし 最下位を 0 ビット目とする ). 8 進数 (octal number) 下位から i 桁目は 8 i を表す ( ただし 最下位を 0 桁目とする ). [0] 10 = [0] 8 = [000] 2, [1] 10 = [1] 8 = [001] 2,, [6] 10 = [6] 8 = [110] 2, [7] 10 = [7] 8 = [111] 進数 (hexadecimal number) 下位から i 桁目は 16 i を表す ( ただし 最下位を 0 桁目とする ).10~15 を表すのに文字 a~f( または A~F) を用いる. [0] 10 = [0] 16 = [0000] 2, [1] 10 = [1] 16 = [0001] 2,, [14] 10 = [e] 16 = [1110] 2. [15] 16 = [f] 16 = [1111] 2. 単位の接頭語 ( 修飾語 補助単位 ) y z a f p n μ m c d ヨクトゼプトアット フェムト ヒ コ ナノ マイクロ ミリ センチ テ シ atto femto pico nano micro mili centi deci ( 古北欧 ) ( 北欧 ) ( ラテン ) ( ラ ) ( ラ ) ( ラ ) ( ラ ) ( ラ ) 尖った先小人小さい がい 十 百 千 百万 十億 兆千兆 百京 十垓 da h K M G T P E Z Y テ カ ヘクト キロ メカ キ カ テラ ヘ タ エクサ ゼタ ヨタ deca hecto kilo mega giga tera peta exa zetta yotta ( キ ) ( キ ) ( キ ) ( キ ) ( キ ) ( キ ) ( キ ) ( キ リシャ語 ) 大きい巨人怪物 5 6 例えば 1nsec(1 ナノ秒 )=10-9 秒 1MB(1 メガバイト )=10 6 B である し秭 4

5 数年前までは 単位の接頭語の K, M, G, は K=10 3, M=10 6, G=10 9, といった 10 の冪乗ではなく 2 の冪乗を使うことが結構行われた 例えば K として 1000 に最も近い 2 の冪乗である 1024(=2 10 ) が使われた ( 同様に M=10 6 や G=10 9 の代わりに 2 20 = や 2 30 = が使われた ) しかし これは混乱の元であったので 現在では 後者は別 の名称で呼ぶことになっている (1998 年に IEC( 国際電気標準会議 ) が国際規格として定め IEEE でも同様の規格 IEEE を 2002 年に定めた ) その新呼称は次の通り: 呼称 単位記号 2 10 =1024 キビ kibi Ki 2 20 = メビ mebi Mi 2 30 = ギビ gibi Gi 2 40 テビ tebi Ti 以下略 ( ペビ pebi (Pi) エクスビ exbi (Ei) ゼビ zebi (Zi) ヨビ yobi (Yi)) 3.CPU の基本原理 2 つの整数の和を出力するプログラムを考えよう main() { int a, b, c; /* 変数 a,b,c が整数値をとる変数であることを宣言する */ a = 1; /* a に 1 を代入する */ b = 2; /* b に 2 を代入する */ c = a+b; /* a と b の和を c に代入する */ cout << c; /* c の値を出力する */ } コンピュータは このプログラムをそのまますぐに実行できるわけではない このプログラムは人間にとって理解し易い汎用高級言語 ( この例ではC++ 言語 ) で書かれたものであり コンピュータが直接認識して実行することはできない そのため コンパイラ (compiler) と呼ばれるプログラムによって コンピュータが直接理解して実行できる形の命令 ( 機械語命令 (machine instruction) と呼ばれ 対応するハードウェア的機構ないしはそれに近いものが存在するもの ) の列に翻訳する 1つの機械語命令は 命令の種類とその作業対象となるデータ 5

6 の入っている場所 ( アドレス ) などを 0,1 で表現したものであり このような機械語命令の列 を機械語プログラムという 機械語 (machine language) は単に 0,1 の列であるが より人間に 分かりやすいように記号化したものをアセンブリ言語 (assembly language) という ( アセンブ ラという人もいるが アセンブラはアセンブリ言語を機械語に翻訳するプログラムのことであ る ) X と Y の和を Z に代入するプログラム 機械語 (8080A) アセンブリ言語 (8080A) 汎用高級言語 LDA X Z=X+Y (FORTRAN) LXI H,X COMPUTE Z=X+Y. (COBOL) ADD M z:=x+y; (PASCAL) STA Z Z X+Y (APL) (SETQ Z (+ X Y)) (LISP) Z=X+Y; (PL/I) ADD M _z is _x+y (Prolog) STA Z z=x+y; (C) 高級言語と違い 機械語の仕様は CPU( すなわち 機種 ) ごとに異なっている 上の例に用いたのは インテル社製の 8080A と呼ばれる MPU の機械語である (8080A はマイコンが出現した当初の 8 ビットマシン MPU=micro processor unit=マイコンの CPU) 通常 機械語命令の種類 ( 命令セット ) は 100 個から 200 個くらいあり ( 命令の種類が複雑で多種な CISC 型コンピュータ (complex instruction set computer) と 命令を単純にして実行効率を向上させた方式の RISC 型コンピュータ (restricted instruction set computer) とでは命令の数がかなり異なる ) 1つの命令は次のような構造をしている ( 命令によって 長さや形式がかなり異なる ): 命令コード補助情報命令の対象となるアドレスあるいはデータ 1 の 命令コード はその命令の名前を 2 進数で表したものであり 3 の アドレス部 に はその命令の操作対象となるデータが入っているメモリ ( 主記憶装置 ) のアドレス あるいは 6

7 使われるデータ自身が入れられる 2( 修飾部 ) には レジスタ番号その他の補助情報が入れられる ( レジスタ (register) とは 演算に使われる少数個の高速メモリのこと ) さて 機械語に翻訳されたプログラムが行うことは次のようになる : 1 int a,b,c; によって主記憶装置上に int ( 整数 ) 型変数 a, b, c それぞれのための領域が確保される 2 a = 1; によって確保された変数 a に int 型の値 1が格納される ( 値 1は 機械語命令自身内に作られ その命令が実行されるか あるいは 主記憶装置内のデータ用領域に作られ それが演算装置を経由して変数に格納される ) 3 b = 2; についても2と同様 4 c = a+b; によって変数 a と b の値は " ロード命令 "( 機械語の命令の一つ ) によって演算装置に渡され " 加算命令 " を使って和がとられ その演算結果が再び " ストア命令 " によって変数 c に格納される 5 cout << c; によって変数 c の値は出力装置 ( 例えば ディスプレイ ) に出力される 例えば 4 を行うためには次の 5 つの機械語命令が実行される : アセンブリ言語による記述 mov dword ptr [a (00428bf4)],1 mov dword ptr [b (00428bf8)],2 mov eax,[a (00428bf4)] add eax,dword ptr [b (00428bf8)] mov [c (00428bfc)],eax 定数 1 を a ( アドレス 00428bf4) に格納せよ定数 2 を b ( アドレス 00428bf8) に格納せよ a の値を演算装置にロードせよ同上演算装置に b の値を加算せよ同上演算装置の値を c( アト レス 00428bc) に格納せよ 対応する機械語命令の列 この機械語 1つ1つが何であるかを解読して 対応する処理を実行するために CPU は 各機械語命令を 命令の読み込み 命令の解読 命令の実行 結果の出力 というステップに分解して実行するというステップを繰り返す ( 下図参照 ) もう少し 細かく言うと 7

8 1 命令の読込み ( フェッチ ): プログラムカウンタ ( プログラム内のいくつめの命令を解読実行中であるかを記憶しておくためのメモリ ) が示すアドレスに従い主記憶装置から命令を読み出し 命令レジスタ (1つの命令を格納しておくためのレジスタ レジスタ(register) とは読み書きが高速にできるメモリのこと ) に格納する 次に実行すべき命令を示すようにプログラムカウンタの値を更新する ( 普通は現在実行中の命令の直後の命令を実行するので 1 を足す ジャンプ命令の場合は 飛び先の命令の番号を入れる ) 2 命令の解読 ( デコード ): 命令レジスタの命令 ( 命令部とアドレス部と修飾部に分けられる ) のうち 命令部をデコーダー (decoder) に渡す デコーダーは渡されたものがどのような命令であるかを解読し 命令を実行するための制御信号を生成し 命令が主記憶装置上のデータを必要とするならば そのアドレスをアドレスレジスタに転送する 3 以下 命令の実行 : アドレスレジスタは インデックスレジスタやベースレジスタ ( これらのレジスタは アドレスを表すためのもので 実際のアドレスは ベースアドレスにインデックスレジスタの値を足したものになる ( アドレスの決め方は方式によって異なり もっと複雑な方式によっている場合もある ) の修飾を受けて 有効アドレスを割り出す 4 有効アドレスの該当アドレスに記憶されているデータをデータバス上に読み出す 5 メモリレジスタ ( メモリからのデータを記憶しておくためのレジスタ ) は データバス上のデータを受け取って記憶する 6 演算が必要なときは演算装置のアキュムレータ ( 演算器 accumulator) に渡す 7 アキュムレータは演算回路を使って演算を行う 8 以下 結果の格納 : アキュムレータは演算回路から演算結果を受け取る 主記憶装置に格納する場合はいったんメモリレジスタに戻す 9 指定されたアドレスに演算結果を格納する 1 に戻る 8

9 3. コンピュータの動作速度 コンピュータ内部のあらゆる処理はいろんな論理回路が一定の時間幅で同期をとって行う この同期の幅 ( で時間を割ったもの=クロック ) が動作周波数 ( クロック周波数 ) である 例えば 1クロックが1 秒間に 1000 個であれば 1KHz( キロヘルツ ) 100 万個あれば 1MHz( メガヘルツ ) 10 億個あれば 1GHz( ギガヘルツ ) である このため 動作周波数が大きいほど処理速度が速いと言える ( 実際は クロック周波数だけでなく 後述する 1クロックあたり実行できる基本命令の数 にも依存する ) パソコンの場合 動作周波数はマザーボード上にある水晶発振器等 ( 安価なセラミック発振器を使うこともある ) で作り出されるクロック信号をもとに 回路ごとに調整して用いられる CPU 内部での処理は動作周波数に合わせて 1クロックで1つの処理を行う すなわち 1 クロック目に命令を読み込み 2クロック目にそれを解読し 3クロック目に実行を行い 4 クロック目に結果の書き込みを行う しかし これらの処理はそれぞれ CPU 内の別々の部分で行われるので 2クロック目に1つ前の命令を解読すると同時に次の命令を読み込むことができ 2クロック目には2つ前の命令を実行すると同時に1つ前の命令を解読し次の命令も読み込むことができ というように同時に4つの処理を並行して行うこともできる このような処理方法をパイプライン (pipelining) という ( 下図参照 ) 最近では CPU の内部処理を4 ステップではなく8ステップあるいはそれ以上にしたり ( スーパーパイプライン : superpipelining) フェッチやデコードを複数同時に行い 演算器の数も増やして一度に複数の命令を処理できるようにしたり ( スーパースカラー : susperscalor) して 処理速度を上げることが行われている さらに最近では パソコンは複数のコア (MPU core: マイクロプロセッサの中核部分で 演算を行うための論理回路や一次キャッシュなどが実装された装置 ) を備え それらを並列に稼働させて動作速度の向上が図られるようになっている コアの個数によって デューアルコア ( コアが2 個 dual core) クアッドコア(4 個 quadcore) オクタルコア(8 個 octalcore) などと呼ばれている 9

10 動作周波数 1Hz 1 クロック =1 秒 1 クロック =100 万分の 1 秒 1MHz 命令処理の基本 1 クロック 命令 1 フデ実書 命令 2 フ デ 実 書 命令 3 フ デ 実 命令 4 パイプライン処理 1 クロック 命令 1 命令 2 命令 3 命令 4 フェッチ デコード 実行 書込み フェッチ デコード 実行 書込み フェッチ デコード 実行 フェッチ デコード 10

11 スーパースカラー処理 (2 命令並列の場合 ) 1 クロック 命令 1 命令 2 命令 3 命令 4 フェッチ デコード 実行 書込み フェッチ デコード実行 書込み フェッチ デコード 実行 書込み フェッチ デコード 実行 書込み CPU メモリ ( 主記憶装置 ) 命令 i データ i 1 命令 やテ ータの 読み込み 命令 1 命令 2 命令 3 フ ロク ラム 計算 2 計算 結果 データ 1 テ ータ領域 3 計算結果 の書き込み データ 2 11

12 4. メモリ ( 記憶装置 ) (A) 主記憶装置コンピュータの記憶装置は 主記憶装置と外部記憶装置 (2 次記憶装置 ) に大別される 主記憶装置 ( メインメモリ (main memory): パソコンではRAM (random access memory) とも呼ばれる ) は すでに見たように 処理手続きを機械語で記述した一連の命令の列 ( プログラム ) や そのプログラムが使うデータや変数等を記憶しておくための装置である 主記憶装置は 読み書き自由な RAM と 読み取り専用の ROM (read-only memory) に大別されるが 単に メモリ という場合には主記憶装置の中の RAM を指す コンピュータ誕生したばかりの頃に用いられた 水銀遅延線 ブラウン管 (1950 年代 ) 磁気ドラム 磁気コア(1980 年代 ) に代わり 現在では半導体メモリが用いられている 主記憶装置はビットの集まりである いくつかのビットを単位としてアドレス ( 番地 address) が付けられている 8 ビット=1バイトをアドレスの単位とする場合が多い ( このようなコンピュータをバイトマシン (byte machine) という ) が 1 語 (16, 32, 64 ビットなど ) を単位とするコンピュータもかつてはあった ( このようなコンピュータをワードマシン (word machine) という ) 下図は 1ワード=32 ビット=4バイトのバイトマシンの例である パソコンではメインメモリ空間とは別に キーボードなどの入出力機器の制御のために使われる I/O アドレス空間 (64KB 程度 ) がある アドレス ( バイト単位 :16 進表現 ) B = 4GB FFFFFFF8 FFFFFFFC 1W = 4B = 32bits 主記憶装置の容量はさまざまである 2002 年時点で 大型コンピュータで数十 GB パソコンでは 64~256MB 程度であった ( 最大で 32 ビットで表せる程度 ) が 年々大容量化している ( 主記憶装置のアドレスを表すのに何バイト使えるかで主記憶装置の容量が決まるが 現在で 12

13 は様々なメモリ空間管理法を使うことによって大容量のメモリが使えるようになっている 2015 年時点では パソコンでも主記憶装置の容量は 4~8GB 程度 補助記憶装置であるハードディスクの容量はずっと大きく 256~512GB が普通である ) バイトマシンではバイト長を単位としてメモリ空間にアドレスをふっているので 例えば 16ビットマシンなら64KB (=2 16 B) 32ビットマシンなら4GB (=2 32 B) の大きさのアドレス空間を表現できる しかし コンピュータのアーキテクチャのビット数を増やさずにアドレス空間を広げる ( 表すことのできるアドレスの範囲を広げる ) ためにいろんな方法が考えられている 参考 : 1B = [ff] 16 = [256] 10. 1W = 32ビット = 4B = [ffffffff] 16 = [ ] ビット = 8B = [ffffffffffffffff] 16 = [ ] 10. (B) メモリ管理 ( 主記憶装置の効率的利用 ) CPU の利用効率を高めるために大型計算機では 主記憶装置に2つ以上のプログラムを同時に記憶しておいて 一方のプログラムがデータの入力待ちをしている間にもう一方のプログラムを実行する このような方式を多重プログラミング (multi-programming) あるいはマルチタスク (multi-task) という 多重プログラミング実行中は 主記憶装置内にすべてのプログラムとデータを常駐させておくことができないので 主記憶装置と2 次記憶装置の間でプログラムやデータを入れ替える操作 ( スワッピング ) を頻繁に行う必要がある そのために 効率的にスワッピングを行うために主記憶装置内へプログラムやデータをどのように配置 ( メモリ割付 memory allocation) するかが重要である 一方 コンピュータのアーキテクチャのビット数を増やさずにアドレス空間を広げる ( 例えば 16ビットマシンで2 32 バイト=4GBの主記憶装置を使えるようにする ) ためにも 主記憶装置のアドレスをどう表現するかが重要である そのための代表的な方式には ロケーションレジスタ方式 ベースレジスタ方式 セグメント方式 仮想記憶方式などがある 1 ロケーションレジスタ (location register) 方式では ロケーションレジスタと呼ぶ特別のレジスタ ( 高速のメモリのこと ) にプログラムの先頭アドレスを入れておき 機械語の各命令の格納されているアドレスにその先頭アドレスを加えて実効番地を計算する 2 ベースレジスタ (base register) 方式は 1つの機械語命令の長さが小さくて そのアドレス部だけで主記憶装置のすべてのアドレスを表現できないとき ベースレジスタと呼ばれ 13

14 るレジスタの値を加えたものを実効アドレスとする方式である 3 セグメント (segment) 方式では CPUが直接アクセスできるメモリ空間を1つのセグメントとして セグメントを複数個用意しておき ( セグメントレジスタと呼ばれるレジスタを使う セグメントレジスタが16ビットなら2 16 個のセグメントを扱える ) アクセスするセグメントを切り替える 12の方式では扱えるメモリ空間がロケーション / ベースレジスタの大きさ分しか増えないのに対し 3の方式では扱えるメモリ空間はセグメントレジスタの大きさ培に増える ( 下図参照 ) 4 仮想記憶 (virtual memory) 方式では 物理的な記憶装置 ( 実メモリ空間 ) とは別にプログラムごとに仮想的なメモリ空間を考え その上でプログラムを組み 実行時に仮想的なアドレスを物理的記憶装置上の物理アドレス ( 実アドレス ) に変換するためにアドレス変換表をもつ 仮想記憶方式にはセグメント方式とページ方式があり ページ方式では主記憶装置も2 次記憶装置もページと呼ばれる固定長のサイズの領域に分割し ページ単位でスワッピングを行う ) n ビット アドレス セグメント m ビット セグメントレジスタ セグメント 拡張されたアドレス セグメント n+m ビット 14

15 (C) メモリの階層構造 ( アクセスの高速化 ) CPU の処理速度に比べると記憶装置へのアクセスにかかる時間は大きいため CPU に待ち時間が生じる ところで プログラムの実行においては ある命令が実行されると その直後あるいはその近辺にある命令がその次に実行される割合が高く また あるデータが参照されるとその次に参照されるデータはその近辺にあることが多い そこで この参照の局所性に着目し 処理速度差の大きい2つのメモリの中間にキャッシュメモリ ( キャッシュ chache memory) と呼ばれる処理速度が中程度のメモリを置き CPU は主記憶装置から ( へ ) 直接データを読み込む ( に書き出す ) のではなく 次に呼び出される確率の高いデータ ( あるいは すでに得られている計算結果 ) をキャッシュに前もって読み込んで ( 書き込んで ) おき CPU はキャッシュとの間でデータの遣り取りをする キャッシュが主記憶装置とデータの遣り取りをする必要が生じるのは キャッシュに読み込まれれているデータの範囲外のデータが必要になったときだけであるので CPU の処理効率が上がる このようなキャッシュメモリは CPU と主記憶装置の間に複数置かれることもある (1 次キャッシュと2 次キャッシュ ) また 主記憶装置と補助記憶装置(2 次記憶装置 外部記憶装置 ) の間や 主記憶装置と入出力装置の間にもキャッシュと類似の役割を果たすバッファ (buffer データを一時的に蓄えておくためのメモリ 緩衝メモリ) と呼ばれる中間記憶装置が置かれる CPU と主記憶装置の間のこのようなメモリの階層をメモリの階層構造という ( 下図参照 メモリ容量や動作速度は2012 年頃のものであり 年々進歩している ) CPU の演算回路に近いほど高速の記憶素子 ( 値段は高い 容量は小 ) が用いられる 高速 主記憶装置内 1ns レジスタ 数 10B 廉価 大容量 数 ns 1 次キャッシュ 8KB~ 数 ns 2 次キャッシュ 64KB~ 数 10ns 主記憶装置 128MB~4GB 数 10ms 補助 (2 次 外部 ) 記憶装置 数 10GB~ 数 100TB 15

16 現在のほとんどのコンピュータで採用されているプログラム内臓方式では プログラム ( 機械語命令の列 ) とそれが使うデータや変数は主記憶装置上に格納されており CPU はそれらを直接読み書きすることができる しかし すでに述べたように 大量のデータを扱う場合にはすべてのデータを主記憶装置上に置いておくことができないので 大容量データは補助記憶装置 (2 次記憶装置 外部記憶装置 ) に記録しておき 必要に応じて主記憶装置上に読み込んで使うという方式がとられる (CPU は補助記憶装置内のデータを直接読み書きすることはできない ) 補助記憶装置としてHDD (hard disk drive) を使うパソコンを例として説明しよう まず CPU がメモリ空間を介して HDD にデータの読み出し命令を出すと HDD 内にあるコントローラーにその読み出し命令が書き込まれ コントローラーはそれに従ってディスク内にある目的のデータを読み出し バッファメモリに蓄える HDD 内のDMAコントローラー (DMA: direct memory access) がそれを主記憶装置に転送してはじめて CPU が目的のデータを読むことができる このような方式を採用することにより CPU に比べて処理速度が圧倒的に遅い補助記憶装置との間のデータの読み書きがプログラム全体の処理を遅らせるのを防ぎ CPU の負荷を軽減する 大型機では DMA コントローラーに入出力制御のための専用プロセッサを付け加えたものをチャネル (channel) と呼ぶ メモリ空間 HDD C コントローラー P U 1 読み出し命令 読み出し命令 2 主 記 5 憶装 目的データ 置 目的データ バッファーメモリ 3 4 目的データ 16

コンピュータの仕組み(1)ハードウェア

コンピュータの仕組み(1)ハードウェア Copyright 守屋悦朗 2005 コンピュータの仕組み (1) ハードウェア 2.1 CPU の基本原理 2 つの整数の和を出力するプログラムを考えよう main() { int a, b, c; /* 変数 a,b が整数値をとる変数であることを宣言する */ a = 1; /* a に 1 を代入する */ b = 2; /* b に 2 を代入する */ c = a+b; /* a と

More information

ComputerArchitecture.ppt

ComputerArchitecture.ppt 1 人間とコンピュータの違い コンピュータ 複雑な科学計算や膨大な量のデータの処理, さまざまな装置の制御, 通信などを定められた手順に従って間違いなく高速に実行する 人間 誰かに命令されなくても自発的に処理したり, 条件が変化しても臨機応変に対処できる 多くの問題解決を経験することで, より高度な問題解決法を考え出す 数値では表しにくい情報の処理ができる 2 コンピュータの構成要素 構成要素 ハードウェア

More information

計算機アーキテクチャ

計算機アーキテクチャ 計算機アーキテクチャ 第 11 回命令実行の流れ 2014 年 6 月 20 日 電気情報工学科 田島孝治 1 授業スケジュール ( 前期 ) 2 回日付タイトル 1 4/7 コンピュータ技術の歴史と コンピュータアーキテクチャ 2 4/14 ノイマン型コンピュータ 3 4/21 コンピュータのハードウェア 4 4/28 数と文字の表現 5 5/12 固定小数点数と浮動小数点表現 6 5/19 計算アーキテクチャ

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

C に必要なコンピュータ知識 C はコンピュータの力を引き出せるように設計 コンピュータの知識が必要

C に必要なコンピュータ知識 C はコンピュータの力を引き出せるように設計 コンピュータの知識が必要 C プログラミング 1( 再 ) 第 5 回 講義では C プログラミングの基本を学び演習では やや実践的なプログラミングを通して学ぶ C に必要なコンピュータ知識 C はコンピュータの力を引き出せるように設計 コンピュータの知識が必要 1 コンピュータの構造 1.1 パーソナルコンピュータの構造 自分の ( 目の前にある ) コンピュータの仕様を調べてみよう パソコン本体 = CPU( 中央処理装置

More information

コンピュータ工学Ⅰ

コンピュータ工学Ⅰ コンピュータ工学 Ⅰ Rev. 2018.01.20 コンピュータの基本構成と CPU 内容 ➊ CPUの構成要素 ➋ 命令サイクル ➌ アセンブリ言語 ➍ アドレッシング方式 ➎ CPUの高速化 ➏ CPUの性能評価 コンピュータの構成装置 中央処理装置 (CPU) 主記憶装置から命令を読み込み 実行を行う 主記憶装置 CPU で実行するプログラム ( 命令の集合 ) やデータを記憶する 補助記憶装置

More information

情報科学概論

情報科学概論 情報科学概論 映像 1 年前期 選択 担当 : 浦谷則好 http://uratani-n.com/info-science/ uratani@cs.t-kougei.ac.jp 前回の課題 コンピュータの歴史について学んだことをできるだけ記せ 将来の PC に備えて欲しい機能, あるいはアプリケーションについて記せ クラウド上に自分の記憶の保存または他の人の記憶のインストール 人間が見た映像や聴いた音を記録し

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 電気 電子計測 第 3 回 第 8 章ディジタル計測制御システムの基礎 http://cobayasi.com/keisoku/3th/3th.pdf 今日の学習の要点 ( テキスト P85~P94). 計算機の基本的なしくみを学ぼう 2. 外部機器とのデータのやりとりについて知ろう 3. 計算機によるディジタル計測制御システムの構成法 物理量. 計算機の基本的なしくみを学ぼう ディジタル計測制御システムセンサから得た情報を

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンピュータアーキテクチャ 第 13 週 割込みアーキテクチャ 2013 年 12 月 18 日 金岡晃 授業計画 第 1 週 (9/25) 第 2 週 (10/2) 第 3 週 (10/9) 第 4 週 (10/16) 第 5 週 (10/23) 第 6 週 (10/30) 第 7 週 (11/6) 授業概要 2 進数表現 論理回路の復習 2 進演算 ( 数の表現 ) 演算アーキテクチャ ( 演算アルゴリズムと回路

More information

コンピュータ工学Ⅰ

コンピュータ工学Ⅰ コンピュータ工学 Ⅰ 中央処理装置 Rev. 2019.01.16 コンピュータの基本構成と CPU 内容 ➊ CPUの構成要素 ➋ 命令サイクル ➌ アセンブリ言語 ➍ アドレッシング方式 ➎ CPUの高速化 ➏ CPUの性能評価 コンピュータの構成装置 中央処理装置 (CPU) 主記憶装置から命令を読み込み 実行を行う 主記憶装置 CPU で実行するプログラム ( 命令の集合 ) やデータを記憶する

More information

この方法では, 複数のアドレスが同じインデックスに対応づけられる可能性があるため, キャッシュラインのコピーと書き戻しが交互に起きる性のミスが発生する可能性がある. これを回避するために考案されたのが, 連想メモリアクセスができる形キャッシュである. この方式は, キャッシュに余裕がある限り主記憶の

この方法では, 複数のアドレスが同じインデックスに対応づけられる可能性があるため, キャッシュラインのコピーと書き戻しが交互に起きる性のミスが発生する可能性がある. これを回避するために考案されたのが, 連想メモリアクセスができる形キャッシュである. この方式は, キャッシュに余裕がある限り主記憶の 計算機システム Ⅱ 演習問題学科学籍番号氏名 1. 以下の分の空白を埋めなさい. CPUは, 命令フェッチ (F), 命令デコード (D), 実行 (E), 計算結果の書き戻し (W), の異なるステージの処理を反復実行するが, ある命令の計算結果の書き戻しをするまで, 次の命令のフェッチをしない場合, ( 単位時間当たりに実行できる命令数 ) が低くなる. これを解決するために考案されたのがパイプライン処理である.

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンピュータアーキテクチャ 第 11 週 制御アーキテクチャ メモリの仕組 2013 年 12 月 4 日 金岡晃 授業計画 第 1 週 (9/25) 第 2 週 (10/2) 第 3 週 (10/9) 第 4 週 (10/16) 第 5 週 (10/23) 第 6 週 (10/30) 第 7 週 (11/6) 授業概要 2 進数表現 論理回路の復習 2 進演算 ( 数の表現 ) 演算アーキテクチャ

More information

C プログラミング 1( 再 ) 第 5 回 講義では C プログラミングの基本を学び演習では やや実践的なプログラミングを通して学ぶ

C プログラミング 1( 再 ) 第 5 回 講義では C プログラミングの基本を学び演習では やや実践的なプログラミングを通して学ぶ C プログラミング 1( 再 ) 第 5 回 講義では C プログラミングの基本を学び演習では やや実践的なプログラミングを通して学ぶ C に必要なコンピュータ知識 C はコンピュータの力を引き出せるように設計 コンピュータの知識が必要 コンピュータの構造 1. パーソナルコンピュータの構造 自分の ( 目の前にある ) コンピュータの仕様を調べてみよう パソコン本体 = CPU( 中央処理装置 ):

More information

スライド タイトルなし

スライド タイトルなし 2019. 7.18 Ibaraki Univ. Dept of Electrical & Electronic Eng. Keiichi MIYAJIMA 今後の予定 7 月 18 日メモリアーキテクチャ1 7 月 22 日メモリアーキテクチャ2 7 月 29 日まとめと 期末テストについて 8 月 5 日期末試験 メモリアーキテクチャ - メモリ装置とメモリアーキテクチャ - メモリアーキテクチャメモリ装置とは?

More information

情報工学Ⅰ-02

情報工学Ⅰ-02 第 2 回コンピュータの構成 学習目標 最低でも コンピュータを構成する 5 つの要素について理解して欲しい そのステップをクリアできたなら それぞれの構成要素ごとに 具体的にどんな機器が用いられていて それぞれの機器がどんな特徴を持っているかを理解して欲しい 用語 キーワード CPU, 中央制御装置 入出力装置 補助記憶装置 主記憶装置 演算装 置 制御装置 バス マザーボード スロット コネクタ

More information

Operating System 仮想記憶

Operating System 仮想記憶 Operating System 仮想記憶 2018-12 記憶階層 高速 & 小容量 ( 高価 ) レジスタ アクセスタイム 数ナノ秒 容量 ~1KB CPU 内キャッシュ (SRAM) 数ナノ秒 1MB 程度 ランダムアクセス 主記憶 (DRAM) 数十ナノ秒 数 GB 程度 ランダムアクセス フラッシュメモリ (SSD) 約 100 万倍 シーケンシャルアクセス 磁気ディスク (HDD) 数十ミリ秒

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2015 年度 5 セメスター クラス D 計算機工学 6. MIPS の命令と動作 演算 ロード ストア ( 教科書 6.3 節,6.4 節 ) 大学院情報科学研究科鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ レジスタ間の演算命令 (C 言語 ) c = a + b; ( 疑似的な MIPS アセンブリ言語 )

More information

OS

OS Operatig System 仮想記憶 2017-12 記憶階層 高速 & 小容量 ( 高価 ) レジスタ アクセスタイム 数ナノ秒 容量 ~1KB ランダムアクセス ランダムアクセス CPU 内キャッシュ (SRAM) 主記憶 (DRAM) フラッシュメモリ 数ナノ秒 数十ナノ秒 1MB 程度 数 GB 程度 シーケンシャルアクセス 磁気ディスク (HDD) 光磁気ディスク (CD-R DVD-RW

More information

出 アーキテクチャ 誰が 出 装置を制御するのか 1

出 アーキテクチャ 誰が 出 装置を制御するのか 1 出 アーキテクチャ 誰が 出 装置を制御するのか 1 が 出 装置を制御する メモリ ( 主記憶 ) 命令データ 出 装置 2 が 出 装置を制御する 命令 実 入出力装置を制御する命令を実行する メモリ ( 主記憶 ) 命令データ 制御 出 装置 3 が 出 装置を制御する メモリ ( 主記憶 ) 命令 実 制御 命令データ データを出力せよ 出 装置 4 が 出 装置を制御する メモリ ( 主記憶

More information

ic3_lo_p29-58_0109.indd

ic3_lo_p29-58_0109.indd 第 2 章 ネットワーク 2-1 接続 ここでは に接続するネットワーク およびセキュリティの基本について学習します 2-1-1 通信速度 ネットワークの通信速度は bps( ビーピーエス ) (bits per second の略 ) という単位で表します 日本語では ビット毎秒 であり 1 秒間に転送できるデータ量を表します ビットとはデータ量の単位であり 8ビットが 1 バイトに相当します バイトもデータ量の単位であり

More information

Microsoft PowerPoint - 11Web.pptx

Microsoft PowerPoint - 11Web.pptx 計算機システムの基礎 ( 第 10 回配布 ) 第 7 章 2 節コンピュータの性能の推移 (1) コンピュータの歴史 (2) コンピュータの性能 (3) 集積回路の進歩 (4) アーキテクチャ 第 4 章プロセッサ (1) プロセッサの基本機能 (2) プロセッサの構成回路 (3) コンピュータアーキテクチャ 第 5 章メモリアーキテクチャ 1. コンピュータの世代 計算する機械 解析機関 by

More information

Microsoft PowerPoint - No6note.ppt

Microsoft PowerPoint - No6note.ppt 前回 : 管理 管理の目的 : の効率的利用 ( 固定区画方式 可変区画方式 ) しかし, いかに効率よく使ったとしても, 実行可能なプログラムサイズや同時に実行できるプロセス数は実装されているの大きさ ( 容量 ) に制限される 256kB の上で,28kB のプロセスを同時に 4 個実行させることはできないか? 2 256kB の上で,52kB のプロセスを実行させることはできないか? 方策 :

More information

書式に示すように表示したい文字列をダブルクォーテーション (") の間に書けば良い ダブルクォーテーションで囲まれた文字列は 文字列リテラル と呼ばれる プログラム中では以下のように用いる プログラム例 1 printf(" 情報処理基礎 "); printf("c 言語の練習 "); printf

書式に示すように表示したい文字列をダブルクォーテーション () の間に書けば良い ダブルクォーテーションで囲まれた文字列は 文字列リテラル と呼ばれる プログラム中では以下のように用いる プログラム例 1 printf( 情報処理基礎 ); printf(c 言語の練習 ); printf 情報処理基礎 C 言語についてプログラミング言語は 1950 年以前の機械語 アセンブリ言語 ( アセンブラ ) の開発を始めとして 現在までに非常に多くの言語が開発 発表された 情報処理基礎で習う C 言語は 1972 年にアメリカの AT&T ベル研究所でオペレーションシステムである UNIX を作成するために開発された C 言語は現在使われている多数のプログラミング言語に大きな影響を与えている

More information

Microsoft PowerPoint - os ppt [互換モード]

Microsoft PowerPoint - os ppt [互換モード] 4. メモリ管理 (1) 概要メモリ管理の必要性静的メモリ管理と動的メモリ管理スワッピング, 仮想記憶ページングとセグメンテーション 2008/5/ 20 メモリ管理 (1) 1 メモリはコンピュータの 5 大構成要素 装置 ( キーボード, マウス ) CPU ( 中央演算装置 ) 出 装置 ( モニタ, プリンタ ) 主記憶装置 ( メインメモリ ) 外部記憶装置 (HDD) 2008/5/ 20

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンピュータアーキテクチャ 第 7 週命令セットアーキテクチャ ( 命令の表現 命令の実行の仕組 ) 2013 年 11 月 6 日 金岡晃 授業計画 第 1 週 (9/25) 第 2 週 (10/2) 第 3 週 (10/9) 第 4 週 (10/16) 第 5 週 (10/23) 第 6 週 (10/30) 第 7 週 (11/6) 授業概要 2 進数表現 論理回路の復習 2 進演算 ( 数の表現

More information

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ arduino プログラミング課題集 ( Ver.5.0 2017/06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイコンから伝える 外部装置の状態をマイコンで確認する 信号の授受は 入出力ポート 経由で行う (2) 入出力ポートとは?

More information

< B8CDD8AB B83685D>

< B8CDD8AB B83685D> () 坂井 修一 東京大学大学院情報理工学系研究科電子情報学専攻東京大学工学部電子情報工学科 / 電気電子工学科 はじめに アウトオブオーダ処理 工学部講義 はじめに 本講義の目的 の基本を学ぶ 場所 火曜日 8:40-0:0 工学部 号館 4 ホームページ ( ダウンロード可能 ) url: http://www.mtl.t.u-tokyo.ac.jp/~sakai/hard/ 教科書 坂井修一

More information

Microsoft PowerPoint - sp ppt [互換モード]

Microsoft PowerPoint - sp ppt [互換モード] システムプログラム概論 メモリ管理 (1) 第 x 講 : 平成 20 年 10 月 15 日 ( 水 ) 2 限 S1 教室 今日の講義概要 メモリ管理の必要性 静的メモリ管理と動的メモリ管理 スワッピング, 仮想記憶 ページングとセグメンテーション 中村嘉隆 ( なかむらよしたか ) 奈良先端科学技術大学院大学助教 y-nakamr@is.naist.jp http://narayama.naist.jp/~y-nakamr/

More information

スライド 1

スライド 1 計算機構成論 II 第 1 回 ( 全 15 回 ) 2017 年 10 月 5 日 ( 木 ) 知能情報工学科 横田孝義 1 授業計画 10/6 10/12 10/19 10/28 11/2 11/9 11/16 11/21 12/7 12/14 12/21 1/11 1/18 1/25 2/1 2/8 定期テスト 2 テキスト 朝倉書院尾内理紀夫著 ISBN978-4-254-12701-0 C3341

More information

第 1 回 C 言語講座 1. コンピュータって? だいたいは 演算装置 制御装置 記憶装置 入出力装置から構成されている 演算装置 CPU の一部で実際に計算を行う装置 制御装置 CPU の一部で演算装置や入出力装置 記憶装置の読み書きなどを制御する装置 記憶装置プログラムや情報 データを一時的

第 1 回 C 言語講座 1. コンピュータって? だいたいは 演算装置 制御装置 記憶装置 入出力装置から構成されている 演算装置 CPU の一部で実際に計算を行う装置 制御装置 CPU の一部で演算装置や入出力装置 記憶装置の読み書きなどを制御する装置 記憶装置プログラムや情報 データを一時的 第 1 回 C 言語講座 1. コンピュータって? だいたいは 演算装置 制御装置 記憶装置 入出力装置から構成されている 演算装置 CPU の一部で実際に計算を行う装置 制御装置 CPU の一部で演算装置や入出力装置 記憶装置の読み書きなどを制御する装置 記憶装置プログラムや情報 データを一時的 あるいは半永久的に保存する装置 CPU が直接読み書きできる主記憶装置 ( メモリ ) と データの保管などに使われる補助記憶装置

More information

COMET II のプログラミング ここでは機械語レベルプログラミングを学びます 1

COMET II のプログラミング ここでは機械語レベルプログラミングを学びます 1 COMET II のプログラミング ここでは機械語レベルプログラミングを学びます 1 ここでは機械命令レベルプログラミングを学びます 機械命令の形式は学びましたね機械命令を並べたプログラムを作ります 2 その前に プログラミング言語について 4 プログラミング言語について 高級言語 (Java とか C とか ) と機械命令レベルの言語 ( アセンブリ言語 ) があります 5 プログラミング言語について

More information

情報量・音声画像動画のA/D変換

情報量・音声画像動画のA/D変換 L06(2014-10-29 Wed), A/D..... http://hig3.net ( ) L06 A/D (2014) 1 / 24 : L05-S1 Quiz :int 16 2 15 x 2 15 1, 16 0 x 2 16 1. L05-S5 Quiz : 2 17 < 200000 2 18, 18. 2 10 = 1024, 2 16 = 65536. log 10 2, log

More information

スライド 1

スライド 1 知能制御システム学 画像処理の高速化 OpenCV による基礎的な例 東北大学大学院情報科学研究科鏡慎吾 swk(at)ic.is.tohoku.ac.jp 2007.07.03 リアルタイム処理と高速化 リアルタイム = 高速 ではない 目標となる時間制約が定められているのがリアルタイム処理である.34 ms かかった処理が 33 ms に縮んだだけでも, それによって与えられた時間制約が満たされるのであれば,

More information

ガイダンス 2

ガイダンス 2 データ構造とアルゴリズム (a) 科目区分 : 専門科目電子物性工学コース ( 自由選択 ) 電気通信システム工学コース ( 自由選択 ) 時間割番号 :G2209 ソフトウェア工学 旧課程 科目区分 : 専門科目電子物性 エネルギー工学コース ( 選択 ) システム制御 通信工学コース ( 限選 ) 時間割番号 :33310 ( 第 1 週 ) ガイダンス ソフトウェアの基本概念とプログラミング言語

More information

Microsoft PowerPoint - 1st

Microsoft PowerPoint - 1st コンピュータ概論第 1 回 授業導入 授業導入 コンピュータとは? Computer = 計算機 compute: 動詞 計算する computer: 計算するモノ 算盤 ( そろばん ) 計算尺 電卓 コンピュータ コンピュータ 単なる計算の道具ではない 計算を行う 算盤, 計算尺, 電卓, コンピュータ 計算を高速に行う 電卓, コンピュータ 大量のデータを記憶, 処理する コンピュータ さまざまなデータを処理する

More information

OS

OS Operatig Systems カーネルとデバイスドライバ 2019-03 1 OS の構成要素 シェル ワープロ ブラウザ さまざまなソフトウェア ] ^ _ Z ` a b c d e ` f Y Z [ \ プロセス管理通信制御ファイルシステム メモリ管理割込み制御タイマ管理 デバイスドライバ 管理プログラム 基本ライブラリ デバイスドライバ CPU メモリ ストレージ さまざまなハードウェア

More information

講義計画 1. コンピュータの歴史 1 2. コンピュータの歴史 2 3. コンピュータの歴史 3 4. 論理回路と記憶, 計算 : レジスタとALU 5. 主記憶装置とALU, レジスタの制御 6. 命令セットアーキテクチャ 7. 演習問題 8. パイプライン処理 9. メモリ階層 : キャッシュ

講義計画 1. コンピュータの歴史 1 2. コンピュータの歴史 2 3. コンピュータの歴史 3 4. 論理回路と記憶, 計算 : レジスタとALU 5. 主記憶装置とALU, レジスタの制御 6. 命令セットアーキテクチャ 7. 演習問題 8. パイプライン処理 9. メモリ階層 : キャッシュ 計算機システム Ⅱ キャッシュと仮想記憶 和田俊和 講義計画 1. コンピュータの歴史 1 2. コンピュータの歴史 2 3. コンピュータの歴史 3 4. 論理回路と記憶, 計算 : レジスタとALU 5. 主記憶装置とALU, レジスタの制御 6. 命令セットアーキテクチャ 7. 演習問題 8. パイプライン処理 9. メモリ階層 : キャッシュと仮想記憶 ( 本日 ) 10. 命令レベル並列処理

More information

Microsoft PowerPoint - No15›¼‚z‰L›¯.ppt

Microsoft PowerPoint - No15›¼‚z‰L›¯.ppt メモリ アーキテクチャ 3 仮想記憶 計算機アーキテクチャ ( 第 15 回目 ) 今井慈郎 (imai@eng.kagawa-u.ac.jp) 仮想記憶とは コンピュータ上に実装されている主記憶よりも大きな記憶領域を仮想的に提供する仕組み メモリ空間の一部をハードディスク装置等の大容量外部記憶にマッピングし実装したメモリ量以上のメモリ空間を利用できる環境をユーザに提供 実装したメモリ : 実記憶

More information

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 算術論理演算ユニットの設計 ( 教科書 4.5 節 ) yi = fi (x, x2, x3,..., xm) (for i n) 基本的な組合せ論理回路 : インバータ,AND ゲート,OR ゲート, y n 組合せ論理回路 ( 復習 ) 組合せ論理回路 : 出力値が入力値のみの関数となっている論理回路. 論理関数 f: {, } m {, } n を実現.( フィードバック ループや記憶回路を含まない

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2019 年度クラス C D 情報科学基礎 I 6. MIPS の命令と動作 演算 ロード ストア ( 教科書 6.3 節,6.4 節命令一覧は p.113) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ レジスタ間の演算命令 (C 言語 ) c = a + b; ( 疑似的な MIPS アセンブリ言語

More information

020105.メモリの高機能化

020105.メモリの高機能化 速化記憶階層の活用 5. メモリの高機能化 メモリインタリーブ メモリインタリーブとは 0 2 3 5 バンク番号 0 2 3 5 8 9 0 2 3 5 8 9 20 並列アクセス 主記憶装置をいくつかのバンクに分割し 各バンク毎にアクセスパスを設定する あるバンクの情報に対するアクセスがある時は それに続く全てのバンクの情報を同時にそれぞれのアクセスパスを経由して読み出す バンク数をウェイといい

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介安全機能 ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ 安全機能の概要 フラッシュ メモリ CRC 演算機能 RAM パリティ エラー検出機能 データの保護機能 RAM ガード機能 SFR ガード機能 不正メモリ アクセス機能 周辺機能を使用した安全機能 周波数検出機能 A/D

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2018 年度クラス C3 D1 D2 D3 情報科学基礎 I 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x n ), i

More information

コンピュータ中級B ~Javaプログラミング~ 第3回 コンピュータと情報をやりとりするには?

コンピュータ中級B ~Javaプログラミング~  第3回 コンピュータと情報をやりとりするには? Copyright (C) Junko Shirogane, Tokyo Woman's Christian University 2012, All rights reserved. 1 コンピュータ サイエンス 2 第 7 回ソフトウェア 人間科学科コミュニケーション専攻 白銀純子 Copyright (C) Junko Shirogane, Tokyo Woman's Christian University

More information

Microsoft PowerPoint - 09_2008_0619.pptx

Microsoft PowerPoint - 09_2008_0619.pptx 2008/6/19 基本情報技術概論 第9回 コンピュータの構成 基本情報技術概論 (第9回) (第1回の復習) ハードウェア (前回の続き) 基本ソフトウェア (OS) 制御装置 入力装置 埼玉大学 理工学研究科 堀山 貴史 演算装置 主記憶装置 出力装置 補助記憶装置 2 1 前回の復習 プログラムの実行 高速化技法 アドレス指定 ジャンプ命令 分岐命令 絶対アドレス P op (P) 相対アドレス

More information

計算機アーキテクチャ

計算機アーキテクチャ 計算機アーキテクチャ 第 3 回コンピュータのハードウェア 2014 年 4 月 21 日 電気情報工学科 田島孝治 1 授業スケジュール ( 前期 ) 2 回 日付タイトル 回日付タイトル 1 4/7 コンピュータ技術の歴史と コンピュータアーキテクチャ 2 4/14 ノイマン型コンピュータ 3 4/21 コンピュータのハードウェア 4 4/28 数と文字の表現 5 5/12 固定小数点数と浮動小数点表現

More information

Microsoft PowerPoint - No7note.ppt

Microsoft PowerPoint - No7note.ppt 仮想記憶 (2) 実際に存在する主記憶 ( 物理メモリ ) の容量に制限されない 仮想的な記憶空間 をユーザに提供する 仮想記憶の基本アイディア 主記憶に入りきらない大きなプログラムでも, ある時点で実行されているのはプログラムの一部のみ, 必要となるデータも一時には一部のデータのみ ( 参照の局所性 ) プログラム全体はディスク装置に入れておき, 実行時に必要な部分を主記憶にもってくればよい 主記憶容量

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2019 年度クラス C D 情報科学基礎 I 14. さらに勉強するために 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 0 と 1 の世界 これまで何を学んだか 2 進数, 算術演算, 論理演算 計算機はどのように動くのか プロセッサとメモリ 演算命令, ロード ストア命令, 分岐命令 計算機はどのように構成されているのか

More information

計算機アーキテクチャ

計算機アーキテクチャ 計算機アーキテクチャ 第 18 回ハザードとその解決法 2014 年 10 月 17 日 電気情報工学科 田島孝治 1 授業スケジュール ( 後期 ) 2 回 日付 タイトル 17 10/7 パイプライン処理 18 10/17 ハザードの解決法 19 10/21 並列処理 20 11/11 マルチプロセッサ 21 11/18 入出力装置の分類と特徴 22 11/25 割り込み 23 12/2 ネットワークアーキテクチャ

More information

ic3_cf_p1-70_1018.indd

ic3_cf_p1-70_1018.indd 章オペレーティングシステム()の基いソフトウェアで 基本ソフトウェア とも呼ばれます 第礎第 章 オペレーティングシステム () の基礎 - の役割と動作 ここでは コンピューターの基本的な構成やオペレーティングシステムの基本的な役割と操作を学習します -- コンピューターの基本構成 現代社会では さまざまな種類のコンピューター機器が各分野で利用されています 身近なものでは パソコン タブレット スマートフォンなどがありますが

More information

PowerPoint Presentation

PowerPoint Presentation 工学部 6 7 8 9 10 組 ( 奇数学籍番号 ) 担当 : 長谷川英之 情報処理演習 第 7 回 2010 年 11 月 18 日 1 今回のテーマ 1: ポインタ 変数に値を代入 = 記憶プログラムの記憶領域として使用されるものがメモリ ( パソコンの仕様書における 512 MB RAM などの記述はこのメモリの量 ) RAM は多数のコンデンサの集合体 : 電荷がたまっている (1)/ いない

More information

Microsoft PowerPoint - 6.memory.ppt

Microsoft PowerPoint - 6.memory.ppt 6 章半導体メモリ 広島大学岩田穆 1 メモリの分類 リードライトメモリ : RWM リードとライトができる ( 同程度に高速 ) リードオンリメモリ : ROM 読み出し専用メモリ, ライトできない or ライトは非常に遅い ランダムアクセスメモリ : RAM 全番地を同時間でリードライトできる SRAM (Static Random Access Memory) 高速 DRAM (Dynamic

More information

ex04_2012.ppt

ex04_2012.ppt 2012 年度計算機システム演習第 4 回 2012.05.07 第 2 回課題の補足 } TSUBAMEへのログイン } TSUBAMEは学内からのログインはパスワードで可能 } } } } しかし 演習室ではパスワードでログインできない設定 } 公開鍵認証でログイン 公開鍵, 秘密鍵の生成 } ターミナルを開く } $ ssh-keygen } Enter file in which to save

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2016 年度 5 セメスター クラス C3 D1 D2 D3 計算機工学 14. さらに勉強するために 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 0 と 1 の世界 これまで何を学んだか 2 進数, 算術演算, 論理演算 計算機はどのように動くのか プロセッサとメモリ 演算命令, ロード

More information

命令セットの構成例 a) 算術 演算命令 例 )ADD dest, source : dest dest + source SUB dest, source : dest dest - source AND dest, source : dest dest AND source SHR reg, c

命令セットの構成例 a) 算術 演算命令 例 )ADD dest, source : dest dest + source SUB dest, source : dest dest - source AND dest, source : dest dest AND source SHR reg, c 第 11 回機械語とアーキテクチャ コンピュータは, 記号で組み立てられ, 記号で動く機械 : ソフトウェアソフトウェア としても理解されなければならない ソフトウェアの最も下位レベルのしくみが ( 命令セット ) アーキテクチャ である 講義では命令符号 ( 機械語 ) の構成と種類についてまとめる また, 機械語を効率良く実行するために採用されている技術について紹介する 機械語とアセンブリ言語

More information

目次 1 I2Cとは 13 結線写真 2 センサの多くがI2Cに対応 14 WHO_AM_I 3 マイコンでのI2C通信例 15 I2C読込みプログラム 4 とは 16 I2C読込みスクリプト概要① 5 タイミングパラメータ 17 I2C読込みスクリプト概要② 6 書込み 18 センサ読込みプログラ

目次 1 I2Cとは 13 結線写真 2 センサの多くがI2Cに対応 14 WHO_AM_I 3 マイコンでのI2C通信例 15 I2C読込みプログラム 4 とは 16 I2C読込みスクリプト概要① 5 タイミングパラメータ 17 I2C読込みスクリプト概要② 6 書込み 18 センサ読込みプログラ 第5回 Arduino入門 I2C通信編 プレゼン by いっちー 目次 1 I2Cとは 13 結線写真 2 センサの多くがI2Cに対応 14 WHO_AM_I 3 マイコンでのI2C通信例 15 I2C読込みプログラム 4 とは 16 I2C読込みスクリプト概要① 5 タイミングパラメータ 17 I2C読込みスクリプト概要② 6 書込み 18 センサ読込みプログラム 7 読込み 19 センサ読込み概要①

More information

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE 差し替え版 第 7 回マイクロプロセッサの VHDL 記述 マイクロプロセッサ全体および主要な内部ユニットの,VHDL 記述の例を示す. 1)MPU(Micro Processor Uit) Module 1MPU のエンティティ記述とコントローラの例以下は, 簡単な MPU の VHDL 記述の例である ただし, アーキテクチャ部分は, 命令読み込みと実行の状態遷移のみを実現したステートマシンである

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2016 年度 5 セメスター クラス C3 D1 D2 D3 計算機工学 13. メモリシステム ( 教科書 8 章 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ レジスタ選択( 復習 ) MIPS の構造 PC 命令デコーダ 次 PC 計算 mux 32x32 ビットレジスタファイル

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 総務省 ICTスキル総合習得教材 概要版 eラーニング用 [ コース2] データ蓄積 2-5: 多様化が進展するクラウドサービス [ コース1] データ収集 [ コース2] データ蓄積 [ コース3] データ分析 [ コース4] データ利活用 1 2 3 4 5 座学本講座の学習内容 (2-5: 多様化が進展するクラウドサービス ) 講座概要 近年 注目されているクラウドの関連技術を紹介します PCやサーバを構成するパーツを紹介後

More information

UNIX 初級講習会 (第一日目)

UNIX 初級講習会 (第一日目) 情報処理概論 工学部物質科学工学科応用化学コース機能物質化学クラス 第 3 回 2005 年 4 月 28 日 計算機に関する基礎知識 Fortranプログラムの基本構造 文字や数値を画面に表示する コンパイル時のエラーへの対処 ハードウェアとソフトウェア ハードウェア 計算, 記憶等を行う機械 ソフトウェア ハードウェアに対する命令 データ ソフトウェア ( 命令 ) がないとハードウェアは動かない

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2016 年度 5 セメスター クラス C3 D1 D2 D3 計算機工学 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x

More information

Microsoft PowerPoint - システム管理概論5.ppt

Microsoft PowerPoint - システム管理概論5.ppt システム管理概論ハードウエア Keywords CPU 記憶装置の種類 出力装置 ( 色数, 解像度, プリンタの性能 ) コンピュータの五大装置 コンピュータと人間の機能 コンピュータ 機能 入力装置 情報を読み聞きし脳に送る 主記憶装置 情報の一時的記憶 演算装置 計算 & 比較判断 制御装置 機能全体を制御 出力装置 結果の書き出し 補助記憶装置 大量の情報を記憶 人間五感頭脳五体ノート 1

More information

Microsoft PowerPoint ppt

Microsoft PowerPoint ppt 仮想マシン (2), コード生成 http://cis.k.hosei.ac.jp/~asasaki /lect/compiler/2007-1204.pdf ( 訂正版 ) 1 概要 仮想マシン 概要 ( 復習 ) 制御命令 出力命令 コード生成 式のコード生成 文 文の列のコード生成 記号表 2 演習で作るコンパイラの例 test.hcc Int main() { int i j; i = 3;

More information

プログラミング実習I

プログラミング実習I プログラミング実習 I 03 変数と式 人間システム工学科井村誠孝 m.imura@kwansei.ac.jp 3.1 変数と型 変数とは p.60 C 言語のプログラム中で, 入力あるいは計算された数や文字を保持するには, 変数を使用する. 名前がついていて値を入れられる箱, というイメージ. 変数定義 : 変数は変数定義 ( 宣言 ) してからでないと使うことはできない. 代入 : 変数には値を代入できる.

More information

Microsoft PowerPoint - kougi7.ppt

Microsoft PowerPoint - kougi7.ppt 到達目標 スーパバイザモード, 特権命令, 割り込み CPU の割り込みメカニズム 割り込みの種類ごとに, 所定の例外処理が呼び出される スーパーバイザモードに, 自動的に切り替わる 割り込み終了後に 元のモード に戻る ハードウエア割り込みについて 割り込み禁止 割り込み発生時の CPU の挙動 現在の処理を中断 例外処理用のプログラム ( ハンドラともいう ) が起動される プログラム実行の流れ

More information

MW100 Modbusプロトコルによるデータ通信の設定について

MW100 Modbusプロトコルによるデータ通信の設定について Modbus プロトコルによるデータ通信の設定について 概要 設定の手順 DAQMASTER の Modbus 通信について 設定の手順を説明します このマニュアルでは イーサネットを使った Modbus 通信 (Modbus/TCP) で 2 台の を接続し データの送受信をするまでの手順を取り上げます なお Modbus クライアント機能を使うには 演算機能 (/M1 オプション ) が必要です

More information

10-vm1.ppt

10-vm1.ppt オペレーティングシステム ~ 仮想記憶 (1) ~ 山田浩史 hiroshiy @ cc.tuat.ac.jp 2015/06/19 OS の目的 裸のコンピュータを抽象化 (abstraction) し より使いやすく安全なコンピュータとして見せること OS はハードウェアを制御し アプリケーションの効率的な動作や容易な開発を支援する OS がないと メモリをアプリケーション自身が管理しなければならない

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンピュータアーキテクチャ 第 6 週演算アーキテクチャ ( 続き ) ノイマン型コンピュータ 命令とは 命令の使い方 2013 年 10 月 30 日 金岡晃 授業計画 第 1 週 (9/25) 第 2 週 (10/2) 第 3 週 (10/9) 第 4 週 (10/16) 第 5 週 (10/23) 第 6 週 (10/30) 第 7 週 (11/6) 授業概要 2 進数表現 論理回路の復習 2

More information

計算機システム概論

計算機システム概論 計算機システム概論 3 回目 本日のトピック : 割込みと入出力制御について割込み制御について問題点の明確化割込みとは割込みに対する処理について 入出力制御について入出力装置の接続入出力の操作入出力を効率的に行うための仕組み 1 入出力制御の歴史 OS 誕生のキッカケとなった動機 : プロセッサと入出力装置を同時並行的に動かしたい 実現したいこと入出力処理を行うジョブ ( 実行中のプロセス ) を

More information

Microsoft PowerPoint - OS09.pptx

Microsoft PowerPoint - OS09.pptx この資料は 情報工学レクチャーシリーズ松尾啓志著 ( 森北出版株式会社 ) を用いて授業を行うために 名古屋工業大学松尾啓志 津邑公暁が作成しました 主記憶管理 ページング パワーポイント 7 で最終版として保存しているため 変更はできませんが 授業でお使いなる場合は松尾 (matsuo@nitech.ac.jp) まで連絡いただければ 編集可能なバージョンをお渡しする事も可能です 復習 復習 主記憶管理

More information

BRXL-14シリーズの仕様

BRXL-14シリーズの仕様 BRXL-14 シリーズの仕様 最新の情報や対応機種については カタログまたはインターネットホームページ (buffalo.jp) をご参照ください 対応メディア (BRXL-14FBS : SATA 接続時 / BRXL-14U3 : USB 3.0 接続時 ) メディアの種類書き込み (*2) 読み出し (*2) BD-R(1 層 )(*1)(*3) 最大 14 倍速 (*4) 最大 12 倍速

More information

VelilogHDL 回路を「言語」で記述する

VelilogHDL 回路を「言語」で記述する 2. ソースを書く 数値表現 数値表現形式 : ss'fnn...n ss は, 定数のビット幅を 10 進数で表します f は, 基数を表します b が 2 進,o が 8 進,d が 10 進,h が 16 進 nn...n は, 定数値を表します 各基数で許される値を書くこ Verilog ビット幅 基数 2 進表現 1'b0 1 2 進 0 4'b0100 4 2 進 0100 4'd4 4

More information

Microsoft Word - 3new.doc

Microsoft Word - 3new.doc プログラミング演習 II 講義資料 3 ポインタ I - ポインタの基礎 1 ポインタとは ポインタとはポインタは, アドレス ( データが格納されている場所 ) を扱うデータ型です つまり, アドレスを通してデータを間接的に処理します ポインタを使用する場合の, 処理の手順は以下のようになります 1 ポインタ変数を宣言する 2 ポインタ変数へアドレスを割り当てる 3 ポインタ変数を用いて処理 (

More information

計算機ハードウエア

計算機ハードウエア 計算機ハードウエア 209 年度前期 第 5 回 前回の話 (SH745) (32 bit) コンピュータバスの構成 インタフェース (6 bit) I/O (Input/ Output) I/O (22 bit) (22 bit) 割り込み信号リセット信号 コンピュータバスは コンピュータ本体 () と そのコンピュータ本体とデータのやり取りをする複数の相手との間を結ぶ 共用の信号伝送路である クロック用クリスタル

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? レジスタ アクセスの拡張機能 1. レジスタ アクセスの概要 Smart-USB Plus 製品で利用できるレジスタ アクセスとは FPGA 内にハードウエア レジスタを実装し ホスト PC の制御ソフトウエアから USB 経由でそれらのレジスタに値を設定したり レジスタの設定値を読み出すことができる機能です このレジスタ アクセス制御には USB バス仕様に基づく コントロール転送 を利用しています

More information

2006年10月5日(木)実施

2006年10月5日(木)実施 2010 年 7 月 2 日 ( 金 ) 実施 ファイル処理ファイルとはファイル (file) は日常用語では紙などを綴じたものを表すが, コンピュータ用語ではデータの集合体を指す言葉である ファイルは例えば, 文書ファイルやプログラムファイルのように, 用途によって分類されることもあれば, また, テキストファイルやバイナリファイルのように, ファイルの作り方によって分類されることもある なお,

More information

主記憶の使われ方 システム領域 SP スタックポインタ システム用 スタック用 プログラム起動時に OS によって確 保される (SP が決められる ) プログラム用 メインルーチン プログラム領域 命令コードの列定数 変数用領域サブルーチン命令コードの列 先頭番地は リンク時に OS によって決め

主記憶の使われ方 システム領域 SP スタックポインタ システム用 スタック用 プログラム起動時に OS によって確 保される (SP が決められる ) プログラム用 メインルーチン プログラム領域 命令コードの列定数 変数用領域サブルーチン命令コードの列 先頭番地は リンク時に OS によって決め Copyright 守屋悦朗 2005 コンピュータの仕組み (2) ソフトウェア 3.3 アセンブラプログラミング (CASLⅡ) 情報処理技術者試験基本情報技術者試験 (http://www.jitec.jp/index.html) では 仮想コンピュータ (16ビットのワードマシン 主記憶容量 64KW)COMETⅡを定義し COMETⅡ のためのアセンブリ言語 CASLⅡを定めている COMETⅡとCASLⅡの仕様は情報処理技術者試験センターのウェブサイト

More information

A9RF112.tmp.pdf

A9RF112.tmp.pdf 9 1-1 9 9 10 11 13 17 1-2 18 18 19 20 21 21 22 23 24 26 2-1 26 26 26 30 33 35 2-2 36 36 38 40 44 44 45 3-1 45 45 47 49 51 53 58 3-2 59 59 60 62 64 68 69 70 4-1 70 70 72 4-2 73 73 74 74 75 76 77 77 79 80

More information

ex05_2012.pptx

ex05_2012.pptx 2012 年度計算機システム演習第 5 回 2012.05.25 高水準言語 (C 言語 ) アセンブリ言語 (MIPS) 機械語 (MIPS) コンパイラ アセンブラ 今日の内容 サブルーチンの実装 Outline } ジャンプ 分岐命令 } j, jr, jal } レジスタ衝突 回避 } caller-save } callee-save 分岐命令 ( 復習 ) } j label } Jump

More information

LDR-PME8U2LW V01

LDR-PME8U2LW V01 メディア 対応速度 メディア 対応速度 DVD-RAM 5 倍速書き換え DVD- RW 6 倍速書き換え 2 層 DVD+ R 6 倍速書き込み CD- R 24 倍速書き込み 1 層 DVD+ R 8 倍速書き込み CD- RW 24 倍速書き換え DVD+ RW 8 倍速書き換え DVD- ROM 最大 8 倍速読み込み 2 層 DVD- R 6 倍速書き込み CD- ROM 最大 24 倍速読み込み

More information

cmpsys13w03_cpu_hp.ppt

cmpsys13w03_cpu_hp.ppt 情報システム論 第 3 章! CPU! 根来 均 Outline! u CPU の主な働き! u CPU での命令実行処理! u CPU の高速化技術! u CPU の性能評価方法 手段! u 並列計算機! u 現在の CPU の動向 CPU の主な働き u 制御装置 (Control Unit, CU)! プログラム制御 ( 命令の解読 実行 分岐命令 データ転送命令 )! 入出力制御 ( 入出力動作と内部処理

More information

< コンピュータの基本的仕組み > コンピュータは, クロック信号と呼ばれる周期的な論理信号に同期し, 主記憶装置に記憶され ている命令を, 以下の手順で読み込み, 実行することにより動作している. 命令読み込み Instruction Fetch 命令解読 Decode 命令実行 Executio

< コンピュータの基本的仕組み > コンピュータは, クロック信号と呼ばれる周期的な論理信号に同期し, 主記憶装置に記憶され ている命令を, 以下の手順で読み込み, 実行することにより動作している. 命令読み込み Instruction Fetch 命令解読 Decode 命令実行 Executio 1 回目 : マイクロプロセッサとパーソナルコンピュータの誕生と発展 < コンピュータの仕組み : ノイマン型コンピュータ > 現在使用されているコンピュータは, ほぼ例外なく, ノイマン型コンピュータである. 主記憶装置 Main memory 中央演算処理装置 Central Processing Unit (CPU) 入出力装置 Input/Output ノイマン型コンピュータは, バスとその他の制御線

More information

Microsoft PowerPoint - 11.pptx

Microsoft PowerPoint - 11.pptx ポインタと配列 ポインタと配列 配列を関数に渡す 法 課題 : 配列によるスタックの実現 ポインタと配列 (1/2) a が配列であるとき, 変数の場合と同様に, &a[0] [] の値は配列要素 a[0] のアドレス. C 言語では, 配列は主記憶上の連続領域に割り当てられるようになっていて, 配列名 a はその配列に割り当てられた領域の先頭番地となる. したがって,&a[0] と a は同じ値.

More information

スライド 1

スライド 1 Dispatch 0 年後学期 計算機アーキテクチャ第二 (O) アウトオブオーダ実行プロセッサとバックエンド フロントエンド 命令ウィンドウ : 命令を格納するバッファ ALU Dispatch 命令フェッチ, デコード, リネーミング バックエンド ディスパッチ (dispatch) : 命令ウィンドウに命令を格納する動作 発行 (issue, fire) : 命令ウィンドウから, データ依存が解消された命令を機能ユニットに送り出す動作

More information

外出先でもインターネット環境があればデジタルノード局の運用ができる WIRES-X ポータブルデジタルノード機能 ポータブルデジタルノード機能によって 旅行先の宿泊施設 空港 車両 Wi-Fi アクセスポイントなどからワイヤーズエックスのノード局やルームへの接続が可能となり 従来の HRI-200

外出先でもインターネット環境があればデジタルノード局の運用ができる WIRES-X ポータブルデジタルノード機能 ポータブルデジタルノード機能によって 旅行先の宿泊施設 空港 車両 Wi-Fi アクセスポイントなどからワイヤーズエックスのノード局やルームへの接続が可能となり 従来の HRI-200 外出先でもインターネット環境があればデジタルノード局の運用ができる WIRES-X ポータブルデジタルノード機能 ポータブルデジタルノード機能によって 旅行先の宿泊施設 空港 車両 Wi-Fi アクセスポイントなどからワイヤーズエックスのノード局やルームへの接続が可能となり 従来の HRI-200 を接続したフルスペックの楽しみ方に加え より簡易的で機動性の高いノード局の運用を行うことができます ポータブルデジタルノード機能には

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 計算機基礎第 7 回 ノイマン型計算機 (2) 1 スタックの練習問題 逆ポーランド表記 ( 後置記法 : postfix notation) に変換してみよ 1+2*3+4 1 2 3 * + 4 + (1+2)*3+4 1 2 + 3 * 4 + 1+2*(3+4) 下の 3 番目と同じ 中置記法 (infix notation) に変換してみよ 1 2 + 3 * 4 + (1 + 2) *

More information

Microsoft PowerPoint - Sol7 [Compatibility Mode]

Microsoft PowerPoint - Sol7 [Compatibility Mode] ミニクイズ 4 E ハザード ( つ前の命令の結果を必要とする状況 ) が発生する条件を つ挙げよ. また それぞれの時に 制御線 ForwardA, ForwardB はどのように設定すれば良いか? ( 回答 ) E/.RegWrite= かつ E/.RegisterRd = ID/.RegisterRs この時,ForwardA = と制御すれば良い. E/.RegWrite= かつ E/.RegisterRd

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2017 年度 5 セメスター クラス C3 D1 D2 D3 計算機工学 13. メモリシステム ( 教科書 8 章 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ ジスタ( 復習 ) MIPS の構造 PC 次 PC 計算 メモリ 命令デコーダ 制御回路 選択演算選択レmux 32x32

More information

情報処理演習 B8クラス

情報処理演習 B8クラス 予定スケジュール ( 全 15 回 ) 1 1. 終了 プログラミング言語の基礎 2. 終了 演算と型 3. 終了 プログラムの流れの分岐 (if 文,switch 文など ) 4. 終了 プログラムの流れの繰返し (do, while, for 文など ) 5. 終了 中間レポート1 6. 終了 配列 7. 終了 関数 8. 終了 文字列 ( 文字列の配列, 文字列の操作 ) 9. 終了 ポインタ

More information

コンピュータ工学講義プリント (7 月 17 日 ) 今回の講義では フローチャートについて学ぶ フローチャートとはフローチャートは コンピュータプログラムの処理の流れを視覚的に表し 処理の全体像を把握しやすくするために書く図である 日本語では流れ図という 図 1 は ユーザーに 0 以上の整数 n

コンピュータ工学講義プリント (7 月 17 日 ) 今回の講義では フローチャートについて学ぶ フローチャートとはフローチャートは コンピュータプログラムの処理の流れを視覚的に表し 処理の全体像を把握しやすくするために書く図である 日本語では流れ図という 図 1 は ユーザーに 0 以上の整数 n コンピュータ工学講義プリント (7 月 17 日 ) 今回の講義では フローチャートについて学ぶ フローチャートとはフローチャートは コンピュータプログラムの処理の流れを視覚的に表し 処理の全体像を把握しやすくするために書く図である 日本語では流れ図という 図 1 は ユーザーに 0 以上の整数 n を入力してもらい その後 1 から n までの全ての整数の合計 sum を計算し 最後にその sum

More information

Microsoft Word - no103.docx

Microsoft Word - no103.docx 次は 数える例です ex19.c /* Zeller の公式によって 1 日の曜日の分布を求めるプログラム */ int year, month, c, y, m, wnumber, count[7] = {0, i; for(year = 2001; year

More information

計算機ハードウエア

計算機ハードウエア 計算機ハードウエア 2017 年度前期 第 4 回 前回の話 コンピュータバスの構成 データバス I/O (Input/ Output) CPU メモリ アドレスバス コントロールバス コンピュータバスは コンピュータ本体 (CPU) と そのコンピュータ本体とデータのやり取りをする複数の相手との間を結ぶ 共用の信号伝送路である CPU は バス を制御して 複数のデバイス ( メモリや I/O)

More information

プログラミング基礎

プログラミング基礎 C プログラミング Ⅰ 授業ガイダンス C 言語の概要プログラム作成 実行方法 授業内容について 授業目的 C 言語によるプログラミングの基礎を学ぶこと 学習内容 C 言語の基礎的な文法 入出力, 変数, 演算, 条件分岐, 繰り返し, 配列,( 関数 ) C 言語による簡単な計算処理プログラムの開発 到達目標 C 言語の基礎的な文法を理解する 簡単な計算処理プログラムを作成できるようにする 授業ガイダンス

More information

cmpsys14w04_mem_hp.ppt

cmpsys14w04_mem_hp.ppt 情報システム論 第 4 週! ハードウェアシステム! ( 主記憶装置 )! 根来 均 内容 n 単位の復習! n 記憶装置の階層構造! n 各階層での各役割! n 半導体メモリの分類とトランジスタの構造! n SRAM と DRAM の構造と種類! n メモリに関する技術他 単位の接頭語 10 18 エクサ exa- E 15 ペタ peta- P 12 テラ tera- T 9 ギガ giga-

More information

Microsoft PowerPoint - Lec ppt [互換モード]

Microsoft PowerPoint - Lec ppt [互換モード] 0 年後学期 アウトオブオーダ実行プロセッサの構成 計算機アーキテクチャ第二 (O) アウトオブオーダ実行プロセッサとバックエンド フロントエンド 命令ウィンドウ : 命令を格納するバッファ 命令ウィンドウ ALU レジスタファイル ALU スケジューラ等 Register Dispatch 命令フェッチ, デコード, リネーミング バックエンド アウトオブオーダ実行プロセッサの構成 ディスパッチ

More information

PowerPoint Presentation

PowerPoint Presentation コンピュータ科学 II 担当 : 武田敦志 http://takeda.cs.tohoku gakuin.ac.jp/ 今日の話 オペレーティングシステム コンピュータを利用するための基本ソフト オペレーティングシステムの役割 プロセスの管理主記憶の管理出入力の管理ファイルの管理 タイムシェアリングシステム仮想記憶排他制御ディレクトリ構造

More information

演算増幅器

演算増幅器 ファイルこれまでにデータの入力方法として キーボードからの入力を用いてきた 構造体を習った際に実感してもらえたと思うが 入力データ量が多いときにはその作業は大変なものとなり 入力するデータを間違えた場合には最初からやり直しになる そこで今回はこれらの問題を解決するため あらかじめ入力データをテキストエディタなどで編集し ファイルとして保存したものを入力データとして用いる方法を習っていく さらにプログラムで作成したデータをファイルに出力する方法も併せて習っていく

More information

本仕様はプロダクトバージョン Ver 以降に準じています

本仕様はプロダクトバージョン Ver 以降に準じています 本仕様はプロダクトバージョン Ver.1.0.0.5 以降に準じています 本仕様はプロダクトバージョン Ver.1.0.0.5 以降に準じています 商品概要 本ソフトは 携帯電話通話録音システムサーバとして使用するサーバにインストールし 楽天コミュニケーションズ ( 1) が提供しているキャリアサービス ( 2) を利用して サービス契約ユーザーの通話の音声に加え 電話番号情報を取得してハードディスクに保存します

More information

問題1 次の情報表現に関する記述は,コンピュータの勉強を始めたばかりのB君と,かなり詳しく知っているM君の会話である

問題1 次の情報表現に関する記述は,コンピュータの勉強を始めたばかりのB君と,かなり詳しく知っているM君の会話である 文部科学省後援 情報システム試験 平成 18 年度情報処理活用能力検定 < 実施平成 18 年 9 月 10 日 ( 日 ) モニターテスト > ( 説明時間 12:50~13:00) ( 試験時間 13:00~14:00) 試験問題は試験開始の合図があるまで開かないでください 解答用紙( マークシート ) への必要事項の記入は, 試験開始の合図と同時に行いますので, それまで伏せておいてください

More information