Cyclone Vデバイス・ハンドブック、 Vol 1、第6章:Cyclone Vデバイスの外部メモリ・インタフェース

Size: px
Start display at page:

Download "Cyclone Vデバイス・ハンドブック、 Vol 1、第6章:Cyclone Vデバイスの外部メモリ・インタフェース"

Transcription

1 June 2012 CV CV こので章は Cyclone V デバイスの利用可能な外部メモリ インタフェースおよび外部メモリ インタフェースをサポートする このシリコン機能について説明します 以下の Cyclone V デバイスの機能は外部メモリ インタフェースで使用されています ダブル データ レート 2 (DDR2) SDRAM DDR3 SDRAM および低消費電力ダブル データ レート 2 (LPDDR2) SDRAM インタフェースのサポート Phase-shift 回路 PHY Clock (PHYCLK) ネットワーク ロジック ブロック Dynamic On-chip Termination (OCT) コントロール I/O エレメント () レジスタ 遅延チェイン ハード メモリ コントローラ この章は 以下の項で構成されています 6 2 ページの メモリ インタフェース ピンのサポート 6 7 ページの デザイン検討事項 6 9 ページの 外部メモリ インタフェース機能 6 31 ページの UniPHY IP f f ボード デザイン ガイドライン タイミング解析 シミュレーション およびデバッグ情報について詳しくは External Memory Interface Handbook を参照してください 外部メモリ システムの性能仕様を推定するために アルテラの外部メモリ インタフェースのスペック エスティメーターのツールを使用することができます 詳細は Altera ウェブサイトの External Memory Interface Spec Estimar のページを参照してください 2012 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos are trademarks of Altera Corporation and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as trademarks or service marks are the property of their respective holders as described at Altera warrants performance of its semiconducr products current specifications in accordance with Altera's standard warranty, but reserves the right make changes any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed in writing by Altera. Altera cusmers are advised obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. ISO 9001:2008 Registered Feedback Subscribe

2 この項では 外部メモリ インタフェースで使用される I/O ピンについて説明します また この項では すべての Cyclone V デバイスの各デバイス側で使用可能な DQ グループの数を提供します f Cyclone V の V デバイスでサポートされているメモリの種類について詳しくは 外部メモリ インタフェースのハンドブックの Selecting Your Memory の章を参照してください Cyclone V デバイスは 差動リード データ ストローブおよびクロック動作用の差動入力バッファを提供します Cyclone V ピン テーブルでは ピンと n ピンは差動データ ストローブ / クロックのピン ペアを表記します 表 6 1 に記載されているグループあたりのデータ ピンの最大数は 次の条件に応じて異なる場合があります シングル エンド 信号 バス ネットワークに接続された DQ ピン ( データ マスクを含む ) の最大数を表します 差動またはコンプリメンタリ 信号 グループあたりのピンが 1 つ減少したデータの最大数です DDR3 および DR2 インタフェース 各 x8 のグループは 1 本の ピンを必要とします また 1 本の n ピンと 1 本の DM ピンが必要な場合があります これはさらに 使用可能なデータ ピンの総数を減らすことができます f DQ ピンの最大数および特定の Cyclone V デバイスのグループごとの正確な数については アルテラ ウェブサイトの Cyclone V Device -Out Files のページでのピン テーブルを参照してください 1 ピンおよび n ピンは Cyclone V ピン テーブルでそれぞれ XY および nxy と表記されます ここで X は DQ/ グループ番号を Y はグループがデバイスのトップ (T) ボトム (B) レフト (L) またはライト (R) のいずれに位置するかを表します 表 6 1 に および n ピン ペアを含む各 /DQ バス モードのピン サポートを示します x8 Yes Yes 11 x16 Yes Yes 23

3 表 6 2 には 各 Cyclone V デバイスの側面ごとに使用可能な DQ/ グループ数を示しています Cyclone V E A2 A4 A5 A7 A9 256 ピン FineLine BGA 484 ピン Ultra FineLine BGA 484 ピン FineLine BGA 324 ピン FineLine BGA 484 ピン Ultra FineLine BGA 484 ピン FineLine BGA 672 ピン FineLine BGA 484 ピン Ultra FineLine BGA 484 ピン FineLine BGA 672 ピン FineLine BGA 896 ピン FineLine BGA 672 ピン FineLine BGA 896 ピン FineLine BGA 1152 ピン FineLine BGA 上部 / 下部 TBD TBD 左 / 右 TBD TBD 上部 / 2 0 右 3 0 下部 3 0 上部 / 5 1 右 4 0 下部 6 1 上部 / 8 2 右 7 0 下部 8 2 上部 / 5 1 右 4 1 下部 6 1 上部 / 7 2 右 2 0 下部 6 1 上部 / 7 2 右 6 0 下部 8 2 上部 / 10 3 右 10 3 下部 10 3 上部 / 7 2 右 6 0 下部 8 2 上部 / 9 3 右 10 3 下部 9 3 上部 / 12 4 右 12 4 下部 12 4

4 Cyclone V GX C3 C4 C5 C7 256 ピン FineLine BGA 324 ピン FineLine BGA 484 ピン Ultra FineLine BGA 484 ピン FineLine BGA 324 ピン FineLine BGA 484 ピン Ultra FineLine BGA 484 ピン FineLine BGA 672 ピン FineLine BGA 484 ピン Ultra FineLine BGA 484 ピン FineLine BGA 672 ピン FineLine BGA 896 ピン FineLine BGA 上部 / 1 0 右 2 0 下部 3 0 上部 / 2 0 右 2 0 下部 3 0 上部 / 5 1 右 4 0 下部 5 1 上部 / 2 0 右 3 0 下部 3 0 上部 / 5 1 右 4 0 下部 6 1 上部 / 8 2 右 7 0 下部 8 2 上部 / 5 1 右 4 1 下部 6 1 上部 / 7 2 右 2 0 下部 6 1 上部 / 7 2 右 6 0 下部 8 2 上部 / 10 3 右 10 3 下部 10 3

5 Cyclone V GX Cyclone V GT C9 D5 D7 672 ピン FineLine BGA 896 ピン FineLine BGA 1152 ピン FineLine BGA 324 ピン FineLine BGA 484 ピン Ultra FineLine BGA 484 ピン FineLine BGA 672 ピン FineLine BGA 484 ピン Ultra FineLine BGA 484 ピン FineLine BGA 672 ピン FineLine BGA 896 ピン FineLine BGA 上部 / 7 2 右 6 0 下部 8 2 上部 / 9 3 右 10 3 下部 9 3 上部 / 12 4 右 12 4 下部 12 4 上部 / 2 0 右 3 0 下部 3 0 上部 / 5 1 右 4 0 下部 6 1 上部 / 8 2 右 7 0 下部 8 2 上部 / 5 1 右 4 1 下部 6 1 上部 / 7 2 右 2 0 下部 6 1 上部 / 7 2 右 6 0 下部 8 2 上部 / 10 3 右 10 3 下部 10 3

6 Cyclone V GT Cyclone V SX Cyclone V ST D9 C2 C4 C5 C6 D5 D6 672 ピン FineLine BGA 896 ピン FineLine BGA 1152 ピン FineLine BGA 672 ピン FineLine BGA 896 ピン FineLine BGA 896 ピン FineLine BGA (1) デバイスが使用可能になるまで これらの数値は暫定仕様です 上部 / 7 2 右 6 0 下部 8 2 上部 / 9 3 右 10 3 下部 9 3 上部 / 12 4 右 12 4 下部 12 4 上部 / TBD TBD 右 TBD TBD 下部 TBD TBD Left TBD TBD 上部 / TBD TBD 右 TBD TBD 下部 TBD TBD Left TBD TBD 上部 / TBD TBD 右 TBD TBD 下部 TBD TBD f メモリ クロック ピンおよびピンの位置の要件に使用するピンは 外部メモリ インタフェース ハンドブックの Planning and FPGA Resources の章を参照してください

7 以下のセクションでは デザインの成功を確実にするために必要とする考慮事項を説明します メモリ インタフェース回路は トランシーバをサポートしていないすべての SI/O バンクで使用できます Cyclone V デバイスのメモリ クロック ピンは ダブル データ レート入力 / 出力 (DDRIO) レジスタを使用して生成されます (Delay-Locked Loop) 位相コンパレータは 正しい入力クロック周期をロックして計算するために 2,560 クロック サイクルが必要です ロジック アレイまたはユーザー I/O ピンから をリセットできます がリセットされるたびに データを正しくキャプチャできるように がロックするまで 2,560 クロック サイクル間待機しなければなりません は 着信する 信号を 0 または 90 シフトすることができます 使用されている 遅延チェインの数に応じて シフトされた 信号は DQ 入力レジスタ用のクロックとして使用されます ただし すべての位相シフトの組み合わせがサポートされているわけではありません 同じ により参照される ピンにある位相シフトは 90 の倍数でなければなりません 入力基準クロック生成専用の PLL を使用する場合 より良い性能を達成するのに PLL モードを Direct Compensation に設定します そうしなかった場合 Quartus II ソフトウェアが自動的に変更します PLL は他の出力を使用しないため クロック パスを補償する必要はありません Cyclone V デバイスは x8 または x16 の DQ バス モードで DQ 信号と 信号をサポートします Cyclone V デバイスは x4 バース モードをサポートしていません さらに クロッキングに使用されていない任意の n ピンを DQ ピンとして使用できます これらの DQ/ ピンの一部がメモリ インタフェースに使用されていないときは ユーザー I/O として使用できます ただし 未使用の SOC(System-On-a-Chip) の DQ/ ピンはユーザー I/O として使用することはできません RZQ ピンと DQ ピンのいくつかを使用できます ただし RZQ ピンとして使用するときは 外部メモリ インタフェースの DQ ピンとして使用することはできません

8 手動でメンバーが RZQ ピンとして使用されている x8 または x16 の DQ/ グループの DQ および ピンを割り当てる必要があります 手動ピン アサインメントを行わずに Quartus II ソフトウェアは および DQ ピンを正しく配置できず nofit になることがあります PHYCLK ネットワーク用ピン配置ガイドラインは次のとおりです 2 つのインタフェースが PLL を共有している場合 その 2 つのインタフェースはピン配置のために I/O サブ バンクを共有することができます ( 例えば サブ バンク 4A) これら 2 つのインタフェースは 同じメモリ プロトコル ( 例えば DDR3) 周波数 コントローラ レート ( 例えば ハーフ レート ) および位相の要件 ( 例えば 90 の追加のコア ツー ペリフェラル クロック位相 ) を使用する必要があります PLL を共有していない 2 つのインタフェースはピン配置のためのサブ バンクを共有することはできません 2 つのインターフェースは PLL を共有するかどうかにかかわらずピン位置のために I/O バンク ( 例えば I/O バンク 4) を共有することができます PHYCLK ネットワークは I/O バンクの同じ側にインタフェースをサポートしています PHYCLK ネットワークは 分割インタフェースをサポートしていません ここで メモリ インタフェースのいくつかのピンが上部の I/O バンク そしていくつかのピンは下部の I/O バンクに配置されます パフォーマンスを向上させるために メモリ インタフェースのセンタ PLL を使用するか または I/O バンクでメモリ インタフェースのすべてのピンを配置し そのメモリ インタフェース用の I/O バンクに隣接 PLL のコーナーを使用することができます 外部メモリ インタフェースをドライブするには 整数 PLL モードで PLL を使用する必要があります 300 MHz 以上で稼動しているソフト メモリ コントローラ付きの DDR2 SDRAM インタフェース および 400MHz 以上で稼動しているハード メモリ コントローラ付きの DDR2 SDRAM インタフェースを使用することを推奨します Cyclone V デバイスは リード レベリングおよびライト レベリング機能を搭載した DDR3 SDRAM に対応していないため 標準の DDR3 SDRAM フライバイ アドレス コマンド およびクロック レイアウト トポロジーを使用した標準の DDR3 SDRAM DIMM または DDR3 SDRAM コンポーネントには対応していません 結合機能を使用してメモリ インタフェースはより高い平均レイテンシがあります また コア ファブリックでの結合すると高い遅延が発生されます

9 Cyclone V デバイスは 堅牢で高性能な外部メモリ インタフェースを可能にする多数の機能を備えています アルテラのメモリ コントローラ MegaCore ファンクションを使用する場合は UniPHY メガファンクションがインスタンス化されます システムに最適な物理インタフェース (PHY) の設定を支援します f UniPHY メガファンクションについて詳しくは 外部メモリ インタフェース ハンドブックの Reference Material を参照してください 図 6 1 に すべての Cyclone V I/O エレメント () 機能を使用するメモリ インタフェース データ パスの概要を示します FPGA Postamble Circuitry Memory Postamble Clock Postamble Enable Enable Control Circuit Delay Chain Enable Circuit (Read) (3) 4n or 2n Read FIFO 2n DDR Input Registers n DQ (Read) (3) Full-Rate Clock 4n Half Data Rate Output Registers 2n DDR Output and Output Enable Registers n DQ (Write) (3) Clock Management and Reset DQ Write Clock Half-Rate Clock Write Clock 4 Half Data Rate Output Registers 2 DDR Output and Output Enable Registers (Write) (3) (1) 各レジスタ ブロックはバイパスできます (2) 各メモリ インタフェースのブロックは多少異なります 付きのブロックは Cyclone V の部分です (3) これらの信号はメモリ規格に応じて 双方向または単方向になります 双方向のとき信号は リードおよびライト動作の両方 でアクティブになります

10 は ピンが FPGA への入力クロックまたはストローブとして機能しているときには リード トランザクション時に ピンへの位相シフトを提供します 図 6 2 図 6 3 図 6 4 および図 6 5 に ピンに接続する方法を示します ここに メモリ インタフェースは Cyclone V デバイスのサイドでサポートされます Reference Clock Logic Blocks Reference Clock Logic Blocks Transceiver Blocks Reference Clock (1) 各 のリファレンス クロックは 隣接 PLL から供給されます

11 Reference Clock Logic Blocks Reference Clock Logic Blocks Logic Blocks Reference Clock Logic Blocks Reference Clock (1) 各 のリファレンス クロックは 隣接 PLL から供給されます

12 Reference Clock Logic Blocks Reference Clock Logic Blocks Transceiver Blocks Reference Clock Logic Blocks Reference Clock (1) 各 のリファレンス クロックは 隣接 PLL から供給されます

13 Reference Clock HPS I/O Logic Blocks HPS PLL HPS Block Transceiver Blocks Logic Blocks Reference Clock Logic Blocks Reference Clock (1) 各 のリファレンス クロックは 隣接 PLL から供給されます は 周波数リファレンスを使用して各 ピンの遅延チェインに対して コントロール信号をダイナミックに生成し それによってプロセス 電圧 および温度 (PVT) 変動に対する補償を可能にしています 遅延設定は Gray コード化され が設定を更新するときのジッタを低減します Cyclone V デバイスは最大 4 つの を備えており デバイスの各コーナーに位置しています これらの 4 つの は それぞれ一定の周波数で動作する最大 4 つの固有周波数をサポートします

14 は デバイス内の位置から隣接する 2 つのサイドにアクセスできます に隣接する 2 つのサイドでは 同じ周波数で 2 つの異なるインタフェースを持つことができます ここで 両方のインタフェースに対して は 遅延設定をコントロールします 2 つの の間の I/O バンクは 複数の周波数および複数タイプのインタフェースの作成にもっと柔軟性を与えます これらのバンクは 2 つ のいずれかまたは両方の設定を使用できます 例えば 1R は位相シフトの設定を _TR から 2R は _BR から取得できます 各 の基準クロックは PLL 出力クロックまたはクロック入力ピンから供給されます 表 6 3 表 6 4 および表 6 5 に デバイスで使用可能な 基準クロック入力リソースを示します _TL pllout _TR pllout _BL pllout _BR pllout _TL pllout _TR pllout _BL _BR pllout _TL pllout _TR _BL pllout _BR pllout 同じ に参照される ピンピンはすべて 異なる度数だけシフトされた入力信号位相を持つことができますが これらはすべて 1 つの特定周波数で参照しなければなりません Cyclone V は 着信する ( リード ) 信号を 0 または 90 シフトすることができます 使用されている 遅延チェイン数に応じて シフトされた 信号は DQ 入力レジスタ用のクロックとして使用されます

15 入力基準クロックは に入り最大 16 の遅延エレメントで構成されるチェインに供給されます 位相コンパレータは 遅延チェイン ブロックの末端から出力される信号と入力基準クロックを比較します 次に 位相コンパレータは Gray コード カウンタへの upndn 信号を発行します この信号は 7 ビットの遅延設定 ( 遅延設定 ) を増分または減分します これによって 遅延エレメント チェインを通して遅延を増加 / 減少させ 入力基準クロックと遅延エレメント チェインから出力される信号の位相を合わせます その 7 ビット 遅延設定は PVT によって変化し 位相シフト遅延が実装されます たとえば 0 シフトの場合 /CQ 信号は と ロジック ブロックの両方をバイパスします 0 シフトが実装されているとき QuartusII ソフトウェアは DQ レジスタの DQ ピンと /CQ ピン間のスキューが無視できるように DQ 入力遅延チェインを自動的に設定します 遅延設定を ロジック ブロックおよびロジック アレイに供給できます SoC デバイスの場合 HPS ロジック ブロックにハード プロセッサ システム (HPS) 遅延設定を供給することができます シフトされた 信号は バスに送られて DQ ピンの レジスタをクロックします この信号は 再同期化を使用していない場合 ロジック アレイに送って再同期化に使用することも可能です 図 6 6 に の簡略化されたブロック図を示します aload Input Reference Clock (1) clk Phase Comparar upndnin upndninclkena Up/Down Counter Delay Chains 7 delayctrlout [6:0] 7 Delay Settings (2) 7 dqsupdate (1) 位相シフト回路の入力基準クロックは PLL 出力クロックまたは入力クロック ピンから供給できます (2) 遅延設定は ロジック アレイおよび ロジック ブロックに供給できます SoC デバイスの場合 HPS ロジック ブロックにハード プロセッサ システム (HPS) 遅延設定を供給することができます PHYCLK ネットワークは 高性能外部メモリ インタフェース用にデザインされた専用の高速 低スキューのバランスのとれたクロック ツリーです Cyclone V デバイスの上下はそれぞれ最大 4 PHYCLK ネットワークがあります I/O バンク上の左側および右側で 2 つの PHYCLK ネットワークがあります 各 PHYCLK ネットワークは 1 つの I/O バンクにまたがり I/O バンクに隣接して位置する PLL の 1 により駆動されています

16 図 6 7 図 6 8 図 6 9 および図 6 10 に Cyclone V デバイスで使用できる PHYCLK ネットワーク数を示します I/O Bank 8 Sub-Bank Sub-Bank I/O Bank 7 Sub-Bank Sub-Bank Left PLL PHYCLK Networks Right PLL Transceiver Banks FPGA Device PHYCLK Networks Sub-Bank Sub-Bank Sub-Bank Sub-Bank I/O Bank 5 I/O Bank 6 PHYCLK Networks Sub-Bank Sub-Bank Sub-Bank Sub-Bank I/O Bank 3 I/O Bank 4 Right PLL

17 I/O Bank 8 Sub-Bank Sub-Bank I/O Bank 7 Sub-Bank Sub-Bank Left PLL PHYCLK Networks Right PLL Transceiver Banks FPGA Device PHYCLK Networks Sub-Bank Sub-Bank Sub-Bank Sub-Bank I/O Bank 5 I/O Bank 6 Left PLL PHYCLK Networks Right PLL Sub-Bank Sub-Bank I/O Bank 3 Sub-Bank I/O Bank 4 Sub-Bank

18 I/O Bank 8 Sub-Bank Sub-Bank I/O Bank 7 Sub-Bank Sub-Bank Left PLL PHYCLK Networks Right PLL I/O Bank 2 I/O Bank 1 Sub-Bank Sub-Bank Sub-Bank Sub-Bank PHYCLK Networks FPGA Device PHYCLK Networks Sub-Bank Sub-Bank Sub-Bank Sub-Bank I/O Bank 5 I/O Bank 6 Left PLL PHYCLK Networks Right PLL Sub-Bank Sub-Bank I/O Bank 3 Sub-Bank I/O Bank 4 Sub-Bank

19 I/O Bank 8 Sub-Bank Sub-Bank Sub-Bank HPS I/O Sub-Bank Left PLL PHYCLK Network Transceiver Banks FPGA Device HPS Block HPS PLL HPS PHYCLK Networks PHYCLK Network Sub-Bank Sub-Bank I/O Bank 5 HPS I/O Left PLL PHYCLK Networks Right PLL Sub-Bank Sub-Bank I/O Bank 3 Sub-Bank I/O Bank 4 Sub-Bank 各 ピンは 遅延チェイン アップデート イネーブル回路 および ポストアンブル回路で構成された独立した ロジック ブロックに接続されます

20 図 6 11 に ロジック ブロックを示します Postamble Enable Postamble Circuitry Enable Control Circuit dqsenablein D Q D Q zerophaseclk (Postamble clock) dqsdisablen 0 1 enaphasetransferreg dqsin levelingclk (Read-leveled postamble clock) D D Q Q D Q Enable PRE dqsenable (3) dqsenableout <delay dqs enable> Core Logic delay settings from the (2) delay settings from the Bypass delayctrlin [6:0] delayctrlin [6:0] 7 1 D Q 7 2 Delay Chain dqsin dqsupdateen Input Reference Clock (1) 1 0 <dqs delay chain bypass> Update Enable Circuitry dqsbusout (1) 位相シフト回路の入力基準クロックは PLL 出力クロックまたは入力クロック ピンから供給できます (2) 遅延設定は 2 つの との側から提供される場合にのみ適用されます (3) dqsenable 信号も Cyclone V FPGA ファブリックから供給できます アップデート イネーブル回路は 遅延設定が次に変更される前に 位相シフト回路またはコア ロジックからすべての ロジック ブロックに伝達されるのに十分な時間となるようにレジスタをイネーブルします 遅延設定と位相オフセット設定は レジスタを通過してから 遅延チェインに入ります レジスタは 遅延設定ビットの変更がすべての遅延エレメントに到達するのに十分な時間をとるようにアップデート イネーブル回路で制御されます これによって遅延を同時に調整できます この回路は 入力基準クロックまたはコアからのユーザー クロックを使用して アップデート イネーブル出力を生成します UniPHY Intellectual Property (IP) は デフォルトでこの回路を使用しています 図 6 12 にアップデート イネーブル回路出力の波形例を示します Counter Update (Every 8 cycles) Counter Update (Every 8 cycles) System Clock Delay Settings (Updated every 8 cycles) 7 bit Update Enable Circuitry Output ピンは 遅延設定によってシフトされます

21 遅延チェインは一連の可変遅延エレメントで構成されており 入力 信号を 位相シフト回路またはロジック アレイで与えられる量だけシフトすることができます SoC 遅延チェインは 位相シフト回路でのみ制御されます 同じ特性を持つ 遅延チェイン内の 2 つの遅延エレメントがあります ロジック ブロックでの遅延エレメント での遅延エレメント 必要な遅延チェイン数は 動作周波数を選択したときに UniPHY IP コアが自動的に設定するため ユーザーには分かりません SoC ではないのデバイスでは を 遅延チェインの制御に使用しない場合 UniPHY IP で使用可能な delayctrlin[6..0] 信号を使用して 独自の Gray コードの 7 ビット設定を入力することができます プリアンブル状態の場合 ハイ インピーダンス状態直後 は Low になります ポストアンブル状態の場合 ハイ インピーダンス状態に戻る直前に は Low になります Cyclone V デバイスは リード動作の終了時に DQ 入力レジスタをクロックするのに使用されるシフトされた 信号をグランドに接続できるよう制御可能な専用のポストアンブル レジスタを備えています これによって がポストアンブル ステートの間 リード動作時間の終了時に 入力信号上のグリッチが DQ レジスタに影響を与えないようにしています DDR3 や DDR2 SDRAM などの双方向リード ストローブを使用する外部メモリ インタフェースの場合 信号はハイ インピーダンス状態に入る前 またはそれから抜ける前に Low になります DDR および DDR2 SDRAM でのリード動作とライト動作の両方に対して プリアンプル仕様とポストアンプル仕様があります ポストアンブル回路は はポストアンブル ステートの間 リード動作の終了時に ライン上にノイズがあるときにデータが失われないようにしています Cyclone V デバイスは ポストアンブル イネーブル回路でハーフ データ レート (HDR) のブロックを持っています この手法により dqsenable アサーションに対しては 1/2 クロック サイクル レイテンシ dqsenable デアサーションに対しては 0 レイテンシになります HDR ブロックは I/O クロック ディバイダ回路の出力であるハーフ レートの再同期化クロックで駆動されます ポストアンブル レジスタ出力の後に AND ゲートがあり 非連続リード バースト上で前のリード バーストからのポストアンブル グリッチを回避するために使用されます ポストアンブル イネーブル回路ブロックで最初のステージのキャプチャ レジスタとして HDR ブロックを使用するのはオプションです コントローラが I/O の周波数の半分で動作している場合 これらのレジスタを使用することを推奨します

22 図 6 13 に HDR ブロックを使用してポストアンブル グリッチを回避する方法を示します Postamble glitch Postamble Preamble Postamble Enable dqsenable Delayed by 1/2T logic ダイナミック OCT コントロール ブロックは 並列 On-Chip Termination(R T OCT) をリード時にダイナミックにオンにし ライト時に R T OCT をオフにするのに必要なすべてのレジスタがあります 図 6 14 に ダイナミック OCT コントロール ブロックを示します OCT Control Path OCT Control D Q DFF D Q DFF OCT Control D Q DFF 0 1 D Q DFF 1 0 OCT Enable OCT Half-Rate Clock Write Clock (1) (1) ライト クロックは PLL から供給されます DQ ライト クロックと ライト クロックの間には 90 のオフセットがあります f ダイナミック On-Chip Termination コントロールについて詳しくは I/O Features in Cyclone V Devices の章を参照してください レジスタは ソース シンクロナス システムでより高速なレジスタ間転送および再同期化が可能になるように拡張されました トップ ボトム およびライトの は同じ機能を備えています

23 入力パスは DDR 入力レジスタ 再同期レジスタ および HDR ブロックで構成されています 入力パスの各ブロックはバイパスできます DDR 入力レジスタ ブロックには 3 個のレジスタがあります レジスタ A と B はクロックのポジティブおよびネガティブ エッジでデータをキャプチャし レジスタ C はキャプチャしたデータをアラインメントします キャプチャしたデータをアラインメントするレジスタ C は レジスタ A と同じクロックを使用します リード FIFO ブロックは システム クロック ドメインにデータを再同期し ハーフ レートにデータ レートを下げます 図 6 15 に Cyclone V 入力パスで使用可能なレジスタを示します DQ Double Data Rate Input Registers D Q Read FIFO datain [0] dataout[3..0] To core DDFF Q Input Reg A D Q D Q datain [1] (2), (3) DFF Input Reg B DFF Input Reg C wrclk rdclk Half-rate or full-rate clock (4) (1) このパスの各レジスタ ブロックはバイパスできます (2) 入力クロックは ロジック ブロックまたはグローバル クロック ラインから供給できます (3) および n 信号は DDR3 および DDR2 SDRAM インタフェースに反転させる必要があります アルテラのメモリ インタフェース IP を使用しているとき および n 信号は自動的に反転されます (4) このハーフ レートまたはフル レート リード クロックは クロック ネットワークを介して PLL から供給できます 出力および出力イネーブル パスは HDR ブロック および出力 / 出力イネーブル レジスタに分割されます デバイスは 出力および出力イネーブル パスの各ブロックをバイパスできます 出力パスは 組み合わせシングル データ レート (SDR) 出力またはレジスタ付き SDR 出力 および FPGA コアからのフル レートまたはハーフ レート DDR 出力を配線するように設計されています ハーフ レート データは PLL からのハーフ レート クロックによりクロック駆動される HDR ブロックを使用してフル レートに変換されます また 出力イネーブル パスの再同期レジスタの構造は 出力パス レジスタの構造に似て 出力イネーブル パスと出力パスの遅延およびレイテンシが等しくなるようにすることもできます

24 図 6 16 に Cyclone V の出力および出力イネーブル パスで使用できるレジスタを示します From Core (2) Half Data Rate Single Data Rate Output-Enable Registers D DFF Q 0 1 D Double Data Rate Output-Enable Registers DFF Q From Core (2) D Q OE Reg A OE 1 0 OR2 DFF D Q DFF OE Reg B OE From Core (wdata2) (2) D Half Data Rate Single Data Rate Output Registers DFF Q 0 1 D DFF Q Double Data Rate Output Registers 0 1 TRI DQ or From Core (wdata0) (2) D Q OE Reg A O DFF From Core (wdata3) (2) D DFF Q 0 1 D DFF Q From Core (wdata1) (2) D Q OE Reg B O DFF Half-Rate Clock (3) Write Clock (4) (1) 出力および出力イネーブル パスの各レジスタ ブロックはバイパスできます (2) FPGA コアから送られるデータはハーフ レート モードのメモリ インタフェース クロック周波 数の半分です (3) ライト クロックは PLL から供給されます (4) ライト クロックは PLL から供給されます DQ ライト クロックと ライト クロックの間には 90 のオフセットがあります Cyclone V デバイスは I/O ブロックおよび ロジック ブロックに ラン タイムの調整可能な遅延チェインがあります I/O または コンフィギュレーションブロック出力を通じて遅延チェインの設定を制御できます

25 すべての I/O ブロックは 次の要素の間の遅延チェインが含まれています 出力レジスタと出力バッファ 入力バッファと入力レジスタ 出力イネーブルと出力バッファ R T OCT イネーブル コントロール レジスタと出力バッファ 遅延チェインをバイパスして 0 位相シフトを達成することもできます 図 6 17 に I/O ブロックにおける遅延チェインを示します OCT Enable Output Enable D5 OCT delay chain D5 output-enable delay chain DQ or D5 Delay delay chain 0 1 D1 Delay delay chain 各 ロジックブロックは dqsbusout 出力の後の遅延チェイン および dqsenable 入力の前の他の遅延チェインで構成されます 図 6 18 に 入力パスにおける遅延チェインを示します Enable dqsin dqsenable delay chain D4 delay chain dqsbusout T11 delay chain Enable Control

26 Cyclone V の専用のハード メモリ コントローラはコア ロジックを使用して実装された Cyclone V メモリ コントローラと比較して より高いメモリ インタフェース周波数のサポートを可能にします Cyclone V デバイス内のハード メモリ コントローラは SDRAM インタフェースのデータ アドレス コマンド コントロール およびクロック ピンとして専用の I/O ピンを使用しています ハード メモリ コントローラを使用しない場合 通常の I/O ピンとして これらの専用のピンを使用することができます DDR2 および DDR3 SDRAM インタフェースに DDR2 およびの DDR3 SDRAM 高性能コントローラ II に似た他の機能をサポートする専用のメモリ コントローラを使用することができます f メモリ コントローラについて詳しくは 外部メモリ インタフェース ハンドブックの Design Guidelines を参照してください 表 6 6 に Cyclone V デバイス内のハード メモリ コントローラの機能を示します メモリ インタフェースのデータ幅 メモリ集積 メモリ バースト長 コマンドやデータ リオーダリング 飢餓コントロール ユーザー設定可能な優先サポート Avalon -MM データ スレーブのローカル インタフェース バンク管理 ストリーミングのリードとライト および 32 ビット データ 16 ビット データ + 8 ビット ECC 32 ビット データ + 8 ビット ECC コントローラは 最大 4G ビットの密度部分および 2 つのチップ セレクトをサポートします DDR2 4 と 8 のバースト長 DDR3 8 のバースト長と 4 のバースト チョップ LPDDR および 16 のバースト長 コントローラは アドレス衝突検出では DRAM コマンドのアウト オブ オーダー実行および結果のイン オーダー リターンのサポートによって効率が向上します 飢餓カウンタは すべての要求が事前定義されたタイムアウト期間の後に提供されることを保証します この関数は データをの並べ替えのときに優先順位の低いアクセスでデータが残されていないことを保証します コントローラが優先順位の高い要求を検出すると その要求は 現在のキューイング要求をバイパスすることができます この要求は 直ちに処理され レイテンシが低減されます デフォルトでは コントローラは Avalon Memory Mapped プロトコルをサポートしています デフォルトでは コントローラはアクセスごとにクローズド ページのバンク管理を提供します コントローラーは 着信トラフィックに基づいてロウが開いたままにしています この機能は 特にランダムなトラフィックのためのコントローラの効率を向上させます コントローラは バンクが開いている場合 連続アドレスに連続的にクロック サイクルごとにリードまたはライトを発行することができます この機能は 大量のデータを持つ非常に高い効率を可能にします

27 バンク インタリーブ 予測バンク管理 マルチポート インタフェース 内蔵のバースト アダプタ コントローラのランタイム コンフィギュレーション On-Die Termination ユーザー コントローラ リフレッシュ タイミング 低消費電力モード パーシャル アレイ セルフ リフレッシュ ECC 付加レイテンシ ライト確認 メモリ コントローラの初期化のユーザー コントロール コントローラ ボンドのサポート コントローラは ランダムなアドレスに連続してリードまたはライトを発行することができます コントローラが早いので リードまたはライトが発生したときに正しいロウが開けるバンク管理コマンドを早く発行することができます これは 効率を向上させます インタフェースでは ローカル インタフェースを介してメモリ コントローラにアクセスする最大 6 のマスタ データまで接続することができます ポート上のトラフィックを中断することなく マルチポート スケジューリングのコンフィギュレーションを更新することができます コントローラは ローカル インタフェース上の任意のサイズのバーストを受け入れ 効率的なメモリ コマンドにこれらのバーストをマッピングすることができます この機能は 別にタイミング パラメータの標準的なコンパイル タイムの設定から FPGA の利コンフィギュレーションを必要とせずに タイミング パラメータを更新するためのサポートを提供します コントローラは メモリ内の ODT(On-Die Termination) を制御して シグナル インテグリティを向上させ ボード デザインを簡素化します リフレッシュが発生したときに制御することができます これにより リフレッシュはリフレッシュ ロック アウト タイムとの重要リードまたはライトの衝突を回避することができます 必要に応じてセルフ リフレッシュまたはディープ パワーダウン モードにメモリを配置するコントローラを要求することができます 電力を節約するモード レジスタを使用してセルフ リフレッシュ時にリフレッシュするメモリの領域を選択することができます Standard Hamming シングル エラーの訂正 ダブル エラーの訂正 (SECDED) の誤り訂正コード (ECC) は 以下をサポートしています 32 ビット データ + 8 ビット ECC 16 ビット データ + 8 ビット ECC 付加レイテンシを使用すると コントローラがコマンド効率を向上させるから t RCD 前にバンクに ACTIVATE コマンドの後 READ/WRITE コマンドを発行できます コントローラは ローカル インタフェース上のライト確認をサポートしています コントローラは ユーザー ロジック コントロールでメモリ コントローラの初期化をサポートします 例えば プロセッサが存在する場合ユーザーがシステム内のソフトウェア制御経由です より高い帯域幅を必要とするアプリケーションのための広いデータ幅を達成するために 2 つのコントローラを結合できます マルチポート ロジックがコントローラにアクセスするにはコアロジックから 6 ローカルインタフェースまで設定できます

28 図 6 19 に マルチポート ロジックで Cyclone V のハード メモリ コントローラの簡略図を示します Hard Memory Controller FPGA FPGA Core Logic Multiport Logic Memory Controller PHY Memory Avalon-MM Interface AFI より広いデータ幅をサポートする 2 つのハード メモリ コントローラを結合することができます 2 つのハード メモリ コントローラを結合すると データがユーザー ロジックにコントローラから出て行く同期していますが データがメモリにコントローラの外出は同期されません 結合コントローラが同期化され 2 つの別々のアドレス バスと 2 つの独立した命令バスと独立した状態を維持されていません これらのバスは別々にキャリブレートされています

29 図 6 20 および図 6 21 には Cyclone V デバイスでのハード メモリ コントローラの数 配置 およびハード メモリ コントローラ用の結合サポートを示しています 32-bit Interface Bank 8A Bank 7A Hard Memory Controller Bonding (Core Routing) Hard Memory Controller Bank 3A Bank 3B Bank 4A 32-bit Interface (1) ボトム ハード メモリ コントローラでは 3.3/3.0-V コンフィギュレーション用の Cyclone V GX C5 デバイスでサポートされていません

30 Bank 8A HPS I/O HPS Block HPS Hard Memory Controller Bank 5 HPS I/O 32-bit DDR3 Interface Hard Memory Controller Bank 3A Bank 3B Bank 4A 32-bit DDR3 Interface (1) Cyclone V SoC FPGA デバイス用のボンディングはサポートされていません f 専用ピンについて詳しくは Cyclone V Device Family Connection Guidelines を参照してください

31 f UniPHY IP は Cyclone V I/O 構造および Quartus II ソフトウェア TimeQuest タイミング アナライザを活用するために最適化されています UniPHY IP とメモリ コントローラは プロセス 電圧 および温度 (PVT) のばらつきに対して最も信頼性の高い動作周波数を保証します UniPHY IP は メモリ インタフェースに関連するクロックを生成する PLL をインスタンス化します Cyclone V デバイスは データをフル レート (I/O 周波数 ) からハーフ レート ( コントローラ周波数 ) およびその逆に変換するように でビルトイン レジスタを備えています UniPHY IP およびアルテラのメモリ コントローラ MegaCore ファンクションを使用したメモリ コントローラは メモリ デバイスの I/O インタフェースの半分の周波数で動作し それにより高速メモリ インタフェースでのタイミング管理を改善できます UniPHY IP は システムに必要な 遅延チェイン数をダイナミックに選択することもできます 遅延量は 遅延エレメント固有の遅延と遅延ステップ数と遅延ステップ値の積との合計に等しくなります For more information about the UniPHY メガファンクションについて詳しくは 外部メモリ ハンドブックの Reference Material を参照してください

32 表 6 7 に 本資料の改訂履歴を示します 2012 年 6 月 年 2 月 1.2 Quartus II ソフトウェア v12.0 リリースのための更新 項を再構築 デザイン検討事項 ポストアンブル回路 and レジスタ の項を更新 SoC デバイスに対する情報を追加 図 6 5 図 6 10 および図 6 21 を追加 図 6 20 を更新 を更新 2011 年 11 月 1.1 表 6 2 を更新 図 6 2 を追加 2011 年 10 月 1.0 初版

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用 WP-01034-1.0/JP DLL (PVT compensation) 90 PLL PVT compensated FPGA fabric 90 Stratix III I/O block Read Dynamic OC T FPGA Write Memory Run Time Configurable Run Time Configurable Set at Compile dq0 dq1

More information

Microsoft Word - quick_start_guide_16 1_ja.docx

Microsoft Word - quick_start_guide_16 1_ja.docx Quartus Prime ソフトウェア ダウンロードおよびインストール クイック スタート ガイド 2016 Intel Corporation. All rights reserved. Intel, the Intel logo, Intel FPGA, Arria, Cyclone, Enpirion, MAX, Megacore, NIOS, Quartus and Stratix words

More information

HardCopy IIIデバイスの外部メモリ・インタフェース

HardCopy IIIデバイスの外部メモリ・インタフェース 7. HardCopy III HIII51007-1.0 Stratix III I/O HardCopy III I/O R3 R2 R SRAM RII+ RII SRAM RLRAM II R HardCopy III Stratix III LL elay- Locked Loop PLL Phase-Locked Loop On-Chip Termination HR 4 36 HardCopy

More information

Stratix IIIデバイスの外部メモリ・インタフェース

Stratix IIIデバイスの外部メモリ・インタフェース 8. Stratix III SIII51008-1.1 Stratix III I/O R3 SRAM R2 SRAM R SRAM RII+ SRAM RII SRAM RLRAM II 400 MHz R Stratix III I/O On-Chip Termination OCT / HR 4 36 R ouble ata RateStratix III FPGA Stratix III

More information

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ CPLD ISP ISP この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください AN-630-1.0 アプリケーション ノート このアプリケーションノートでは MAX II および MAX V デバイスにおけるリアルタイム ISP(In-System Programmability)

More information

デュアルDIMM DDR2およびDDR3 SDRAMのボード・デザイン・ガイドライン、外部メモリ・インタフェース・ハンドブック、Volume 2、第5章

デュアルDIMM DDR2およびDDR3 SDRAMのボード・デザイン・ガイドライン、外部メモリ・インタフェース・ハンドブック、Volume 2、第5章 5? 2012? EMI_DG_005-2.0 EMI_DG_005-2.0 この章では デュアル バッファなし DIMM (UDIMM) DDR2 および DDR3 SDRAM インタフェースの実装のガイドラインについて説明します この章では デュアル DIMM 構成を次の条件で使用して データ信号のシグナル インテグリティに対する影響を説明します 1 スロット実装対 2 スロット実装 DIMM

More information

Cyclone V デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール、Cyclone Vデバイス・ハンドブック、Volume 1、第1章

Cyclone V デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール、Cyclone Vデバイス・ハンドブック、Volume 1、第1章 June 2012 CV-52001-2.0 CV-52001-2.0 この章では Cyclone V コア ファブリック内のロジック アレイ ブロック (LAB) の機能を説明します LAB は ロジック ファンクション 演算ファンクション およびレジスタ ファンクションを実装するためにコンフィギュレーションできるアダプティブ ロジック モジュール () として知られる基本的なビルディング ブロックで構成されています

More information

1-2 MLAB 図 1-1: Arria 10 デバイスにおける LAB 構造およびインタコネクトの概要 この図は LAB インタコネクトを有する Arria 10 の LAB および MLAB 構造の概要を表しています C4 C27 異なる速度と長さのロウ インタコネクト R32 R3/R6 s

1-2 MLAB 図 1-1: Arria 10 デバイスにおける LAB 構造およびインタコネクトの概要 この図は LAB インタコネクトを有する Arria 10 の LAB および MLAB 構造の概要を表しています C4 C27 異なる速度と長さのロウ インタコネクト R32 R3/R6 s 1 署名 ロジック アレイ ブロック (LAB) は アダプティブ ロジック モジュール () として知られる基本のビルディング ブロックで構成されています ロジック ファンクション 演算ファンクション およびレジスタ ファンクションを実装するために LAB をコンフィギュレーションすることができます また Arria 10 デバイスで使用可能な LAB の 4 分の 1 をメモリ LAB(MLAB)

More information

ウォッチドッグ・タイマ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM)

ウォッチドッグ・タイマ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM) 11? 2012? cv_54024-1.2 cv_54024-1.2 ウォッチドッグ タイマの主な機能は 無応答ステートから回復するシステムの方法を提供することです ハード プロセッサ システム (HPS) は レベル 4(L4) のペリフェラル バスに接続された 2 つのプログラム可能なウォッチドッグ タイマを提供しています ウォッチドッグ タイマは Synopsys DesignWare APB

More information

ロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール

ロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール 1 AV-52001 署名 この章では ArriaV コア ファブリックのロジック アレイ ブロック (LAB) の機能について説明します LAB は ロジック ファンクション 演算ファンクション およびレジスタ ファンクションを実装するようにコンフィギュレーションできるアダプティブ ロジック モジュール () として知られる基本ビルディング ブロックで構成されています ArriaV デバイス内で使用可能な

More information

Microsoft PowerPoint - Altera_DDR3_Oct2009_ダウンロード用.ppt

Microsoft PowerPoint - Altera_DDR3_Oct2009_ダウンロード用.ppt 40nm FPGA が実現する最先端メモリ インタフェース 日本アルテラ株式会社プロダクト マーケティング マネージャ橋詰英治 アルテラが提供する完全なソリューション CPLD 低コスト FPGA ミッド レンジ FPGA 高集積 高性能 多機能 FPGA ASIC エンベデッド プロセッサ IP コア製品群 開発ソフトウェア 開発キット 2 最新 40nm FPGA & ASIC 製品 11.3Gbps

More information

AN 357: Error Detection & Recovery Using CRC in Altera FPGA Devices

AN 357: Error  Detection & Recovery Using CRC in Altera FPGA Devices 2008 年 7 月 ver. 1.4 アルテラ FPGA デバイスの CRC によるエラー検出およびリカバリ Application Note 357 概要 航空電子 テレコム システム コントロール および軍事用アプリケーションの分野で使用されるクリティカルな用途では 以下ができることが重要です FPGA デバイスに格納されたコンフィギュレーション データが正確であるかを確認する システムにコンフィギュレーション

More information

Stratix IVデバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール, Stratix IV Device Handbook, Volume 1, Ch 2

Stratix IVデバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール, Stratix IV Device Handbook, Volume 1, Ch 2 2010?9? 2010 SIV51002-3.1 SIV51002-3.1 この章では Stratix IV コア ファブリックのロジック アレイ ブロック (LAB) の機能について説明します LAB は ロジック ファンクション 演算ファンクション およびレジスタ ファンクションのコンフィギュレーションに使用可能な から構成されます ロジック アレイ ブロック (LAB) およびアダプティブ

More information

Virtex-6 Clocking

Virtex-6 Clocking Spartan-6 クロックリソース Proprietary to PALTEK CORPORATION 1 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 2 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 3 高速なクロッキング 新型アプリケーションには複雑なクロック要件が必要 : 高速クロック信号

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

A-AN pdf

A-AN pdf JQFP BGA 1999 1 ver. 4 Application Note 71 J QFPFineLine BGA TM BGA JQFPBGA JQFP QFPBGA JQFP BGA JQFP BGA J QFP J QFP QFP QFP 125 QFP QFP QFPQFP Carrier & Development Socket Altera Corporation Page 1 A-AN-071-04/J

More information

AN 611:3G-SDI レベルB とデュアル・リンクHD-SDI(SMPTE372)リファレンス・デザインのマッピング

AN 611:3G-SDI レベルB とデュアル・リンクHD-SDI(SMPTE372)リファレンス・デザインのマッピング AN-611-1.0 この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください このリファレンス デザインは Altera SDI MegaCore ファンクションおよびオーディオ ビデオ開発キット Stratix IV GX エディションを使用して 3 ギガビット / 秒のシリアル

More information

Microsoft PowerPoint - Ppt ppt[読み取り専用]

Microsoft PowerPoint - Ppt ppt[読み取り専用] 28nm FPGA の 最新メモリ インタフェース技術 2011 年 9 月 6 日日本アルテラ株式会社シニア プロダクト マーケティング マネージャ橋詰英治 アジェンダ 28nm FPGA 製品の概要 28nm FPGA 製品における外部メモリ インタフェース ソリューション 2 28nm FPGA 製品の概要 業界で最も広範な 28nm 製品ポートフォリオ 28nm 製品ポートフォリオ E, GX,

More information

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法 ver. 8.1 2009 年 3 月 1. はじめに Nios II 開発ボードに実装されているメモリ用のコンポーネントは SOPC Builder の中にあらかじめ用意されています しかし 実際に基板を作成した場合には Nios II 開発ボードに実装されているメモリと同じ仕様の製品でない限り SOPC Builder であらかじめ用意されたメモリ用のコンポーネントを使用することはできません この場合

More information

Cyclone IIIデバイスのI/O機能

Cyclone IIIデバイスのI/O機能 7. Cyclone III I/O CIII51003-1.0 2 Cyclone III I/O 1 I/O 1 I/O Cyclone III I/O FPGA I/O I/O On-Chip Termination OCT Quartus II I/O Cyclone III I/O Cyclone III LAB I/O IOE I/O I/O IOE I/O 5 Cyclone III

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

AN 477: Designing RGMII Interface with HardCopy

AN 477: Designing RGMII Interface with HardCopy FPGA および HardCopy デバイスとの RGMII インタフェースの設計 ver. 1.0 Application Note 477 はじめに RGMII(Reduced Gigabit Media Independent Interface) は IEEE 802.3z GMII に代わるもので ピン数の削減が図られています ピン数の削減は クロックの立ち上がりと立ち下がりの両エッジでデータをやりとりし

More information

AN520: DDR3 SDRAM Memory Interface Termination and Layout Guidelines

AN520: DDR3 SDRAM Memory Interface Termination and Layout Guidelines DDR3 SDRAM インタフェースの終端およびレイアウト ガイドライン 2009 年 5 月 AN-520-1.1 はじめに このアプリケーション ノートは システムのシグナル インテグリティを向上させる方法についてのガイドライン および DDR3 SDRAM インタフェースをシステム上に正しく実装するためのレイアウト ガイドラインを示しています 高まり続けるコンピューティングのニーズに対応するために

More information

オンチップ・メモリ クイック・ガイド for Cyclone III

オンチップ・メモリ クイック・ガイド for Cyclone III ver.9.1 2010 年 1 月 1. はじめに アルテラ社製 FPGA デバイスにおいてオンチップ メモリ (FPGA 内部で RAM や ROM などを構成 ) を実現するには Memory Compiler メガファンクションを使用します Memory Compiler メガファンクションは Cyclone シリーズ, Arria シリーズ, Stratix シリーズ, HardCopy

More information

インテル® Stratix®10 デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール・ユーザーガイド

インテル®  Stratix®10 デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール・ユーザーガイド 更新情報 フィードバック 最新版をウェブからダウンロード : PDF HTML 目次 目次 1 インテル Stratix デバイスの LAB および の概要... 3 2 HyperFlex レジスター... 4...5 3.1 LAB... 5 3.1.1 MLAB... 6 3.1.2 ローカル インターコネクトおよびダイレクトリンク インターコネクト...6 3.1.3 キャリーチェーンのインターコネクト...

More information

CANコントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM)

CANコントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM) November 2012 cv_54025-1.2 cv_54025-1.2 ハードウェア プロセッサ システム (HPS) は Cortex -A9 マイクロプロセッサ ユニット (MPU) サブシステム ホスト プロセッサ および CAN プロトコルで使用するダイレクト メモリ アクセス (DMA) コントローラでのシリアル通信用に 2 つのコントローラ エリア ネットワーク (CAN) コントローラを提供しています

More information

MAX 10 高速LVDS I/Oユーザー・ガイド

MAX 10 高速LVDS I/Oユーザー・ガイド MAX 10 高速 LVDS I/O ユーザー ガイド 更新情報 UG-M10LVDS 101 Innovation Drive San Jose, CA 95134 www.altera.com 目次 -2 目次 MAX 10 高速 LVDS I/O の概要... 1-1 アルテラ ソフト LVDS 実装の概要...1-2 MAX 10 高速 LVDS のアーキテクチャと機能... 2-1 MAX

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装 LIM Corp. Nios II - PIO を使用した I 2 C-Bus (2 ワイヤ ) マスタの実装 ver.1.0 2010 年 6 月 ELSEN,Inc. 目次 1. はじめに... 3 2. 適用条件... 3 3. システムの構成... 3 3-1. SOPC Builder の設定... 3 3-2. PIO の設定... 4 3-2-1. シリアル クロック ライン用 PIO

More information

増設メモリ 1. 機能 型名 N8102-G342 N8102-G343 N8102-G344 1GB (1GBx1 枚 ) (x1 枚 ) (x1 枚 ) SDRAM-DIMM, Unbuffered,ECC 1.5V 型名 N N N (1GBx1

増設メモリ 1. 機能 型名 N8102-G342 N8102-G343 N8102-G344 1GB (1GBx1 枚 ) (x1 枚 ) (x1 枚 ) SDRAM-DIMM, Unbuffered,ECC 1.5V 型名 N N N (1GBx1 (2010/04/26) 増設メモリ 1. 機能 型名 N8102-G342 N8102-G343 N8102-G344 1GB (1GBx1 枚 ) (x1 枚 ) (x1 枚 ) SDRAM-DIMM, Unbuffered,ECC 1.5V 型名 N8102-342 N8102-343 N8102-344 (1GBx1 枚 ) (x1 枚 ) (x1 枚 ) SDRAM-DIMM, Unbuffered,ECC

More information

増設メモリ (2010/06/17)

増設メモリ (2010/06/17) (2010/06/17) 1. 機能 型名 N8102-371 N8102-372 N8102-373 N8102-374 N8102-375 16GB (1GBx1 枚 ) (2GBx1 枚 ) (x1 枚 ) (x1 枚 ) (16GBx1 枚 ) 1.35V/1.5V 型名 N8102-387 N8102-388 N8102-389 N8102-390 N8102-391 2GB 16GB 32GB

More information

Microsoft Word - SUA007

Microsoft Word - SUA007 アルテラ社ツール Qsys を利用した Smart-USB Plus 製品用リファレンス回路 SRAM-FIFO モジュール 1. SRAM-FIFO モジュールとは? Smart-USB Plus 製品に搭載する高速同期 SRAM を FIFO 化するモジュールです アルテラ社 AVALON バス仕様に準拠しています 既に提供している GPIF-AVALON ブリッジ (SUA006 アプリケーションノート参照

More information

増設メモリ 1. 機能 型名 N N N N GB (x1 枚 ) (x1 枚 ) (x1 枚 ) (8GBx1 枚 ) DDR3-1333(PC ) 動作クロック 667MHz( 差動 ) 1.5V 型名 N8102-3

増設メモリ 1. 機能 型名 N N N N GB (x1 枚 ) (x1 枚 ) (x1 枚 ) (8GBx1 枚 ) DDR3-1333(PC ) 動作クロック 667MHz( 差動 ) 1.5V 型名 N8102-3 (2010/01/22) 増設メモリ 1. 機能 型名 N8102-361 N8102-362 N8102-363 N8102-364 8GB (x1 枚 ) (x1 枚 ) (x1 枚 ) (8GBx1 枚 ) DDR3-1333(PC3-10600) 動作クロック 667MHz( 差動 ) 1.5V 型名 N8102-365 N8102-366 N8102-367 (x1 枚 ) (x1 枚 )

More information

増設メモリ 1. 機能 型名 N N N N N GB 16GB 3 (x2 枚 ) (x2 枚 ) (x2 枚 ) (8GBx2 枚 ) (16GBx2 枚 ) DDR3-1066(PC3-8500) 動作クロック

増設メモリ 1. 機能 型名 N N N N N GB 16GB 3 (x2 枚 ) (x2 枚 ) (x2 枚 ) (8GBx2 枚 ) (16GBx2 枚 ) DDR3-1066(PC3-8500) 動作クロック (2009/10/28) 増設メモリ 1. 機能 型名 N8102-356 N8102-357 N8102-358 N8102-359 N8102-360 8GB 16GB 3 (x2 枚 ) (x2 枚 ) (x2 枚 ) (8GBx2 枚 ) (16GBx2 枚 ) DDR3-1066(PC3-8500) 動作クロック 533MHz( 差動 ) 1.5V 型名 N8102-351 N8102-352

More information

MAX 10高速LVDS I/Oユーザーガイド

MAX 10高速LVDS I/Oユーザーガイド MAX 10 高速 LVDS I/O ユーザーガイド 更新情報 UG-M10LVDS 101 Innovation Drive San Jose, CA 95134 www.altera.com 目次 -2 目次 MAX 10 高速 LVDS I/O の概要... 1-1 アルテラのソフト LVDS 実装の概要...1-2 MAX 10 高速 LVDS のアーキテクチャと機能... 2-1 MAX

More information

増設メモリ (2006/11/20)

増設メモリ (2006/11/20) (2006/11/20) 1. 機能 型名 N8102-246 N8102-247 N8102-248 N8102-249 8GB (x2 枚 ) (x2 枚 ) (x2 枚 ) (x2 枚 ) DDR2-533 SDRAM-DIMM(Fully Buffered),ECC 駆動電圧 1.5V/1.8V 型名 N8102-250 N8102-251 N8102-252 (x2 枚 ) (x2 枚 )

More information

PLL クイック・ガイド for Cyclone III

PLL クイック・ガイド for Cyclone III ver.9.1 2010 年 1 月 1. はじめに アルテラ社製 FPGA デバイスにおいて PLL を実現するには ALTPLL メガファンクションを使用します ALTPLL を使用することでクロック信号を逓倍 分周 シフトなど簡単に調整することができます PLL で生成したクロック信号を出力専用ピンから外部のデバイスへ供給することも可能なので システムクロックを FPGA にて生成することも可能です

More information

増設メモリ 1. 機能 型名 N N N (x1 枚 ) (x1 枚 ) (x1 枚 ) DDR3-1333(PC ) SDRAM-DIMM, Unbuffered,ECC 動作クロック 667MHz( 差動 ) 1.5V 型名 N8102

増設メモリ 1. 機能 型名 N N N (x1 枚 ) (x1 枚 ) (x1 枚 ) DDR3-1333(PC ) SDRAM-DIMM, Unbuffered,ECC 動作クロック 667MHz( 差動 ) 1.5V 型名 N8102 (2009/12/08) 増設メモリ 1. 機能 型名 N8102-339 N8102-340 N8102-341 (x1 枚 ) (x1 枚 ) (x1 枚 ) DDR3-1333(PC3-10600) SDRAM-DIMM, Unbuffered,ECC 動作クロック 667MHz( 差動 ) 1.5V 型名 N8102-330 N8102-331 N8102-332 N8102-333 8GB

More information

富士通セミコンダクタープレスリリース 2009/05/19

富士通セミコンダクタープレスリリース 2009/05/19 [ デバイス ] 2009 年 5 月 19 日富士通マイクロエレクトロニクス株式会社 世界初!125 動作の SiP 向け低消費電力メモリを新発売 ~ メモリの耐熱性向上により 消費電力の大きな高性能デジタル家電に最適 ~ 富士通マイクロエレクトロニクス株式会社 ( 注 1) は DDR SDRAM インターフェースを持つメモリでは世界で初めて動作温度範囲を 125 まで拡張したコンシューマ FCRAM(

More information

電力線重畳型機器認証技術

電力線重畳型機器認証技術 1 電力線重畳型認証技術 RFID over Power Line System ソニー株式会社コーポレート R&D 新規事業創出部門ホームエネルギーネットワーク事業開発部 和城賢典 2012 年 4 月 17 日 2 内容 イントロダクション 基本構造 測定結果 EV 充電スタンドへの取り組み 3 内容 イントロダクション 基本構造 測定結果 EV 充電スタンドへの取り組み 4 RFID の原理

More information

外部メモリ・インタフェース・ハンドブック Volume 3: アルテラ・メモリ・インタフェースIPの実装; セクション I. DDR およびDDR2 SDRAM 高性能コントローラ およびALTMEMPHY IP ユーザーガイド

外部メモリ・インタフェース・ハンドブック Volume 3: アルテラ・メモリ・インタフェースIPの実装; セクション I. DDR およびDDR2 SDRAM 高性能コントローラ およびALTMEMPHY IP ユーザーガイド 101 Innovation Drive San Jose, CA 95134 www.altera.com EMI_DDR_UG-1.3 Copyright 2010 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company, the stylized Altera logo, specific

More information

TN-46-13

TN-46-13 はじめに テクニカルノート 高速 DDR SDRAM の互換性 はじめに このテクニカルノートでは DDR SDRAM デバイスの速度タイミングの違いを考察し Micron の高速グレード部品と低速グレード部品との互換性について説明します Micron DDR デバイスのタイミングは 異なる速度グレードの部品との互換性を最大限維持するように最適化されています そのため Micron のデータシートに記載されているタイミング特性は

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk

2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk 2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk Autodesk Vault 2014 新機能 操作性向上 Inventor ファイルを Vault にチェックインすることなくステータス変更を実行できるようになりました 履歴テーブルの版管理を柔軟に設定できるようになりました

More information

2-2 デザイン ガイドライン 表 2-1: 容量と分配 A10-MEMORY タイプ Arria 10 GX Arria 10 GT Arria 10 SX 製品ライン ブロック数 M20K RAM ビット数 (Kb) ブロック数 MLAB RAM ビット数 (Kb) トータル RAM ビット数

2-2 デザイン ガイドライン 表 2-1: 容量と分配 A10-MEMORY タイプ Arria 10 GX Arria 10 GT Arria 10 SX 製品ライン ブロック数 M20K RAM ビット数 (Kb) ブロック数 MLAB RAM ビット数 (Kb) トータル RAM ビット数 2 A10-MEMORY 署名 デバイス内のエンベデッド メモリ ブロックには柔軟性があり デザイン要件に合った最適な小規模メモリ アレイおよび大規模メモリ アレイを提供できるようデザインされています 関連情報 Arria 10 Device Handbook: Known Issues >Arria 10 Device Handbook の章にて予定される更新をリストします エンベデッド メモリのタイプ

More information

(Microsoft Word - DDR\203\215\203W\203A\203i\215\\\220\254-ver0619.doc)

(Microsoft Word - DDR\203\215\203W\203A\203i\215\\\220\254-ver0619.doc) DDR1/DDR2 ロジックアナライザ ソリューション構成ガイド Ver June/19/2006 機能と特徴 : Agilent 16900ロジックアナライザを使用して DDR1 および DDR2 システムのロジックロジック検証検証を行います 実際にシステムを組み上げた時に想定通りに動作しない場合 信号間のタイミングやコマンドの確認をします ロジックアナライザのEyeScan 機能を用いると信号品質を素早く把握することも出来ます

More information

SoC はじめてガイド - HPS-FPGA 間のアクセス方法(Arria® V SoC / Cyclone® V SoC 編)

SoC はじめてガイド - HPS-FPGA 間のアクセス方法(Arria® V SoC / Cyclone® V SoC 編) ALTIMA Company, MACNICA, Inc. SoC はじめてガイド HPS-FPGA 間のアクセス方法 (Arria V SoC / Cyclone V SoC 編 ) Ver.17 2017 年 7 月 Rev.1 ELSENA,Inc. SoC はじめてガイド HPS-FPGA 間のアクセス方法 (Arria V SoC / Cyclone V SoC 編 ) 目次 はじめに...3

More information

増設メモリ 1. 機能仕様 型番 製品名 備考 N GB 増設メモリボード DDR3-1333(PC ) SDRAM, Unbuffered N GB 増設メモリボード DDR3-1333(PC ) SDRAM, Unbuffered N8

増設メモリ 1. 機能仕様 型番 製品名 備考 N GB 増設メモリボード DDR3-1333(PC ) SDRAM, Unbuffered N GB 増設メモリボード DDR3-1333(PC ) SDRAM, Unbuffered N8 (2011/06/17) 増設メモリ 1. 機能仕様 型番 製品名 備考 N8102-342 1GB 増設メモリボード DDR3-1333(PC3-10600) SDRAM, Unbuffered N8102-343 2GB 増設メモリボード DDR3-1333(PC3-10600) SDRAM, Unbuffered N8102-344 4GB 増設メモリボード DDR3-1333(PC3-10600)

More information

Quartus II はじめてガイド - よく使用するロジック・オプション設定方法 (個別設定)

Quartus II はじめてガイド - よく使用するロジック・オプション設定方法 (個別設定) ALTIMA Corp. Quartus II はじめてガイドよく使用するロジック オプション設定方法 ( 個別設定方法 ) rev.1 ver.10 2011 年 4 月 ELSENA,Inc. Quartus II はじめてガイド よく使用するロジック オプション設定方法 ( 個別設定方法 ) rev.1 目次 1. はじめに... 3 2. 出力電流値の設定 ...4

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション SATA Host/Device IP Core HDD や SSD などのストレージを使用した システム開発に最適な FPGA 向けIntelliProp 社製 SATA IP Core IntelliProp 社製 SATA Host / Device IP Coreは SATA Revision 3.0 Specificationに準拠しており 1.5Gbps 3.0Gbps 6.0Gbpsに対応しています

More information

「電子政府推奨暗号の実装」評価報告書

「電子政府推奨暗号の実装」評価報告書 2011 情財第 399 号 情報セキュリティ対策基盤整備事業 電子政府推奨暗号の実装 評価報告書 平成 24 年 12 月 [ 改訂履歴 ] 日付改訂内容 2012 年 12 月 11 日評価報告書初版発行 2012 年 12 月 21 日 2. 評価結果 内のデータを修正 ( 表 1-1 表 1-2 表 2-1 表 2-2 表 3-1 表 3-2 表 4-1 表 4-2 表 5-1 表 5-2

More information

8B10Bエンコーダ/デコーダMegaCoreファンクション・ユーザガイド

8B10Bエンコーダ/デコーダMegaCoreファンクション・ユーザガイド 8B10B / MegaCore 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com MegaCore : 7.1 : 2007 5 Copyright 2007 Altera Corporation. All rights reserved. Altera, The Programmable Solutions

More information

増設メモリ 1. 機能仕様 型番製品名備考 N GB 増設メモリボード (2x 4 GB/U) DDR3L-1333(PC3L-10600) SDRAM ECC 付 Registered, 2GBx2 枚の N GB 増設メモリボード DDR3L-1600(PC3

増設メモリ 1. 機能仕様 型番製品名備考 N GB 増設メモリボード (2x 4 GB/U) DDR3L-1333(PC3L-10600) SDRAM ECC 付 Registered, 2GBx2 枚の N GB 増設メモリボード DDR3L-1600(PC3 (2012/04/06) 増設メモリ 1. 機能仕様 型番製品名備考 N8102-435 8GB 増設メモリボード (2x 4 GB/U) DDR3L-1333(PC3L-10600) SDRAM ECC 付 Registered, 2GBx2 枚の N8102-468 4GB 増設メモリボード DDR3L-1600(PC3L-12800) SDRAM ECC 付 Registered, 2GBx2

More information

Report Template

Report Template Lattice ECP3 DDR3 メモリ I/F 1 目次 1 このドキュメントの概要... 4 2 DDR_MEM モジュールを使用する場合の注意点... 5 2.1 PLL の配置およびクロック入力ピンに関する注意事項... 5 2.2 クロック位相調整回路のタイミング制約と配置指定... 6 2.2.1 CSM の配置指定... 6 3 DDR3 SDRAM CONTROLLER IP を使用する場合の注意事項...

More information

テクニカルガイド 増設メモリ

テクニカルガイド 増設メモリ (2012/09/19) 1. 機能仕様 型番 製品名 備考 N8102-513 32GB ボード N8102-512 16GB ボード N8102-511 8GB ボード (1x8GB/R) N8102-510 4GB ボード (1x4GB/U) N8102-509 2GB ボード DDR3L-1600(PC3L-12800) SDRAM ECC 付 Unbufferred (1x2GB/U) N8102-508

More information

Notes and Points for TMPR454 Flash memory

Notes and Points for TMPR454 Flash memory 表紙 TMPR454 内蔵 Flash メモリ対応版手順書 株式会社 DTS インサイト ご注意 (1) 本書の内容の一部または 全部を無断転載することは禁止されています (2) 本書の内容については 改良のため予告なしに変更することがあります (3) 本書の内容について ご不明な点やお気付きの点がありましたら ご連絡ください (4) 本製品を運用した結果の影響については (3) 項にかかわらず責任を負いかねますのでご了承ください

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 ADC A/D コンバータ ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ ADC の概要 ソフトウエア トリガ セレクト モード 連続変換モードのプログラム サンプル紹介 2 ADC の概要 3 ADC のブロック図 パワー オフが可能 入力 選択 記憶 比較 基準電圧 変換結果

More information

テクニカルガイド「増設メモリ」(2006/09/15)

テクニカルガイド「増設メモリ」(2006/09/15) (2006/09/15) 1. 機能 型名 N8102-246 N8102-247 N8102-248 N8102-249 8GB (x2 枚 ) (x2 枚 ) (x2 枚 ) (x2 枚 ) DDR2-533 SDRAM-DIMM(Fully Buffered),ECC 駆動電圧 1.5V/1.8V 型名 N8102-250 N8102-251 N8102-252 (x2 枚 ) (x2 枚 )

More information

NANDフラッシュ・コントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM)

NANDフラッシュ・コントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM) November 2012 cv_54010-1.2 cv_54010-1.2 ハード プロセッサ システム (HPS) は Altera system-on-a-chip(soc)fpga システムで外部 NAND フラッシュ メモリと接続する NAND フラッシュ コントローラを提供しています 大容量のアプリケーション用またはユーザー データ用の外部ストレージ容量として ソフトウェアのプロセッサ

More information

Introducing_SPIStorm-JP

Introducing_SPIStorm-JP SPI Storm の紹介 USB での SPI Storm は Byte Paradigm の USB Serial Protocol host adapter です SPI Storm は マスターとして SPI (Serial Peripheral Interface) 3-wires SPI dual-spi quad-spi プロトコルをサポートします それは PC から最大 100MHz

More information

DDR2とDDR3 SDRAMのボード・デザイン・ガイドライン、外部メモリ・インタフェース・ハンドブック、Volume 2、第4章

DDR2とDDR3 SDRAMのボード・デザイン・ガイドライン、外部メモリ・インタフェース・ハンドブック、Volume 2、第4章 6? 2012? EMI_DG_004-4.1 EMI_DG_004-4.1 この章では システムのシグナル インテグリティを向上させる方法についてのガイドライン および DDR2 または DDR3 SDRAM インタフェースをシステム上に正しく実装するためのレイアウト ガイドラインを示します DDR3 SDRAM は 既存の DDR2 SDRAM 規格と部分的に下位互換性を維持しながら 複数の On-Die

More information

Cyclone V デバイスの概要

Cyclone V デバイスの概要 CV-51001-2.0 Device Overview Cyclone V デバイスは 消費電力 コスト time-to-market の要件を削減すること および量産かつコスト重視のアプリケーション用の帯域幅の要件を向上させることに同時に対応するためにデザインされています 統合トランシーバおよびハード メモリ コントローラで強化された Cyclone V デバイスは 工業用 ワイヤレスとワイヤライン

More information

Quartus II クイック・スタートガイド

Quartus II クイック・スタートガイド ALTIMA Corp. Quartus II クイック スタートガイド ver.3.0 2010 年 8 月 ELSENA,Inc. 目次 1. はじめに... 3 2. Quartus II の基本操作フロー... 3 3. Quartus II の基本操作... 4 ステップ 1. プロジェクトの作成... 4 ステップ 2. デザインの作成... 4 ステップ 3. ファンクション シミュレーション...

More information

Quartus II - デバイスの未使用ピンの状態とその処理

Quartus II - デバイスの未使用ピンの状態とその処理 Quartus II はじめてガイド デバイスの未使用ピンの状態とその処理 ver. 9.1 2010 年 6 月 1. はじめに ユーザ回路で使用していないデバイス上のユーザ I/O ピン ( 未使用ユーザ I/O ピン ) は Quartus II でコンパイルすることによりピンごとに属性が確定されます ユーザは 各未使用ユーザ I/O ピンがどのような状態 ( 属性 ) であるかに応じて 基板上で適切な取り扱いをする必要があります

More information

テクニカルガイド 増設メモリ

テクニカルガイド 増設メモリ (2012/07/26) 増設メモリ 1. 機能仕様 型番 製品名 備考 N8102-508 32GB 増設メモリボード DDR3L-1066(PC3L-8500) SDRAM ECC 付 Registered (1x32GB/R) N8102-507 16GB 増設メモリボード (1x16GB/R) N8102-506 8GB 増設メモリボード (1x8GB/R) N8102-505 4GB 増設メモリボード

More information

HardCopy IIデバイスのタイミング制約

HardCopy IIデバイスのタイミング制約 7. HardCopy II H51028-2.1 Stratix II FPGA FPGA ASIC HardCopy II ASIC NRE Quartus II HardCopy Design Center HCDC Quartus II TimeQuest HardCopy II 2 DR2 TimeQuest TimeQuest FPGA ASIC FPGA ASIC Quartus II

More information

USB-Blasterダウンロード・ケーブル・ユーザガイド

USB-Blasterダウンロード・ケーブル・ユーザガイド USB-Blaster 101 Innovation Drive San Jose, CA 95134 www.altera.com 2.3 2007 5 UG-USB81204-2.3 P25-10325-03 Copyright 2007 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company,

More information

ヤマハDante機器と他社AES67機器の接続ガイド

ヤマハDante機器と他社AES67機器の接続ガイド はじめに AES67 は 高性能なデジタル IP ネットワークの相互接続を実現するための標準規格です AES67 は や Ravenna Q-LAN Livewire WheatNet などの異なるネットワーク規格で構築されたシステム間で オーディオ信号を送受信する手段を提供します ヤマハも 機器のアップデートにより順次 AES67 への対応を開始し 第一弾としてデジタルミキシングコンソール CL/QL

More information

PCI-Express ハード IP を使用した DMA 転送の実現 for Cyclone V GT FPGA 開発キット(ハードウェア編)

PCI-Express ハード IP を使用した DMA 転送の実現 for Cyclone V GT FPGA 開発キット(ハードウェア編) ALTIMA Corp. PCI-Express ハード IP を使用した DMA 転送の実現 for Cyclone V GT FPGA 開発キット ( ハードウェア編 ) ver.1 2015 年 4 月 Rev.3 ELSENA,Inc. PCI-Express ハード IP を使用した DMA 転送の実現 for Cyclone V GT FPGA 開発キット ( ハードウェア編 ) 目次

More information

MAX 10の汎用I/Oのユーザーガイド

MAX 10の汎用I/Oのユーザーガイド MAX 10 の汎用 I/O のユーザーガイド 更新情報 Quartus Prime Design Suite のための更新 16.0 101 Innovation Drive San Jose, CA 95134 www.altera.com 目次 -2 目次 MAX 10 I/O の概要...1-1 パッケージ別 MAX 10 デバイスの I/O リソース... 1-2 MAX 10 I/O バーティカル

More information

3-2 Arria 10 デバイスでサポートされる動作モード A10-DSP Arria 10 デバイスでサポートされる動作モード 表 3-1: Arria 10 デバイスにおける可変精度 DSP ブロックの動作モード 可変精度 DSP ブロックのリソース 1 つの可変精度 DSP

3-2 Arria 10 デバイスでサポートされる動作モード A10-DSP Arria 10 デバイスでサポートされる動作モード 表 3-1: Arria 10 デバイスにおける可変精度 DSP ブロックの動作モード 可変精度 DSP ブロックのリソース 1 つの可変精度 DSP 3 2014.08. A10-DSP 署名 この章では 高性能デジタル信号処理 (DSP) アプリケーションでより高いビット精度をサポートするにあたって Arria 10 デバイスの可変精度 DSP ブロックがどのように最適化されるかについて説明します 2014. All rights reserved. ALTERA, ARRIA, CYCLONE, ENPIRION, MAX, MEGACORE,

More information

ハード・プロセッサ・システム (HPS)のテクニカル・リファランス・マニュアル (TRM) 、第12章:クワッドSPIフラッシュ・コントローラ

ハード・プロセッサ・システム (HPS)のテクニカル・リファランス・マニュアル (TRM) 、第12章:クワッドSPIフラッシュ・コントローラ November 2012 cv_54012-1.2 cv_54012-1.2 ハード プロセッサ システム (HPS) は シリアル NOR フラッシュデ バイスにアクセスするためにクワッドのシリアル ペリフェラル インタフェース (SPI) フラッシュ メモリ コントローラを提供しています クワッド SPI フラッシュ コントローラが同様に高性能デュアルおよびクワッド SPI フラッシュ デバイスの標準的な

More information

複数の Nios II を構成する際の注意事項

複数の Nios II を構成する際の注意事項 ver. 1.0 2009 年 4 月 1. はじめに Nios II IDE で ソフトウェアをビルドすると SOPC Builder の GUI 上で Nios II と接続されているペリフェラル用の初期化コードを自動で生成します この各ペリフェラルに対応した初期化コードで ペリフェラルを制御するためにアルテラ社から提供された HAL を利用するための準備や 各ペリフェラルの一般的な理想と考えられる初期状態のレジスタ設定等を行います

More information

Quartus II はじめてガイド ‐ Device and Pin Options 設定方法

Quartus II はじめてガイド ‐ Device and Pin Options 設定方法 ALTIMA Corp. Quartus II はじめてガイド Device and Pin Options 設定方法 ver.10.0 2010 年 9 月 ELSENA,Inc. Quartus II はじめてガイド Device and Pin Options 設定方法 目次 1. はじめに... 3 2. Device and Pin Options の起動... 3 3. Device and

More information

Arria 10におけるプロトコル経由のCvP初期化およびパーシャル・リコンフィギュレーション ユーザーガイド

Arria 10におけるプロトコル経由のCvP初期化およびパーシャル・リコンフィギュレーション ユーザーガイド Arria 10 におけるプロトコル経由の CvP 初期化およびパーシャル リコンフィギュレーションユーザーガイド 更新情報 UG-20010 101 Innovation Drive San Jose, CA 95134 www.altera.com 目次 -2 目次 Arria 10 における CvP の初期化...1-1 CvP システム... 1-1 CvP を使用するメリット... 1-2

More information

1. ボードの複数台制御 コンフィグ ROM から FPGA が起動できる場合を想定しています FPGA 回路には 特定のレジスタアドレスにプリセットしたデータが必要です 製品出荷時のサンプル FPGA 回路では レジスタ No.3 を 8bit 幅に設定し FPGA 外部の 4bit ディップスイ

1. ボードの複数台制御 コンフィグ ROM から FPGA が起動できる場合を想定しています FPGA 回路には 特定のレジスタアドレスにプリセットしたデータが必要です 製品出荷時のサンプル FPGA 回路では レジスタ No.3 を 8bit 幅に設定し FPGA 外部の 4bit ディップスイ LabVIEW 用リファレンス制御アプリケーション RefAppLV の使い方 概要 LabVIEW 開発ツールで設計したリファレンス制御アプリケーションです LabVIEW を所有していないユー ザ環境でも インストーラを利用して RefAppLV.exe を利用することができます 機能 1. 複数台ボード制御 2. USB コンフィグ機能 3. レジスタアクセス機能 4. 拡張レジスタアクセス機能

More information

PPTフォーム(white)

PPTフォーム(white) Spartan-6 概要 株式会社 PALTEK Engineering Group Proprietary to PALTEK CORPORATION 1 アジェンダ Spartan-6 導入 概要 Spartan-6 アーキテクチャ CLB ブロック RAM SelectIO クロック DSP メモリコントローラブロック (MCB) GTP 2 概要 ( ファミリ ) Virtex-6 LXT

More information

PowerPoint Presentation

PowerPoint Presentation VME Embedded System ユーザーズマニュアル ~ Slim VME Embedded ~ Tecstar Page: 1 Agenda 1. VME Embedded System 概要 2. VME Embedded の特徴 3. Embedded Overview 4. VMEファイルとHEXファイルについて 5. Slim VME について 6. Deployment Toolの起動方法について

More information

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration 5. Stratix IV SIV52005-2.0 Stratix IV GX PMA BER FPGA PMA CMU PLL Pphased-Locked Loop CDR 5 1 5 3 5 5 Quartus II MegaWizard Plug-In Manager 5 42 5 47 rx_tx_duplex_sel[1:0] 5 49 logical_channel_address

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 SAU シリアル アレイ ユニット ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ SAU の概要 UART 通信機能のプログラム サンプル紹介 2 SAU の概要 3 SAU の機能 クロック同期式調歩同期式マスタ動作のみ チャネル 0: 送信チャネル 1: 受信 4 UART

More information

TMS320C6455 におけるDDR2 PCBレイアウトの実装

TMS320C6455 におけるDDR2 PCBレイアウトの実装 JAJA082A 2008 年 08 月 TMS320C6454/5 DDR2 PCB レイアウトの実装 アプリケーション技術部 アブストラクトこの文書には TMS320C6454/5に搭載されているDDR2 インターフェイス用の実装方法の説明が含まれています DDR2 インターフェイスに対してタイミングを規定するアプローチは 以前のデバイスとは異なります 以前のアプローチでは データシートでの規定およびシミュレーション

More information

Agilent Technologies N5413A DDR2 Infiniium 9000/90000 Data Sheet DDR2 デザインを迅速にテスト デバッグ 評価 Agilent N5413A DDR2 JEDEC 1 JESD79-2E DDR2 SDRAM Specificati

Agilent Technologies N5413A DDR2 Infiniium 9000/90000 Data Sheet DDR2 デザインを迅速にテスト デバッグ 評価 Agilent N5413A DDR2 JEDEC 1 JESD79-2E DDR2 SDRAM Specificati Agilent Technologies N5413A DDR2 Infiniium 9000/90000 Data Sheet DDR2 デザインを迅速にテスト デバッグ 評価 Agilent N5413A DDR2 JEDEC 1 JESD79-2E DDR2 SDRAM Specification JESD208 Speciality DDR2-1066 SDRAM S p e c i f i

More information

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx MATLAB/Simulink を使用したモータ制御アプリのモデルベース開発事例 ルネサスエレクトロニクス株式会社 第二ソリューション事業本部産業第一事業部家電ソリューション部 Rev. 1.00 2014 Renesas Electronics Corporation. All rights reserved. IAAS-AA-14-0202-1 目次 1. はじめに 1.1 モデルベース開発とは?

More information

増設メモリ 1. 機能 型名 N N N (x1 枚 ) (x1 枚 ) (x1 枚 ) DDR2-800(PC2-6400) 動作クロック 400MHz( 差動 ) 110Ge, 110Ge-S 型名 N N N810

増設メモリ 1. 機能 型名 N N N (x1 枚 ) (x1 枚 ) (x1 枚 ) DDR2-800(PC2-6400) 動作クロック 400MHz( 差動 ) 110Ge, 110Ge-S 型名 N N N810 (2008/11/14) 増設メモリ 1. 機能 型名 N8102-303 N8102-304 N8102-305 (x1 枚 ) (x1 枚 ) (x1 枚 ) DDR2-800(PC2-6400) 動作クロック 400MHz( 差動 ) 110Ge, 110Ge-S 型名 N8102-300 N8102-301 N8102-302 (x1 枚 ) (x1 枚 ) (x1 枚 ) DDR2-800(PC2-6400)

More information

Oracle Un お問合せ : Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよ

Oracle Un お問合せ : Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよ Oracle Un お問合せ : 0120- Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよびSOA 対応データ サービスへ ) を網羅する総合的なデータ統合プラットフォームです Oracle

More information

SimscapeプラントモデルのFPGAアクセラレーション

SimscapeプラントモデルのFPGAアクセラレーション Simscape TM プラントモデルの FPGA アクセラレーション MathWorks Japan アプリケーションエンジニアリング部 松本充史 2018 The MathWorks, Inc. 1 アジェンダ ユーザ事例 HILS とは? Simscape の電気系ライブラリ Simscape モデルを FPGA 実装する 2 つのアプローチ Simscape HDL Workflow Advisor

More information

メモリIPのタイミングの解析、外部メモリ・インタフェース・ハンドブック、olume 2、第10章

メモリIPのタイミングの解析、外部メモリ・インタフェース・ハンドブック、olume 2、第10章 6? 2012? EMI_DG_010-4.1 EMI_DG_010-4.1 f 外 部 メモリ インタフェースは 今 日 の 高 速 メモリ デバイスの 様 々なタイミング 要 件 を 満 たすことを 確 保 することが 困 難 な 場 合 があります アルテラはシステム タイミングのマージンを 最 大 化 するためのソース シンクロナスと 自 己 キャリブ レーション 回 路 の 組 み 合 わせを

More information

増設メモリ 1. 機能 型名 N N N N GB (x1 枚 ) (x1 枚 ) (x1 枚 ) (8GBx1 枚 ) DDR3-1066(PC3-8500) SDRAM-DIMM, Registered,ECC 動作クロック 53

増設メモリ 1. 機能 型名 N N N N GB (x1 枚 ) (x1 枚 ) (x1 枚 ) (8GBx1 枚 ) DDR3-1066(PC3-8500) SDRAM-DIMM, Registered,ECC 動作クロック 53 (2009/07/24) 増設メモリ 1. 機能 型名 N8102-330 N8102-331 N8102-332 N8102-333 8GB (x1 枚 ) (x1 枚 ) (x1 枚 ) (8GBx1 枚 ) DDR3-1066(PC3-8500) SDRAM-DIMM, Registered,ECC 動作クロック 533MHz( 差動 ) 1.5V GT120a,T120a-E,T120a-M

More information

メモリの選択、外部メモリ・インタフェース・ハンドブック、Volume 2、第1章

メモリの選択、外部メモリ・インタフェース・ハンドブック、Volume 2、第1章 6? 2012? EMI_DG_001-5.0 EMI_DG_001-5.0 この 章 では 強 みと 弱 みに 基 づいて 高 速 メモリの 選 択 基 準 の 一 部 について そして これらのメモリとインタフェース 可 能 な 様 々な Altera FPGA デバイスについて 説 明 し ます また この 章 では メモリ コンポーネントの 機 能 を 説 明 し これらのメモ リが 使 用

More information

Quartus II はじめてガイド - Convert Programming File の使い方

Quartus II はじめてガイド - Convert Programming File の使い方 ALTIMA Corp. Quartus II はじめてガイド Convert Programming File の使い方 ver.14 2015 年 1 月 Rev.1 ELSENA,Inc. Quartus II はじめてガイド Convert Programming File の使い方 目次 1. 2. はじめに...3 操作方法...3 2-1. 2-2. 2-3. Convert Programming

More information

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices XAPP858 (v1.1) 2007 1 9 : Virtex-5 FPGA Virtex-5 DDR2 SDRAM : Karthi Palanisamy Maria George (v1.1) DDR2 SDRAM Virtex -5 I/O ISERDES (Input Serializer/Deserializer) ODDR (Output Double Data Rate) DDR2

More information

Quartus II クイック・スタート・ガイド

Quartus II クイック・スタート・ガイド ver.2.0 2010 年 1 月 1. はじめに 弊社では Quartus II をはじめて使用する方を対象に Quartus II はじめてガイド と題した簡易操作マニュアルを提供しています この資料では Quartus II の基本的な作業フローをご案内すると共に 各オペレーションではどの資料を参考にするのが適当かをご紹介しています 2. Quartus II の基本操作フロー 以下の図は

More information

Cyclone III デバイス・ファミリの メモリ・ブロック

Cyclone III デバイス・ファミリの メモリ・ブロック この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください Cyclone III デバイス ファミリ (Cyclone III および Cyclone III LS デバイス ) は アルテラの Cyclone III デバイス ファミリのデザインのオンチップ メモリの要件に対応するエンベデッド

More information

Using High-Performance DDR, DDR2, DDR3 SDRAM with SOPC Builder

Using High-Performance DDR, DDR2, DDR3 SDRAM with SOPC Builder 2008 年 3 月 ver. 1.0 SOPC Builder による高性能 DDR DDR2 DDR3 SDRAM の使用 Application Note 517 はじめに Altera の DDR DDR2 および DDR3 SDRAM 高性能コントローラ MegaCore ファンクションのバージョン 7.1 以降では SOPC Builder をサポートし SOPC Builder システムで

More information

Nios II Flash Programmer ユーザ・ガイド

Nios II Flash Programmer ユーザ・ガイド ver. 8.0 2009 年 4 月 1. はじめに 本資料は Nios II 開発環境においてフラッシュメモリ または EPCS へのプログラミングを行う際の参考マニュアルです このマニュアルでは フラッシュメモリの書き込みの際に最低限必要となる情報を提供し さらに詳しい情報はアルテラ社資料 Nios II Flash Programmer User Guide( ファイル名 :ug_nios2_flash_programmer.pdf)

More information

Quartus II はじめてガイド - Device and Pin Options 設定方法

Quartus II はじめてガイド - Device and Pin Options 設定方法 ALTIMA Corp. Quartus II はじめてガイド Device and Pin Options 設定方法 ver.14 2015 年 3 月 Rev.1 ELSENA,Inc. Quartus II はじめてガイド Device and Pin Options 設定方法 目次 1. 2. 3. はじめに...3 Device and Pin Options の起動...4 Device

More information