回路シミュレータ/MATLABリンク~詳細回路設計におけるシステムレベル検証~

Size: px
Start display at page:

Download "回路シミュレータ/MATLABリンク~詳細回路設計におけるシステムレベル検証~"

Transcription

1 回路シミュレータ /MATLAB リンク ~ 詳細回路設計におけるシステムレベル検証 ~ MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア初井良治 2017 The MathWorks, Inc. 1

2 MathWorks と Cadence 社パートナーシップ OrCAD PSpice 回路設計アナログ デジタル混在の回路シミュレーション 回路設計者 PSpice 回路図作成 部品データベース連携 アナログ デジタル混在回路の解析 モンテカルロ解析 スモーク解析 + MATLAB /Simulink システム設計複合物理領域に跨る制御システム全体のシミュレーション 制御設計者 Simulink 制御ロジック設計 複合物理領域の解析 最適化 レポート生成 アナログ デジタルシステム設計 2D/3D グラフィックス 組込み用コード生成 PCB の設計 実装で 両ツールを組み合わせて活用することで 開発初期段階から システムレベルのシミュレーションを可能にし 生産性の向上 開発品のより早い市場投入に貢献します ケイデンスと MathWorks パートナーシップ ( プレスリリース ) 2

3 MATLAB/Simulink と OrCAD PSPICE の統合環境 3

4 アジェンダ 回路設計におけるシステムレベルシミュレーション MATLAB/Simulink OrCAD PSpice 統合設計環境 まとめ 4

5 アジェンダ 回路設計におけるシステムレベルシミュレーション MATLAB/Simulink OrCAD PSpice 統合設計環境 まとめ 5

6 回路設計 実装の課題 : 開発初期のシステム設計が不十分 改善策 : 開発工程間の壁を無くし 個々の詳細設計に入る前にシステムの機能 性能の検討に注力 要求仕様書 例 ) アナログ素子の機能検証 設計検証テストベンチを自作の工数およびテストが個人依存 設計 実装 デジタル 設計 実装 アナログ 例 ) 要求仕様書とテスト & 検証 設計バグに加えて 仕様バグが混入し テストでの切り分けが困難 C/C++ HDL SPICE 例 ) デジタル / アナログの設計 実装 別ツールを統合してトレードオフなどの検証が困難 システム統合 テスト & 検証 例 ) システム全体の統合テスト 最終テストでの問題発覚により大きな手戻り発生 デジタル HW アナログ HW 6

7 回路設計 実装のソリューション : MATLAB/Simulinkによるシステム設計 指令値 + コントローラ ( 制御 監視 診断 ) プラント ( 機械 電気 油圧 熱など ) - - PID if (..) x = else x = 制御 S3 S1 S2 機械油圧電気 熱空気圧磁気 N S MATLAB/Simulink 制御量 高機能 高性能 省エネ 高効率 安全性 コスト など C コード HDL コード フローチャート状態遷移図状態遷移表 数式 既存 C コード MCU DSP FPGA 周期 / 非周期の制御ロジック 数式 物理コンポーネント 実験データ 1. 様々なモデリング手法を混在 ( 本質を捉えたシンプルなモデルを作成 ) 2. モデルのシミュレーション ( デジタル アナログを混在した解析 ) 3. モデルからコードを自動生成 ( コーディングのエラー 工数を削減 ) 7

8 回路設計 実装のソリューション : MATLAB/SimulinkとPSpiceによるシステム設計 検証 指令値 + コントローラ ( 制御 監視 診断 ) プラント ( 機械 電気 油圧 熱など ) - - PID if (..) x = else x = 制御 S3 S1 S2 機械油圧電気 熱空気圧磁気 N S MATLAB/Simulink 制御量 高機能 高性能 省エネ 高効率 安全性 コスト など C コード HDL コード フローチャート状態遷移図状態遷移表 数式 既存 C コード MCU DSP FPGA 周期 / 非周期の制御ロジック 数式 OrCAD 物理コンポーネント PSpice 実験データ 1. 様々なモデリング手法を混在 ( 本質を捉えたシンプルなモデルを作成 ) 2. モデルのシミュレーション ( デジタル アナログを混在した解析 ) 3. モデルからコードを自動生成 ( コーディングのエラー 工数を削減 ) 8

9 MATLAB/Simulink のデモ : ブラシレスモータ制御システムのシステム設計 電気 駆動回路 3 相モータ負荷機械 機械 制御 モータ制御 ゲート信号 ( 6) モータの状態 ( 電流 速度 ) 目標速度 9

10 MATLAB/Simulink のデモ : ブラシレスモータ制御システムのシステム設計 10

11 システムレベルシミュレーションの適用例 ハイブリッド自動車 パワーウィンドウ パワーステアリング バッテリー デュアル クラッチ トランスミッション 11

12 物理モデリング支援ツール (Simscape ) パワエレ / 電力系統動力伝達 (1D 駆動系 ) 回路図ベースの複合物理モデリング Simscape Power Systems Simscape Driveline 電子回路 ( アナログ / デジタル / センサ ) 熱流体 ( 油圧回路 ) 機構 (3D マルチボディ系 ) Simscape Electronics Simscape Fluids Simscape Multibody 12 各物理コンポーネントの振る舞いは一般的な物理式に基づく ( オリジナルのカスタムコンポーネントの作成も可能 ) 12

13 設計用途に合わせた詳細度の半導体素子モデルを使う 制御設計 回路設計 ゲート信号 ON(1), OFF(0) 等価回路モデル 詳細度低 ゲート駆動回路電圧が閾値以上で ON ヒートシンク Simscape の熱回路モデル 半導体物性モデル 詳細度高 Simscape Power Systems Simscape Electronics 13

14 アジェンダ 回路設計におけるシステムレベルシミュレーション MATLAB/Simulink OrCAD PSPICE 統合設計環境 まとめ 14

15 PSpice と MATLAB の連携機能 (4 つ ) 新機能 1 多種多様なグラフィックス機能 PSpice の解析結果をよりビジュアルにするために MATLAB のグラフィックス プログラミング機能を利用 新機能 3 MATLAB/Simulink のシステムモデルと PSpice の詳細回路モデルの協調解析 システム全体 ( 詳細回路 制御や機械 油圧など ) の機能 性能を机上検証するために 協調解析を利用 PSpice-MATLAB Visualization Interface MATLAB Simulink PSpice co-simulation interface. PSpice Systems Option Evaluate MATLAB functions in PSpice Import MATLAB module as simulation model in PSpice using PSpice DMI 新機能 2 カスタムコンポーネント作成支援 PSpice のカスタムコンポーネントをより手早く簡単に作成するために MATLAB 言語を利用 新機能 4 PSpice の詳細回路モデルのテストベンチ作成 PSpice の詳細回路の検証をするために MATLAB/Simulink のシステムモデルを C コード化してインポートする機能を利用 15

16 機能 1: 多種多様なグラフィックス機能 PSpice-MATLAB Visualization Interface Evaluate MATLAB functions in PSpice PSpice Systems Option MATLAB Simulink PSpice co-simulation interface. Import MATLAB module as simulation model in PSpice using PSpice DMI 16

17 機能 1: 多種多様なグラフィックス機能 < 従来 > PSpice の回路解析では 主に時間応答 周波数応答を表示 回路設計者 PSpice < 新機能 > MATLAB の 2D/3D 可視化 プログラミング機能を活用することで 回路解析に役立つ多種多様なグラフを簡便かつ自動で表示 1 多種多様な 2D/3D のプロット関数 2 プログラムによる処理の自動化 3 ユーザ所望の形式でグラフ表示 17

18 表示例 AC 解析結果の極座標表示 18

19 デモ AC 解析結果を MATLAB の機能でレポート生成 PSpice 回路 PSpice 結果解析データ 19

20 新機能 2: カスタムコンポーネント作成支援 PSpice-MATLAB Visualization Interface Evaluate MATLAB functions in PSpice PSpice Systems Option MATLAB Simulink PSpice co-simulation interface. Import MATLAB module as simulation model in PSpice using PSpice DMI 20

21 新機能 2: カスタムコンポーネント作成支援 < 従来 > PSpice でカスタムコンポーネントを自作するには 専門スキルが必要 回路設計者 PSpice カスタムコンポーネントを プリミティブモデル (.MODEL で定義 ) サブサーキットモデル (.SUBCKT で定義 ) などを使って 自作する必要がある < 新機能 > PSpice 特有のカスタムコンポーネントの作成方法を意識せずに MATLAB を使って手早く簡単にカスタムコンポーネントを作成 ( 例 ) 理想ダイオードモデルの記述例.SUBCKT SimpleDiode 1 2 R D1 3 2 DMOD1.MODEL DMOD1 D(Is=1e-13 Rs=0.1).ENDS SimpleDiode カスタムコンポーネントの特性を表す数式を記述 条件分岐処理 (if 文 ) MATLAB 関数 コメント文 21

22 PSpice 上で MATLAB 関数 ビヘイビアモデル PSpice によるシミュレーションでの MATLAB 関数の利用 電圧制御電圧源 電圧制御電流源に MATLAB 関数を割り当て 22

23 PSpice 上で MATLAB 関数 ビヘイビアモデル PSpice によるシミュレーションでの MATLAB 関数の利用 電圧制御電圧源 電圧制御電流源に MATLAB 関数を割り当て 10V 0V -10V 4.0V V(M_OUT) V(ABM3:OUT) V(ABM3:IN) 0V -4.0V 1.0V V(ABM4:OUT) V(ABM4:IN) 0V SEL>> -1.0V 0s 50us 100us 150us 200us 250us 300us V(ABM3:OUT) V(ABM3:IN) Time 23

24 PSpice 上で MATLAB 関数 評価メジャメント MATLAB 関数を PSpice の評価メジャメントに利用 24

25 機能 3: MATLAB/Simulink のシステムモデルと PSpice の詳細回路モデルの協調解析 PSpice-MATLAB Visualization Interface Evaluate MATLAB functions in PSpice PSpice Systems Option MATLAB Simulink PSpice co-simulation interface. Import MATLAB module as simulation model in PSpice using PSpice DMI 25

26 機能 3:MATLAB/Simulink のシステムモデルと PSpice の詳細回路モデルの協調解析 < 従来 > PSpice による回路解析だけでは 制御や機械 油圧などと組合せてシステム全体を俯瞰して システムの機能 性能の検証ができない 回路設計者 PSpice 回路にフォーカスした解析 < 新機能 > MATLAB/Simulink と PSpice を繋げるインターフェースを活用することで 複合物理領域のシステム全体の機能 性能を検証できて モデル化した全てのコンポーネントの過渡応答を詳細に把握できる 制御設計者 Simulink 制御 機械 回路設計者 PSpice 電気 PSpice エンジン MATLAB/Simulink エンジン 26

27 MATLAB/Simulink-PSpice 協調解析 : 概要 MATLAB / Simulink 上で構成されたシステムモデル中に OrCAD PSpice 上で構成された電気回路モデルを挿入 Simulink によるシステムシミュレーションを実行する際に アナログ電気詳細回路の振る舞いを考慮したシミュレーションが可能 27

28 MATLAB/Simulink-PSpice 協調解析 : フロー紹介ブラシレスモータ制御システムの回路検証 電気 駆動回路 3 相モータ負荷機械 機械 制御 モータ制御 ゲート信号 ( 6) モータの状態 ( 電流 速度 ) 目標速度 28

29 MATLAB/Simulink-PSpice 協調解析 : フロー紹介 29

30 MATLAB/Simulink-PSpice 協調解析 : フロー紹介 30

31 MATLAB/Simulink-PSpice 協調解析 : フロー紹介 詳細デバイスモデル (IGBT) 31

32 MATLAB/Simulink-PSpice 協調解析 : フロー紹介 32

33 MATLAB/Simulink-PSpice 協調解析 : 解析結果 Simulink モデル PSpice モデル 33

34 機能 4:PSpice 向けモデル生成 PSpice-MATLAB Visualization Interface Evaluate MATLAB functions in PSpice PSpice Systems Option MATLAB Simulink PSpice co-simulation interface. Import MATLAB module as simulation model in PSpice using PSpice DMI 34

35 機能 4:PSpice 向けモデル生成 Simulink から C コード生成 +DMI 向けラッパーコード ( カスタマイズ機能 ) < 従来 > PSpice で設計した詳細回路モデルを 別部署で作った制御モデルと組合せたときに 回路が所望の振舞いをするかを PSpice 環境だけで検証できない < 新機能 > 別部署のエンジニアが MATLAB/Simulink で作った制御モデルを C コードに変換して PSpice 環境に取り込む機能を活用することで PSpice 環境だけで制御と回路を含む動作の検証ができる 制御設計者 Simulink C + - 制御 回路 + - 制御 回路 回路設計者 PSpice 回路設計者 PSpice 35

36 PSpice 向け DMI 向けモデル生成 ( カスタマイズ機能 ) Simulink PSpice C コード DMI ラッパーコード Embedded Coder ライセンスを使用 36

37 デジタル制御モデルを活用 : デモ 37

38 PSpice と MATLAB の連携機能 (4 つ ) PSpice-MATLAB Visualization Interface Evaluate MATLAB functions in PSpice PSpice Systems Option MATLAB Simulink PSpice co-simulation interface. Import MATLAB module as simulation model in PSpice using PSpice DMI 38

39 MATLAB/Simulink Cadence Virtuoso リンク環境 Simulink モデルを Virtuoso 環境で活用 HDL Verifier の SystemVerilog DPI-C モデル生成機能 Simulink モデルを Virtuoso 上でビヘイビアモデルで活用 信号源デルタシグマ変調器デシメーションフィルタ SystemVerilog DPI-C 生成機能 Virtuoso ADE 環境上で MATLAB の解析機能を活用 Virtuoso ADE MATLAB Integration 機能 : MATLAB の豊富な解析 可視化の関数 レポート生成機能を活用 MATLAB 関数利用 Virtuoso ADE MATLAB Integration 機能 39

40 HDL Verifier SystemVerilog DPI-C 生成機能 HDL Verifier の SystemVerilog DPI-C モデル生成機能 AMS シミュレータ上で Simulink モデルを ビヘイビアモデルとして アナログ デジタル ( ミックスドシグナル ) 検証で活用 HDL シミュレータ上で Simulink モデルを検証テストベンチで活用 指令信号 コントローラ モーター C コード生成 SystemVerilog Stimulus C テストベンチ SystemVerilog DPIC Response HDL Verifier C コード 入力 出力 指令信号 コントローラ モーター AMS シミュレータ HDL シミュレータ 40

41 MATALB Virtuoso ADE リンク MATLAB から ADE の結果にアクセス MATLAB のインタラクティブセッションを起動して ADE 結果データにアクセス ADE のデータにアクセスする為のコマンドを用意 MATLAB 上で各種関数 スクリプトをデバックしながら作成 関数は ADE 上から使用可能 41

42 MATALB Virtuoso ADE リンク MATLAB 関数を ADE 上で使用 MATLAB の関数利用 結果データからレポート生成 ADE 結果データを MATLAB から読み込み MATLAB 上スクリプトをエディットしてデバック その後に ADE 上で使用 42

43 アジェンダ 回路設計におけるシステムレベルシミュレーション MATLAB/Simulink OrCAD PSPICE 統合設計環境 まとめ 43

44 まとめ MATLAB/Simulink の環境を OrCAD PSpice で回路設計と組合せて活用することで 開発初期段階から詳細回路設計段階までシステム全体を俯瞰した PCB システム設計作業を行うことができます ぜひ 両ツールを組合せたソリューションを 一度お試し下さい OrCAD PSpice 回路設計アナログ デジタル混在の回路シミュレーション MATLAB /Simulink システム設計複合物理領域に跨る制御システム全体のシミュレーション 回路設計者 PSpice 回路図作成 部品データベース連携 アナログ デジタル混在回路の解析 モンテカルロ解析 スモーク解析 + 制御設計者 Simulink 制御ロジック設計 複合物理領域の解析 最適化 レポート生成 2D/3D グラフィックス 組込み用コード生成 44

45 Next Steps : 展示ブースへ是非お越し下さい MATLAB/ADE リンク環境デモ 通信 AMS (MATLAB/PSpice リンク環境 ) AMS Designer リンク環境 MATLAB 関数利用 MATLAB/PSpice リンク環境デモ PSpice- MATLAB Visualization Interface MATLAB Simulink PSpice cosimulation interface. PSpice System s Option Evaluate MATLAB functions in PSpice Import MATLAB module as simulation model in PSpice using PSpice DMI Cadence 社展示 MATLAB/PSpice リンク環境 MATLAB/ADE リンク環境 45

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx MATLAB/Simulink を使用したモータ制御アプリのモデルベース開発事例 ルネサスエレクトロニクス株式会社 第二ソリューション事業本部産業第一事業部家電ソリューション部 Rev. 1.00 2014 Renesas Electronics Corporation. All rights reserved. IAAS-AA-14-0202-1 目次 1. はじめに 1.1 モデルベース開発とは?

More information

SimscapeプラントモデルのFPGAアクセラレーション

SimscapeプラントモデルのFPGAアクセラレーション Simscape TM プラントモデルの FPGA アクセラレーション MathWorks Japan アプリケーションエンジニアリング部 松本充史 2018 The MathWorks, Inc. 1 アジェンダ ユーザ事例 HILS とは? Simscape の電気系ライブラリ Simscape モデルを FPGA 実装する 2 つのアプローチ Simscape HDL Workflow Advisor

More information

AMS Expoコンテンツ

AMS Expoコンテンツ MATLAB /Simulink を活用した電源システム設計フロー紹介 MathWorks Japan アプリケーションエンジニアリング部初井良治 2013 The MathWorks, Inc. 1 内容 MATLAB /Simulink アナログ ミックスドシグナルシステム設計フロー 適用事例 ( アナログ ミックスドシグナル ) システム設計フロー MATLAB /Simulink の利点 MATLAB

More information

モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサ

モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサ モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサンルーフなどのボディー系 電動パワーステアリングやそのアシスト機能など 高度な制御 大電流の制御などが要求されています

More information

Presentation Title

Presentation Title Simulink R / Stateflow R 入門 MathWorks Japan アプリケーションエンジニアリング部 小林昇洋 2016 The MathWorks, Inc. 1 本セッションで対象としている方々 Q : Simulink / Stateflow は使ったことがない どんなツールなのか? A : お使いいただいているシーン 理由などご紹介します 2 Simulink とは?

More information

f2-system-requirement-system-composer-mw

f2-system-requirement-system-composer-mw Simulink Requirements と新製品 System Composer によるシステムズエンジニアリング MathWorks Japan アプリケーションエンジニアリング部大越亮二 2015 The MathWorks, Inc. 1 エンジニアリングの活動 要求レベル システムレベル 要求分析 システム記述 表現 高 システム分析 システム結合 抽象度 サブシステム コンポーネントレベル

More information

Presentation Title

Presentation Title コード生成製品の普及と最新の技術動向 MathWorks Japan パイロットエンジニアリング部 東達也 2014 The MathWorks, Inc. 1 MBD 概要 MATLABおよびSimulinkを使用したモデルベース デザイン ( モデルベース開発 ) 紹介ビデオ 2 MBD による制御開発フローとコード生成製品の活用 制御設計の最適化で性能改善 設計図ですぐに挙動確認 MILS:

More information

制御のためのSimulink入門

制御のためのSimulink入門 今から始める Simulink 入門 ~ 制御編 ~ MathWorks Japan アプリケーションエンジニアリング部アプリケーションエンジニア張莉 2013 The MathWorks, Inc. 1 What is Simulink? 2 Why use Simulink? 例 : アポロ月着陸船のデジタル自動操縦の開発 3 Simulink を使うと? 当時の設計者が自ら Simulink

More information

MATLAB/SimulinkによるAMS活用事例 ~Mixed-Signal Library 2.0のご紹介~

MATLAB/SimulinkによるAMS活用事例 ~Mixed-Signal Library 2.0のご紹介~ MATLAB/Simulink による AMS 活用事例 ~Mixed-Signal Library 2.0 のご紹介 ~ MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア竹本佳充 2012 The MathWorks, Inc. 1 Agenda 1. AMS 設計活用事例 I. ミックスドシグナルトップダウン設計 II. MATLAB/Simulinkの導入メリット

More information

Microsoft PowerPoint - 01_Vengineer.ppt

Microsoft PowerPoint - 01_Vengineer.ppt Software Driven Verification テストプログラムは C 言語で! SystemVerilog DPI-C を使えば こんなに便利に! 2011 年 9 月 30 日 コントローラ開発本部コントローラプラットフォーム第五開発部 宮下晴信 この資料で使用するシステム名 製品名等は一般にメーカーや 団体の登録商標などになっているものもあります なお この資料の中では トレードマーク

More information

HEVの車両全体シミュレーションによるシステム最適化

HEVの車両全体シミュレーションによるシステム最適化 HEV の車両全体シミュレーションによるシステム最適化 MathWorks Japan アプリケーションエンジニアリング部アプリケーションエンジニア張莉 2016 The MathWorks, Inc. 1 マルチモードハイブリッド自動車 Engine Mode EV Mode SHEV Mode 1. Higuchi, N., Sunaga, Y., Tanaka, M., Shimada, H.:

More information

SimulinkによるReal-Time Test環境の構築

SimulinkによるReal-Time Test環境の構築 Simulink モデルを使ったリアルタイムテスト環境の構築 MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア高島博 2012 The MathWorks, Inc. 1 はじめに Simulink はバーチャルなテスト環境 2 はじめに Simulink はバーチャルなテスト環境 3 はじめに Simulink はバーチャルなテスト環境 シミュレーション開始ボタンをクリック

More information

機能検証トレーニング コース一覧

機能検証トレーニング コース一覧 機能検証トレーニング コース一覧 日本シノプシス合同会社 2016.03 トレーニング コース一覧 VCS/DVE 基本コース VCS-NLP/VC LP 基本コース VC Verification IP AXI 基本コース (UVM 版 ) VC Verification IP USB 基本コース (UVM 版 ) Verdi 3 基本コース SpyGlass Lint コース SpyGlass

More information

CANapeを用いたラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発

CANapeを用いたラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発 ape を用いたラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発 近年 自動車のソフトウェア開発において 開発期間の短縮やコスト削減の面からモデルベース開発が注目されています アイシン エィ ダブリュ株式会社は ラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発にベクターの測定 / キャリブレーションツール ape ( キャナピー ) を導入しました 本稿では

More information

Introduction to System Identification

Introduction to System Identification y(t) モデルベースデザイン 制御系設計のためのシステム同定入門 s 2 Teja Muppirala t s 2 3s 4 2012 The MathWorks, Inc. 1 モデルベースデザイン 正確なモデルがあることが大前提 実行可能な仕様書 シミュレーションによる設計 モデル 連続したテスト 検証 コード生成による実装 2 動的システムのモデリング モデリング手法 第一原理モデリング データドリブンモデリング

More information

回路シミュレーションに必要な電子部品の SPICE モデル 回路シミュレータでシミュレーションを行うためには 使用する部品に対応した SPICE モデル が必要です SPICE モデルは 回路のシミュレーションを行うために必要な電子部品の振る舞い が記述されており いわば 回路シミュレーション用の部

回路シミュレーションに必要な電子部品の SPICE モデル 回路シミュレータでシミュレーションを行うためには 使用する部品に対応した SPICE モデル が必要です SPICE モデルは 回路のシミュレーションを行うために必要な電子部品の振る舞い が記述されており いわば 回路シミュレーション用の部 当社 SPICE モデルを用いたいたシミュレーションシミュレーション例 この資料は 当社 日本ケミコン ( 株 ) がご提供する SPICE モデルのシミュレーション例をご紹介しています この資料は OrCAD Capture 6.( 日本語化 ) に基づいて作成しています 当社 SPICE モデルの取り扱いに関するご注意 当社 SPICE モデルは OrCAD Capture/PSpice 及び

More information

Microsoft PowerPoint - EMPro_ADS_co_design_draft.ppt [互換モード]

Microsoft PowerPoint - EMPro_ADS_co_design_draft.ppt [互換モード] 3 次元電磁界シミュレータ (EMPro) と 回路シミュレータ (ADS) との効率的な協調解析事例のご紹介 Page 1 EMPro 2010 3 次元電磁界解析専用プラットフォーム 3 次元形状入力に特化した操作性 Windows & Linux 対応 多くの 3D CAD フォーマットの Import をサポート Fastest, t Highest Capacity 3 次元フルウェーブ電磁界シミュレーション

More information

Library for Cadence OrCAD Capture ユーザマニュアル 2018 年 7 月 株式会社村田製作所 Ver.1.0 Copyright Murata Manufacturing Co., Ltd. All rights reserved. 10 July

Library for Cadence OrCAD Capture ユーザマニュアル 2018 年 7 月 株式会社村田製作所 Ver.1.0 Copyright Murata Manufacturing Co., Ltd. All rights reserved. 10 July Library for Cadence OrCAD Capture ユーザマニュアル 2018 年 7 月 株式会社村田製作所 Ver.1.0 10 July 2018 目次 1. 本マニュアルについて 2.( 前準備 ) ライブラリの解凍と保存 3. プロジェクトの作成 4. シミュレーションプロファイルの作成 5.LIBファイルの登録 6.OLBファイルの登録 7. コンデンサのインピーダンス計算例

More information

Presentation Title

Presentation Title 介護 福祉 医療機器開発におけるモデルベースデザイン MathWorks Japan Consulting Service 鳥居荘太 2016 The MathWorks, Inc. 1 MBD 成熟度 はじめに 本セッションのフォーカス MBD の効果確認済みのお客様 これから MBD を始めるお客様 すでに MBD 導入済みのお客様 システム開発経験有り / 無し 時間 2 アジェンダ 1. ソフトウェア開発と認証

More information

CW6_A1441_15_D06.indd

CW6_A1441_15_D06.indd 技術紹介 EPS 用 ECU 試作開発における MBD の適用 小林将之 1 はじめに 従来の組込み制御システム開発の多くは, ドキュメントベースの設計とハンドコーディングにより行われてきた. しかしながら, 自動車分野を中心に電子制御システムの高性能 多機能化が進む一方, 高品質 低コストかつ開発期間の短縮化が要求されている.KYBの代表的な電子制御システムの一つである電動パワーステアリング (

More information

Presentation Title

Presentation Title ( ) 2017 The MathWorks, Inc. 1 新型乗用車の CO2 規制の比較 出典 :the International Council on Clean Transportation 2 自動車台数は今後も増加 多くの割合は内燃機関を使用 OEM 各社はコンセプト 想定ターゲットに応じて最適なパワートレインを選択 3 厳しい燃費規制に対応必至 従来の燃焼エンジンからフルバッテリのモータまで

More information

NSW キャリア採用募集職種一覧 2018/8/16 現在 求人番号 職種対象業務必要とするスキル 経験 資格等勤務地 1 営業スペシャリスト金融 ( 損保 生保 クレジット ) 業でのソリューション営業 IT 業界での営業経験 金融業界 IT 業界での人脈がある方尚可 渋谷 2 プロジェクトマネー

NSW キャリア採用募集職種一覧 2018/8/16 現在 求人番号 職種対象業務必要とするスキル 経験 資格等勤務地 1 営業スペシャリスト金融 ( 損保 生保 クレジット ) 業でのソリューション営業 IT 業界での営業経験 金融業界 IT 業界での人脈がある方尚可 渋谷 2 プロジェクトマネー NSW キャリア採用募集職種一覧 2018/8/16 現在 1 営業スペシャリスト金融 ( 損保 生保 クレジット ) 業でのソリューション営業 IT 業界での営業経験 金融業界 IT 業界での人脈がある方尚可 2 プロジェクトマネージャシステム開発またはインフラ構築のプロジェクトマネージャ プロジェクトマネージャ経験 PMP の資格保有者 高度情報処理試験資格保有者尚可 3 プロジェクトマネージャ生保または損保システム開発のプロジェクトマネージャ

More information

f3-power-train-simulation-mw

f3-power-train-simulation-mw 車両全体シミュレーションを活用しよう 電動パワートレインシステム開発を例題に MathWorks Application Engineering 宮川浩 2015 The MathWorks, Inc. 1 電動パワートレインの選択 モータは一つ パラレルハイブリッド モータをどこに置くのがベストでしょうか? 燃費がより良いのは? 加速性能がより良いのは? 3 電動パワートレイン比較結果 P0 P1

More information

目次 ペトリネットの概要 適用事例

目次 ペトリネットの概要 適用事例 ペトリネットを利用した状態遷移テスト 和田浩一 東京エレクトロン SDC FA グループ 目次 ペトリネットの概要 適用事例 ペトリネットの概要 - ペトリネットとは ペトリネット (Petri Net) とは カール アダム ペトリが 1962 年に発表した離散分散システムを数学的に表現する手法である 視覚的で 数学的な離散事象システムをモデル化するツールの一つである ペトリネットの概要 - ペトリネットの表記と挙動

More information

Presentation Title

Presentation Title Simulink 環境で実施する ADAS( 先進運転支援システム ) 実験 MathWorks Japan アプリケーションエンジニアリング部制御 村上直也 2015 The MathWorks, Inc. 1 Agenda はじめに 弊社 ADAS 環境関連紹介 弊社 ADAS 関連製品を使用した DEMO ADAS 関連開発適用事例 まとめ 2 はじめに ADAS システムは今後もますます普及が進むと考えられる

More information

AMS Expoコンテンツ

AMS Expoコンテンツ 高速伝送路での信号特性の解析 ~ 新しい MATLAB /Simulink の使い方 ~ MathWorks Japan アプリケーションエンジニアリング部初井良治 2014 The MathWorks, Inc. 1 Demo MATLAB/Simulink 高速伝送特性シミュレーション DFE CDR ビット生成プリエンファシス伝送路特性データ 8B10Bエンコーダ アナログイコライザ 8B10B

More information

Managing and Sharing MATLAB Code

Managing and Sharing MATLAB Code MATLAB 入門 ~ 開発向けプログラミング編 ~ MathWorks Japan アプリケーションエンジニアリング部テクニカルコンピューティング 大開孝文 2015 The MathWorks, Inc. 1 プログラミング言語としての MATLAB 2014 年 7 月 IEEE Spectrum による プログラミング言語の人気調査 (12 種類の項目での結果 ) 結果 : MATLAB が

More information

<4D F736F F F696E74202D D4C82F08A B582BD A A F2E707074>

<4D F736F F F696E74202D D4C82F08A B582BD A A F2E707074> SysML を活用したシステムエンジニアリング オージス総研組み込みソリューション部 1 アジェンダ 概要編なぜシステムエンジニアリングかシステムエンジニアリングとはシステムエンジニアリングとモデリング言語 SysML の特徴実践編機能要求を検討する要求を仕様化する振る舞いを検討する構造を検討する論理ブロックを物理ブロックに割り当てる性能を検討するまとめ 2 概要編 : なぜシステムエンジニアリングか

More information

PowerPoint Presentation

PowerPoint Presentation Embedded CFD 1D-3D 連成によるエンジンコンパートメント熱収支解析手法の提案 June 9, 2017 . アジェンダ Embedded CFD 概要 エンコパ内風流れデモモデル 他用途への適用可能性, まとめ V サイクルにおける,1D-3D シミュレーションの使い分け ( 現状 ) 1D 機能的表現 企画 & 初期設計 詳細 3D 形状情報の無い段階 1D 1D 空気流れ計算精度に限度

More information

ACモーター入門編 サンプルテキスト

ACモーター入門編 サンプルテキスト 技術セミナーテキスト AC モーター入門編 目次 1 AC モーターの位置付けと特徴 2 1-1 AC モーターの位置付け 1-2 AC モーターの特徴 2 AC モーターの基礎 6 2-1 構造 2-2 動作原理 2-3 特性と仕様の見方 2-4 ギヤヘッドの役割 2-5 ギヤヘッドの仕様 2-6 ギヤヘッドの種類 2-7 代表的な AC モーター 3 温度上昇と寿命 32 3-1 温度上昇の考え方

More information

Microsoft PowerPoint - EXPO2012_AKASAKA_rev.2.pptx

Microsoft PowerPoint - EXPO2012_AKASAKA_rev.2.pptx リアルタイム制御環境を活用したフィードバック制御系設計実践 MathWorks Japan アプリケーションエンジニアリング部アプリケーションエンジニア赤阪大介 212 The MathWorks, Inc. 1 本講演では.. ギャップ? - フィードバック制御器の設計 設計 シミュレーション リアルタイム制御テスト ( ラピッドプロトタイピング ) 実機環境を活用し 制御系設計を効率的に行うには?

More information

2008年度 設計手法標準化アンケート 集計結果

2008年度 設計手法標準化アンケート 集計結果 2011 年度 設計手法普及調査アンケート 集計経過報告 2012 年 2 月社団法人組込みシステム技術協会状態遷移設計研究会 目次 1. アンケート実施の目的 3 2. アンケートの実施対象 4 3. アンケート回答数 5 4. 実施したアンケートの内容 6 5. アンケート回答者の構成 8 6. アンケート集計結果 9 6.1 回答者の担当製品分野について 10 6.2 回答者の部門について 11

More information

Simulinkモデル開発における工夫事例

Simulinkモデル開発における工夫事例 MBD 中部コンファレンス PMA2:MATLAB 開発 Simulink モデル開発における 工夫事例 2014 年 12 月 18 日オムロンオートモーティブエレクトロニクス株式会社開発統括室ボディコントロールシステム開発部町井紀善 はじめに Simulink の導入 開発手法 環境をそれぞれの事情 ( 会社 部署 個人 開発アイテム ビジネスモデル 等 ) に合せ込むことで効率化を実現します

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション MATLAB 2008 5 22 Quick history - Cleve Moler s original MATLAB program Quick history The MathWorks in 1984 Cleve Moler Jack Little MATLAB/Simulink MATLAB 80 / MATLAB Toolbox 100 Simulink Blockset Stateflow

More information

製品開発の現場では 各種のセンサーや測定環境を利用したデータ解析が行われ シミュレーションや動作検証等に役立てられています しかし 日々収集されるデータ量は増加し 解析も複雑化しており データ解析の負荷は徐々に重くなっています 例えば自動車の車両計測データを解析する場合 取得したデータをそのまま解析

製品開発の現場では 各種のセンサーや測定環境を利用したデータ解析が行われ シミュレーションや動作検証等に役立てられています しかし 日々収集されるデータ量は増加し 解析も複雑化しており データ解析の負荷は徐々に重くなっています 例えば自動車の車両計測データを解析する場合 取得したデータをそのまま解析 ホワイトペーパー Excel と MATLAB の連携がデータ解析の課題を解決 製品開発の現場では 各種のセンサーや測定環境を利用したデータ解析が行われ シミュレーションや動作検証等に役立てられています しかし 日々収集されるデータ量は増加し 解析も複雑化しており データ解析の負荷は徐々に重くなっています 例えば自動車の車両計測データを解析する場合 取得したデータをそのまま解析に使用することはできず

More information

物理モデリングツールによる モデリングとシミュレーション入門

物理モデリングツールによる モデリングとシミュレーション入門 Simscape TM による物理モデリング入門 ~Simscape + Sim シリーズの紹介 ~ MathWorks Japan アプリケーションエンジニア 渡辺修治 基本ツール MATLAB Simulink Stateflow 便利ツール Simulink Coder TM Simulink Control Design TM Simulink Design Optimization TM

More information

Microsoft Visual Studio 2010 Professional Data Sheet

Microsoft Visual Studio 2010 Professional Data Sheet Microsoft Visual Studio 2010 Professional はビジネスの要件やユーザ ーのニーズに最適なアプリケーションを選択し それを構築するために必須の機能を提供します RIA ベースのリッチな Web アプリケーション SharePoint ベースの高度な Web ポータル Windows Azure ベースのクラウドアプリケーションなど 最新テクノロジに対応したアプリケーションを既存の知識や経験を活かして開発することができます

More information

PowerPoint Presentation

PowerPoint Presentation Simulink +Unreal Engine 連携による ADAS/ 自動運転シミュレーション環境 MathWorks Japan アプリケーションエンジニアリング部宮川浩 2015 The MathWorks, Inc. 1 Session Key Take Away MathWorks は 自動運転システム開発に必要な フルビークルモデルを提供します 3 自動車業界のメガトレンド Connectivity

More information

Oracle Un お問合せ : Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよ

Oracle Un お問合せ : Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよ Oracle Un お問合せ : 0120- Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよびSOA 対応データ サービスへ ) を網羅する総合的なデータ統合プラットフォームです Oracle

More information

Presentation Title

Presentation Title モデルベースによるハードウェア開発のメリットと適用例 MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア松本充史 1 アジェンダ FPGA/ASIC 設計と関連 MATLAB プロダクト ユーザ事例 : 設備監視装置用 FPGA モータ制御用 IC 画像処理 IC リアルタイム画像処理テストベンチ環境 Simulink HDL Coder TM の最新機能紹介

More information

MATLAB EXPO 2019 Japan プレゼン資料の検討

MATLAB EXPO 2019 Japan プレゼン資料の検討 自動運転向けソフトウェア Autoware と MATLAB /Simulink の連携 ~ 事例紹介 ~ 2019 年 5 月 28 日株式会社ネクスティエレクトロニクス SW 開発部技術開発グループ太田徳幸 Copyright TOMEN Electronics Corp. 目次 2/31 1. 会社概要 2. Autoware Toolbox 紹介 1. 取り組み背景 2. Autoware

More information

Microsoft PowerPoint - 集積回路工学(5)_ pptm

Microsoft PowerPoint - 集積回路工学(5)_ pptm 集積回路工学 東京工業大学大学院理工学研究科電子物理工学専攻 松澤昭 2009/0/4 集積回路工学 A.Matuzawa (5MOS 論理回路の電気特性とスケーリング則 資料は松澤研のホームページ htt://c.e.titech.ac.j にあります 2009/0/4 集積回路工学 A.Matuzawa 2 インバータ回路 このようなインバータ回路をシミュレーションした 2009/0/4 集積回路工学

More information

Microsoft PowerPoint - D2_NEC福井様_発表資料 pptx

Microsoft PowerPoint - D2_NEC福井様_発表資料 pptx MATLAB EXPO 2014 アルゴリズムと RTL を統合した 検証プラットフォームの 開発と適用 2014 年 10 月 29 日 NECプラットフォームズ ( 株 ) 福井祥布 MathWorks Japan 柴田克久 - アジェンダ - 1. はじめに NEC プラットフォームズ ( 株 ) の紹介 by 福井 モデルベースデザインによる FPGA/ASIC 開発 by 柴田 2. ソフトウエア無線の設計技術動向

More information

TDK Equivalent Circuit Model Library

TDK Equivalent Circuit Model Library TDK SPICE Netlist Library を OrCAD Capture,PSpice で使用する方法 TDK 株式会社アプリケーションセンター江畑克史 Oct. 01, 2008 AN-NL08B002_ja はじめに TDK では, 各種受動電子部品の SPICE モデル集 TDK SPICE Netlist Library を公開しております. TDK SPICE Netlist Library

More information

MATLAB/Simulinkによる無人航空機の設計・開発

MATLAB/Simulinkによる無人航空機の設計・開発 MATLAB /Simulink による無人航空機の設計 開発 MathWorks Japan Application Engineering Application Engineer 能戸フレッド Fred Noto 2017 The MathWorks, Inc. 1 無人航空機の進化 2 Model-Based Design はじめに Platform Sense 無人航空機 (UAV) はますます普及

More information

モデルベースデザイン(MBD)を始めましょう!

モデルベースデザイン(MBD)を始めましょう! モデルベースデザイン (MBD) を始めましょう! MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア村上直也 2014 The MathWorks, Inc. 1 モデルベースデザイン (MBD) を始めましょう! ますます増えていくスマートシステム多機能なソフトウェアモデルベースデザイン開発プロセスを加速 2 はじめに スマートシステムの増加 ソフトの高機能化

More information

Oracle SQL Developer Data Modeler

Oracle SQL Developer Data Modeler Oracle SQL Developer Data Modeler テクニカル レビュー - 2009 年 6 月 アジェンダ テクニカル レビューおよび機能レビュー 開発者の生産性に重点 Oracle SQL Developer Data Modeler の概要 対象 テクノロジー 機能のレビュー パッケージの更新 Oracle SQL Developer

More information

電子回路I_6.ppt

電子回路I_6.ppt 電子回路 Ⅰ 第 6 回 電子回路 Ⅰ 7 講義内容. 半導体素子 ( ダイオードとトランジスタ ). 基本回路 3. 増幅回路 バイポーラトランジスタの パラメータと小信号等価回路 二端子対回路 パラメータ 小信号等価回路 FET(MOFET) の基本増幅回路と等価回路 MOFET の基本増幅回路 MOFET の小信号等価回路 電子回路 Ⅰ 7 増幅回路の入出力インピーダンス 増幅度 ( 利得 )

More information

HILS実装のためのプラントモデリングツールの活用

HILS実装のためのプラントモデリングツールの活用 HILS 実装のためのプラントモデリングツールの活用 MathWorks Japan アプリケーションエンジニアリング部 ( 制御 ) アプリケーションエンジニア新井克明 2016 The MathWorks, Inc. 1 ハードウェアインザループシミュレーション (HILS) 制御対象の振る舞いを模擬し 試作 量産コントローラの機能検証を行うアプローチ 実機を利用したテストよりも再現性高くテストシナリオの繰り返し実施が可能

More information

組込みシステムにおける UMLモデルカタログの実践研究

組込みシステムにおける UMLモデルカタログの実践研究 Modeling Forum 2015 組込みシステムの設計実装への モデルカタログの活用 仙台高等専門学校 情報システム工学科 力武克彰, 新村祐太 ( 豊橋技科大 ), 菊池雄太郎 ( 仙台高専 ) 概要 組込み分野のための UML モデルカタログ (*) のモデルを実装してみました (* 以下 モデルカタログと呼びます ) 2 概要 モデルカタログ : 目標制御モデル モデルカタログより引用

More information

電子回路I_8.ppt

電子回路I_8.ppt 電子回路 Ⅰ 第 8 回 電子回路 Ⅰ 9 1 講義内容 1. 半導体素子 ( ダイオードとトランジスタ ) 2. 基本回路 3. 増幅回路 小信号増幅回路 (1) 結合増幅回路 電子回路 Ⅰ 9 2 増幅の原理 増幅度 ( 利得 ) 信号源 増幅回路 負荷 電源 電子回路 Ⅰ 9 3 増幅度と利得 ii io vi 増幅回路 vo 増幅度 v P o o o A v =,Ai =,Ap = = vi

More information

Presentation Title Arial 28pt Bold Agilent Blue

Presentation Title Arial 28pt Bold Agilent Blue Agilent EEsof 3D EM Application series 磁気共鳴による無線電力伝送システムの解析 アジレント テクノロジー第 3 営業統括部 EDA アプリケーション エンジニアリングアプリケーション エンジニア 佐々木広明 Page 1 アプリケーション概要 実情と現状の問題点 非接触による電力の供給システムは 以前から研究 実用化されていますが そのほとんどが電磁誘導の原理を利用したシステムで

More information

Expo 2014

Expo 2014 物理層 &MAC 層のマルチレイヤシミュレーションとコード生成 MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア田中明美 2014 The MathWorks, Inc. 1 Go-Back-N ( クロスレイヤモデル ) BER/PER 特性の確認 Bit レベルで雑音を加えているため PER だけでなく BER も評価可能 2 Go-Back-N

More information

IBIS Quality Framework IBIS モデル品質向上のための枠組み

IBIS Quality Framework IBIS モデル品質向上のための枠組み Quality Framework モデル品質向上のための枠組み EDA 標準 WG 1 目次 - 目次 - 1. 活動の背景 2. Quality Framework 3. ウェブサイトのご紹介 4. Frameworkの活用方法 2 目次 - 目次 - 1. 活動の背景 2. Quality Framework 3. ウェブサイトのご紹介 4. Frameworkの活用方法 3 1. 活動の背景

More information

MATLAB EXPO 2015 Japan 次世代モデルベース検証ソリューションで テスト・デバッグ改善

MATLAB EXPO 2015 Japan  次世代モデルベース検証ソリューションで テスト・デバッグ改善 次世代モデルベース検証ソリューションで テスト デバッグ改善 MathWorks Japan アプリケーションエンジニアリング部 ( 制御 ) リャンティファニー 2015 The MathWorks, Inc. 1 アジェンダ はじめに 検証作業におけるチャレンジ & 新しいソリューション まとめ 2 モデルベースデザイン / 開発 (MBD) が量産制御ソフト開発に求められる背景 課題解決策効果

More information

Tech Info share 2015 Q2 CDA Team 2

Tech Info share 2015 Q2 CDA Team 2 制 御 モデルを 活 用 した 量 産 / 組 み 込 みソフト 開 発 ソリューション MathWorks Japan アプリケーションエンジニアリング 部 ( 制 御 ) シニアアプリケーションエンジニア 山 本 順 久 2015 The MathWorks, Inc. 1 はじめに: 本 講 演 でお 話 しする 内 容 Simulinkモデルを 用 いた 量 産 / 組 み 込 み 制 御

More information

<<SPICE アナログ コードの生成 >> WaveFormerPro は タイミング ダイヤグラムをアナログ SPICE コードへエクスポートする機能を持ちます これは Waveperl スクリプトにより実現しています 信号波形は PWL(PieceWiseLinear を用いてモデル化されます

<<SPICE アナログ コードの生成 >> WaveFormerPro は タイミング ダイヤグラムをアナログ SPICE コードへエクスポートする機能を持ちます これは Waveperl スクリプトにより実現しています 信号波形は PWL(PieceWiseLinear を用いてモデル化されます アナログ波形の描画 表示と SPICE ファイルのインポート エクスポート SynaptiCAD 社の WaveFormerPro は デジタル波形のみならず アナログ波形もサポートしております このアナログ波形サポート機能により デジタル信号 アナログ信号の変換 またその逆変換なども可能となります さらに オシロスコープ ( アジレント テクノロジー社 のデータをインポートする事もできますので WaveFormerPro

More information

LTspice/SwitcherCADⅢマニュアル

LTspice/SwitcherCADⅢマニュアル LTspice による 設計の効率化 1 株式会社三共社フィールド アプリケーション エンジニア 渋谷道雄 JPCA-Seminar_20190606 シミュレーション シミュレータ シミュレーションの位置づけ まずは 例題で動作確認 実際のリップル波形と比較してみる シミュレーションへの心構え オシロスコープ / プロービングの取り扱い 参考図書の紹介 シミュレータは 汎用の SPICE モデルが利用できる

More information

モータ・モーション制御MBD実践 ~組み込みコード生成編~

モータ・モーション制御MBD実践 ~組み込みコード生成編~ モーター モーション制御モデルベースデザイン実践 プラントモデリング 編 MathWorks Japan アプリケーションエンジニアリング部アプリケーションエンジニア福井慶一 2013 The MathWorks, Inc. 1 モーター モーション制御とは? 負荷の動き ( 速度 位置 ) を意図した通りに制御 駆動回路モーター負荷 熱 制御信号 制御 モーター制御 制御指令 モーターの状態 (

More information

福岡カーエレ研究会

福岡カーエレ研究会 モデルベース開発の業界動向 2011.10.14 MathWorks Japan インダストリーマーケティング部柴田克久 2011 The MathWorks, Inc. 1 Agenda はじめに 今日のモデルベース開発とその背景 ユーザー事例にみるモデルベース開発のトレンド 事例紹介 : 東風車両 /Medrad/Faraday モデルベース開発のトレンド ユーザーニーズの変化への対応 MBD

More information

__________________

__________________ 第 1 回シミュレータとモデル第 3 回伝送線路シミュレータの検証 1. シミュレーション結果の検証電卓で計算をするとき みなさんは その結果を確認しますか? またどのような確認をするでしょう たとえば 108 x 39 = 5215 となった場合 5215 をそのまま答えとして書きますか? 多分 何らかの検算をして 答えはおかしいと思うでしょう もう一度 計算をしなおすか 暗算で大体の答えの予想を付けておいて

More information

テスト設計コンテスト

テスト設計コンテスト テスト設計コンテスト 17 話題沸騰ポット (GOMA-1015 型 ) テスト設計 目次 Page 2/25 1. はじめにチーム紹介チームの立ち位置テスト設計の流れ 2. テスト要求分析テスト要求分析の流れ仕様把握と機能要求分析非機能要求分析因子水準表 3. テストアーキテクチャ設計アーキテクチャ設計の流れテストアーキテクチャ全体俯瞰図機能アーキテクチャ非機能アーキテクチャシステム全体俯瞰図 4.

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 3.2 スイッチングの方法 1 電源の回路図表記 電源ラインの記号 GND ラインの記号 シミュレーションしない場合は 省略してよい ポイント : 実際には V CC と GND 配線が必要だが 線を描かないですっきりした表記にする 複数の電源電圧を使用する回路もあるので 電源ラインには V CC などのラベルを付ける 2 LED のスイッチング回路 LED の明るさを MCU( マイコン ) で制御する回路

More information

富士通セミコンダクタープレスリリース 2009/05/19

富士通セミコンダクタープレスリリース 2009/05/19 [ デバイス ] 2009 年 5 月 19 日富士通マイクロエレクトロニクス株式会社 世界初!125 動作の SiP 向け低消費電力メモリを新発売 ~ メモリの耐熱性向上により 消費電力の大きな高性能デジタル家電に最適 ~ 富士通マイクロエレクトロニクス株式会社 ( 注 1) は DDR SDRAM インターフェースを持つメモリでは世界で初めて動作温度範囲を 125 まで拡張したコンシューマ FCRAM(

More information

インターリーブADCでのタイミングスキュー影響のデジタル補正技術

インターリーブADCでのタイミングスキュー影響のデジタル補正技術 1 インターリーブADCでのタイミングスキュー影響のデジタル補正技術 浅見幸司 黒沢烈士 立岩武徳 宮島広行 小林春夫 ( 株 ) アドバンテスト 群馬大学 2 目次 1. 研究背景 目的 2. インターリーブADCの原理 3. チャネル間ミスマッチの影響 3.1. オフセットミスマッチの影響 3.2. ゲインミスマッチの影響 3.3. タイミングスキューの影響 4. 提案手法 4.1. インターリーブタイミングミスマッチ補正フィルタ

More information

等価回路モデルライブラリ TDK Corporation Passive Application Center July 15, 2016

等価回路モデルライブラリ TDK Corporation Passive Application Center July 15, 2016 等価回路モデルライブラリ TDK Corporation Passive Application Center July 15, 2016 ご注意 < データの適用範囲 > 本ライブラリに記載のデータは, 温度 25, 直流バイアスなし (DC バイアスモデル, 直流重畳モデルを除く ), 小振幅動作のときの代表値です. 従って, この条件から大きく異なる場合は適切な結果が得られないことがあります.

More information

等価回路モデルライブラリ TDK Corporation Passive Application Center July. 1, 2015

等価回路モデルライブラリ TDK Corporation Passive Application Center July. 1, 2015 等価回路モデルライブラリ TDK Corporation Passive Application Center July. 1, 2015 ご注意 < データの適用範囲 > 本ライブラリに記載のデータは, 温度 25, 直流バイアスなし (DC バイアスモデル, 直流重畳モデルを除く ), 小振幅動作のときの代表値です. 従って, この条件から大きく異なる場合は適切な結果が得られないことがあります.

More information

スライド 1

スライド 1 パワーインダクタ および高誘電率系チップ積層セラミックコンデンサの動的モデルについて 1 v1.01 2015/6 24 August 2015 パワーインダクタの動的モデルについて 2 24 August 2015 24 August 2015 動的モデルの必要性 Q. なぜ動的モデルが必要なのか? A. 静的モデルでは リアルタイムに変化するインダクタンスを反映したシミュレーション結果が得られないから

More information

個人依存開発から組織的開発への移行事例 ~ 要求モデル定義と開発プロセスの形式化 による高生産性 / 高信頼性化 ~ 三菱電機メカトロニクスソフトウエア ( 株 ) 和歌山支所岩橋正実 1

個人依存開発から組織的開発への移行事例 ~ 要求モデル定義と開発プロセスの形式化 による高生産性 / 高信頼性化 ~ 三菱電機メカトロニクスソフトウエア ( 株 ) 和歌山支所岩橋正実  1 個人依存開発から組織的開発への移行事例 ~ 要求モデル定義と開発プロセスの形式化 による高生産性 / 高信頼性化 ~ 三菱電機メカトロニクスソフトウエア ( 株 ) 和歌山支所岩橋正実 iwahashi@est.hi-ho.ne.jp Iwahashi.Masami@wak.msw.co.jp 1 改善効果 品質 : フロントローディングが進み流出不具合 0 継続生産性 : 平均 130% 改善 工数割合分析

More information

Oracle Business Rules

Oracle Business Rules Oracle Business Rules Manoj Das(manoj.das@oracle.com) Product Management, Oracle Integration 3 Oracle Business Rules について Oracle Business Rules とはビジネスの重要な決定と方針 ビジネスの方針 実行方針 承認基盤など 制約 有効な設定 規制要件など 計算 割引

More information

回路シミュレーションと技術支援ツール

回路シミュレーションと技術支援ツール 回路シミュレーションと技術支援ツール 評価 解析センター梅村哲也 江畑克史 2009.May.28 AN-TST09Z001_ja コンピュータシミュレーションの活用 近年の回路設計や機器設計では コンピュータシミュレーションが積極的に導入されています 実際に回路や機器を試作してテストを繰り返すよりも 大幅に時間を短縮してコストを削減できるからです また ハードウェア ソフトウェアともに性能が向上しているため

More information

IBIS

IBIS IBISBuilder IBISIndicator R1.2 リリースノート Dec. 2009 IBISBuilder IBISIndicator 1 IBISBuilder IBISIndicator は サイバネットシステム株式会社の登録商標です その他 本書に記載の会社名 商品名は当該各社に帰属する商標または登録商標です 発行者 : サイバネットシステム株式会社 東京本社 : 101-0022

More information

目次 当社のご紹介 モデル駆動開発とは モデル駆動開発ツール BricRobo とは BricRobo で L チカ派生開発 BricRobo の展開 記載された商品名 製品名は各社の登録商標または商標です 1

目次 当社のご紹介 モデル駆動開発とは モデル駆動開発ツール BricRobo とは BricRobo で L チカ派生開発 BricRobo の展開 記載された商品名 製品名は各社の登録商標または商標です 1 ET2015 ミニセミナー Arduino で実演 今すぐ始める簡単派生開発 株式会社富士通コンピュータテクノロジーズ伊澤松太朗 1270karch01 目次 当社のご紹介 モデル駆動開発とは モデル駆動開発ツール BricRobo とは BricRobo で L チカ派生開発 BricRobo の展開 記載された商品名 製品名は各社の登録商標または商標です 1 当社のご紹介 2 会社概要 株式会社富士通コンピュータテクノロジーズ

More information

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

eq2:=m[g]*diff(x[g](t),t$2)=-s*sin(th eq3:=m[g]*diff(z[g](t),t$2)=m[g]*g-s* 負荷の座標は 以下の通りです eq4:=x[g](t)=x[k](t)+r*sin(theta(t)) eq5:=z[g](t)=r*cos(the

eq2:=m[g]*diff(x[g](t),t$2)=-s*sin(th eq3:=m[g]*diff(z[g](t),t$2)=m[g]*g-s* 負荷の座標は 以下の通りです eq4:=x[g](t)=x[k](t)+r*sin(theta(t)) eq5:=z[g](t)=r*cos(the 7. 制御設計の例 7.1 ローディングブリッジの制御装置 はじめに restart: ローディング ブリッジは 負荷をある地点から別の地点に運びます 台車の加速と減速は好ましくない振動を発生してしまいます そのため負荷はさらに安定し難くなり 時間もかかってしまいます 負荷がある地点から他の地点へ素早く移動し すみやかに安定するような制御装置を設計します 問題の定義 ローディング ブリッジのパラメータは以下の通りです

More information

2008年度 設計手法標準化アンケート 集計結果

2008年度 設計手法標準化アンケート 集計結果 2010 年度 設計手法普及調査アンケート 集計経過報告 2011 年 8 月社団法人組込みシステム技術協会状態遷移設計研究会 目次 1. アンケート実施の目的 3 2. アンケートの実施対象 4 3. アンケート回答数 5 4. 実施したアンケートの内容 6 5. アンケート回答者の構成 8 5.1 アンケート回答者の構成 : 製品分野 9 5.2 アンケート回答者の構成 : 部門 10 6. アンケート集計結果

More information

リソース制約下における組込みソフトウェアの性能検証および最適化方法

リソース制約下における組込みソフトウェアの性能検証および最適化方法 リソース制約下における組込みソフト ウェアの性能検証および最適化方法 広島市立大学 大学院情報科学研究科システム工学専攻 中田明夫倉田和哉百々太市 1 提案技術の概要 組込みシステムの開発 厳しいリソース制約 (CPU, ネットワークなど ) 非機能要求 ( リアルタイム性など ) の達成 開発プロセスにおける設計段階 性能問題を発見することが困難 実装段階で性能問題が発覚 設計の手戻りが発生 設計段階での性能検証手法

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 4.2 小信号パラメータ 1 電圧利得をどのように求めるか 電圧ー電流変換 入力信号の変化 dv BE I I e 1 v be の振幅から i b を求めるのは難しい? 電流増幅 電流ー電圧変換 di B di C h FE 電流と電圧の関係が指数関数になっているのが問題 (-RC), ただし RL がない場合 dv CE 出力信号の変化 2 pn 接合の非線形性への対処 I B 直流バイアスに対する抵抗

More information

Oracle SQL Developerの移行機能を使用したOracle Databaseへの移行

Oracle SQL Developerの移行機能を使用したOracle Databaseへの移行 < ここに画像を挿入 > Oracle SQL Developer の移行機能を使用した Oracle Database への移行 以下の事項は 弊社の一般的な製品の方向性に関する概要を説明するものです また 情報提供を唯一の目的とするものであり いかなる契約にも組み込むことはできません 以下の事項は マテリアルやコード 機能を提供することをコミットメント ( 確約 ) するものではないため 購買決定を行う際の判断材料になさらないで下さい

More information

AI技術の紹介とセンサーデータ解析への応用

AI技術の紹介とセンサーデータ解析への応用 AI を活用したセンサーデータ解析 MathWorks Japan アプリケーションエンジニアリンググループアプリケーションエンジニア吉田剛士 2018 The MathWorks, Inc. 1 AI を活用したセンサーデータ解析 11:20-11:50 MATLAB による AI 作成 アプリを使った簡易的な解析 学習モデルのパラメータ自動調整 学習モデルのスタンドアロン化 2 課題 : ターボファンエンジンの予知保全

More information

Visual Studio と.NET Framework 概要 Runtime Libraries Languag es Tool.NET Visual Studio 概要 http://download.microsoft.com/download/c/7/1/c710b336-1979-4522-921b-590edf63426b/vs2010_guidebook_pdf.zip 1.

More information

組込関連サービス

組込関連サービス プロダクトインフォメーション 目次 ベクターの技術コンサルティング 製品 エンジニアリングサービス... 3 特長... 4 応用分野... 4 AUTOSAR のトレーニング... 4 MICROSAR 入門 (Getting Started) パッケージ によるインストールとサポート... 5 MICROSAR V2G 入門 (V2G Getting Started) パッケージ によるインストールとサポート...

More information

TOPPERS 活用アイデア アプリケーション開発 コンテスト 部門 : 活用アイデア部門アプリケーション開発部門 作品のタイトル : Toppers_JSP と Scicos_lab / (Scilab でも可 ) による 組込みメカトロニクス制御シミュレーション 作成者 : 塩出武 ( シオデタ

TOPPERS 活用アイデア アプリケーション開発 コンテスト 部門 : 活用アイデア部門アプリケーション開発部門 作品のタイトル : Toppers_JSP と Scicos_lab / (Scilab でも可 ) による 組込みメカトロニクス制御シミュレーション 作成者 : 塩出武 ( シオデタ TOPPERS 活用アイデア アプリケーション開発 コンテスト 部門 : 活用アイデア部門アプリケーション開発部門 作品のタイトル : Toppers_JSP と Scicos_lab / (Scilab でも可 ) による 組込みメカトロニクス制御シミュレーション 作成者 : 塩出武 ( シオデタケシ ) 対象者 : 実機レス環境でモーター含むメカ制御プログラムの設計 および検証 学習をしてみたい方

More information

生成された C コードの理解 コメント元になった MATLAB コードを C コード内にコメントとして追加しておくと その C コードの由来をより簡単に理解できることがよくありま [ 詳細設定 ] [ コード外観 ] を選択 C コードのカスタマイズ より効率的な C コードを生成するベストプラクテ

生成された C コードの理解 コメント元になった MATLAB コードを C コード内にコメントとして追加しておくと その C コードの由来をより簡単に理解できることがよくありま [ 詳細設定 ] [ コード外観 ] を選択 C コードのカスタマイズ より効率的な C コードを生成するベストプラクテ MATLAB コードを使用した C コードの生成クイックスタートガイド (R2012a) 最初のスタンドアロン C コードの生成 スタンドアロン C コードを生成するには MATLAB Coder のペインを [ ビルド ] に切り替えて [C/C++ スタティックライブラリ ] [C/C++ ダイナミックライブラリ ] または [C/C++ 実行ファイル ] のいずれかを選択しま MATLAB Coder

More information

Presentation Title

Presentation Title Robo- 剣 Conference 2017/05/20(Sat) - Robo- 剣における MATLAB/Simulink の活用 MathWorks Japan 三田宇洋 2012 The MathWorks, Inc. 1 Robo- 剣 Conference 2017 年 05 月 20 日土曜日講習会 13:00-18:00 会場 : 県立青少年センター 2. ロボット作りへのMatlab

More information

周波数特性解析

周波数特性解析 周波数特性解析 株式会社スマートエナジー研究所 Version 1.0.0, 2018-08-03 目次 1. アナログ / デジタルの周波数特性解析................................... 1 2. 一巡周波数特性 ( 電圧フィードバック )................................... 4 2.1. 部分周波数特性解析..........................................

More information

画像処理回路のASIC実装へ向けたHDL Coder適用事例

画像処理回路のASIC実装へ向けたHDL Coder適用事例 画像処理回路の ASIC 実装へ向けた HDL Coder 適用事例 ~ 手書き HDL コード vs コード生成ツール ~ ルネサスシステムデザイン株式会社 部署名 第一要素技術事業部ロジックIP 開発部 氏名 神谷衛 2013/10/29 2013 Renesas System Design Co., Ltd. All rights reserved. 1 / 30 Agenda 会社紹介 画像コア開発の課題

More information

GUI Graphical User interface ジェンウェアスリー 情報家電から産業機器まで あらゆる分野のGUI開発で 驚異的な効率UPを実現する新世代ソリューション GUI開発工数 ソフトウェア構成 GUI統合開発環境 GENWARE3は GUIエディタ と GUIライブラリ で構成されています (ジェンウェアスリー) GUIライブラリ(ミドルウェア)( ) GUIエディタ (ジェンスケッチスリー)

More information

Microsoft PowerPoint - FormsUpgrade_Tune.ppt

Microsoft PowerPoint - FormsUpgrade_Tune.ppt Forms アップグレードに関する追加作業 - 工数見積もり サイジング チューニング - 必要な追加作業 工数見積もり サイジング チューニング 2 1 C/S Web 工数見積もり 工数見積もりの際に考慮すべき事項 アップグレードによる一般的なコード修正 テスト工数 C/S では使用できるが Web では廃止された機能に対する対策 USER_EXIT を使って Windows 上 DLL のファンクションをコールしている

More information

スライド 1

スライド 1 講演資料 ABS/ESC 機能を対象とした Simscape を用いたプラントモデリング手法の紹介 6 月 27 日 ( 金 )@ 御茶ノ水ソラシティカンファレンスセンター 目次 1 /29 1. JMAABでの取り組みと目的 2. ABS/ESC 機能を実現するブレーキ油圧回路の概要 3. ブレーキ油圧回路のSimscapeを用いたモデル化 4. 車両モデルへの接続 5. まとめ 2 /29 1.JMAAB

More information

MATLAB/Simulinkを利用したモデルベース開発のトレンドと将来展望

MATLAB/Simulinkを利用したモデルベース開発のトレンドと将来展望 MATLAB/Simulink を利用したモデルベース開発のトレンドと将来展望 2009 年 5 月 21 日 ( 木 ) 2009 CYBERNET SYSTEMS CO.,LTD. All Rights Reserved. アジェンダ はじめに The MathWorks MATLABプロダクトファミリ 自動車業界での利用 モデルベース開発 モデルベース開発とは 導入効果 開発プロセスのトレンド

More information

問題 バイポーラ電源がないと 正と負の電圧や電流を瞬断なくテスト機器に供給することが困難になります 極性反転リレーやスイッチ マトリクスを持つ 1 象限または 2 象限電源では V またはその近傍に不連続が生じ これが問題になる場合があります ソリューション 2 象限電圧のペアを逆直列に接続すれば

問題 バイポーラ電源がないと 正と負の電圧や電流を瞬断なくテスト機器に供給することが困難になります 極性反転リレーやスイッチ マトリクスを持つ 1 象限または 2 象限電源では V またはその近傍に不連続が生じ これが問題になる場合があります ソリューション 2 象限電圧のペアを逆直列に接続すれば 太陽電池セル / モジュール向けテスト ソリューション Agilent 663XB 電源を逆接続して 太陽電池セル / モジュール テスト用の 4 象限動作を実現 Application Note 概要 電源を使って太陽電池セル / モジュールの性能を完全に特性評価するには 電圧を正方向と逆方向で印加する必要があります ソーラ デバイスが明状態 ( 光が照射された状態 ) のときは 電源は可変電圧負荷として動作し

More information

Microsoft Word - 実験テキスト2005.doc

Microsoft Word - 実験テキスト2005.doc 7. プロセスの動特性 [Ⅰ] 目的液レベル制御実験および同シミュレーションを通して ステップ応答に基づくプロセス伝達関数の同定方法 ステップ応答法による PI 制御パラメータの調整方法 および PI 制御パラメータが制御性能へ与える影響について習熟する さらに 制御シミュレーションを通して むだ時間を有するプロセスに対するスミス補償型制御の有効性を確認する [Ⅱ] 理論 2.1 ステップ応答実験による伝達関数の同定

More information

Web Performer V2.0 カタログ

Web Performer V2.0 カタログ キヤノンITソリューションズ株式会社 ウェブ パフォーマ ノンプログラミングで超高速開発 Webアプリケーション自動生成ツールでシステム開発革新を はノンプログラミングで Web アプリケーションを 100 自動生成するマルチブラウザ対応 概 要 の超高速開発ツールです コーディングの自動化とそれによる品質の均一化により開発期間が短縮できます 業務のエキスパートであるユーザ部門とシステム開発のエキスパートである

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 5 月 Java 基礎 1 タイトル Java 基礎 2 日間 概要 目的 サーバサイドのプログラミング言語で最もシェアの高い Java SE の基本を習得します 当研修ではひとつの技術ごとに実用的なアプリケーションを作成するため 効果的な学習ができます Java SE の多くの API の中で 仕事でよく利用するものを中心に効率よく学びます 実際の業務で最も利用される開発環境である Eclipse

More information

054_10モデルベースデザイン

054_10モデルベースデザイン 技術紹介 モデルベースデザインによる制御設計 石田修一 Ishida Shuuichi *1 近年 制御システムは高機能化や大規模化が急速に進み 従来の開発手法では開発費用の増加 開発期間の拡大 および品質の確保が困難となった 対して顧客からは 開発期間の短縮やコストダウンへの強い要求がある この現状を改善する方法として モデルベースデザイン (MBD:Model-Based Design) が自動車分野

More information

智美塾 ゆもつよメソッドのアーキテクチャ

智美塾 ゆもつよメソッドのアーキテクチャ ゆもつよメソッドのテスト要求分析とテストアーキテクチャ設計 JaSST13 東京智美塾 2013 年 1 月 30 日 湯本剛 ( 日本 HP) tsuyoshi.yumoto@hp.com ゆもつよ風テスト開発プロセス テスト計画 実現したい品質の具体的把握 テスト箇所の選択 テストの目的設定 テスト対象アイテム特定 テスト分析 テストタイプ特定 機能の整理 & 再分類 テスト条件となる仕様項目特定

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Zabbix 4.0 の新機能のご紹介 2018 年 12 月 11 日 SRA OSS, Inc. 日本支社 Copyright 2018 SRA OSS, Inc. Japan All rights reserved. 1 Zabbix とは OSSの統合監視ツール Zabbix LLC( 本社 : ラトビア ) が開発 20 年の実績 多種多様な方法で監視が可能 柔軟な障害判定条件の設定 設定のテンプレート化

More information

2 概要 市場で不具合が発生にした時 修正箇所は正常に動作するようにしたけど将来のことを考えるとメンテナンス性を向上させたいと考えた リファクタリングを実施して改善しようと考えた レガシーコードなのでどこから手をつけて良いものかわからない メトリクスを使ってリファクタリング対象を自動抽出する仕組みを

2 概要 市場で不具合が発生にした時 修正箇所は正常に動作するようにしたけど将来のことを考えるとメンテナンス性を向上させたいと考えた リファクタリングを実施して改善しようと考えた レガシーコードなのでどこから手をつけて良いものかわからない メトリクスを使ってリファクタリング対象を自動抽出する仕組みを メトリクス利用によるリファクタリング対象の自動抽出 ローランドディー. ジー. 株式会社 第 4 開発部 SC02 小林光一 e-mail:kouichi.kobayashi@rolanddg.co.jp 2 概要 市場で不具合が発生にした時 修正箇所は正常に動作するようにしたけど将来のことを考えるとメンテナンス性を向上させたいと考えた リファクタリングを実施して改善しようと考えた レガシーコードなのでどこから手をつけて良いものかわからない

More information