Microsoft PowerPoint - D2_NEC福井様_発表資料 pptx

Size: px
Start display at page:

Download "Microsoft PowerPoint - D2_NEC福井様_発表資料 pptx"

Transcription

1 MATLAB EXPO 2014 アルゴリズムと RTL を統合した 検証プラットフォームの 開発と適用 2014 年 10 月 29 日 NECプラットフォームズ ( 株 ) 福井祥布 MathWorks Japan 柴田克久

2 - アジェンダ - 1. はじめに NEC プラットフォームズ ( 株 ) の紹介 by 福井 モデルベースデザインによる FPGA/ASIC 開発 by 柴田 2. ソフトウエア無線の設計技術動向 by 福井 3. プロジェクトのゴールとチャレンジ by 福井 4. プロジェクト詳細説明 by 福井 5.FPGA/ASIC のためのモデルベース検証 by 柴田 6. まとめ by 福井 Page 2 NEC Platforms, Ltd All rights reserved

3 NEC プラットフォームズの紹介 NEC グループの生産会社 4 社と テープストレージ事業 サーバ基盤事業 および BU の SC 統括機能を統合し ICT 機器の開発 生産 販売を担う新会社を設立 NEC アクセステクニカ NEC インフロンティア NEC インフロンティア東北 NEC コンピュータテクノ NEC プラットフォームズ株式会社 2014 年 7 月設立 NECエンベデッドプロダクツテープストレージ事業 NECソリューションイノベータサーバ基盤事業 2014 年 10 月統合 NEC の社会ソリューション事業を支える ICT 基盤 ( プラットフォーム ) の開発 生産を一元的に担う会社 NEC システムプラットフォーム BU SC 統括機能 Page 3 NEC Platforms, Ltd All rights reserved

4 NEC プラットフォームズの概要 商号 : NECプラットフォームズ株式会社 ( 英文 :NEC Platforms, Ltd.) 本社 : 東京本社 : 東京都千代田区神田司町 2-3 代表者 : 代表取締役執行役員社長保坂岳深資本金 : 103 億 3 千 1 百万円 (NEC 全額出資 ) 売上高 : 2,312 億円 ( 連結 ) <2014 年 3 月期単純合算ベース > 社員数 : 約 5,400 人 ( 連結 ) <2014 年 10 月 > 主要事業 :ICT 機器の開発 生産 販売事業所 : 国内 8 ( 高津 墨田 掛川 甲府 米沢 松山 白石 茨城 ) 営業拠点 : 国内 25 ( 東日本 関東 中部 関西 西日本の主要都市 ) 関連会社 : 国内 3 ( 静岡日電ビジネス エヌ エヌ ティ NEC インフロンティアアメニティズ ) 海外 2 (NEC プラットフォームズタイ NEC アクセステクニカ蘇州 ) Page 4 NEC Platforms, Ltd All rights reserved

5 オフィスソリューションその他 ( 公共 製造 ) フロントオフィスオフィスバックNEC プラットフォームズの事業領域 マーケット ニーズと当社の強みを有機的に結合し お客様に最適なソリューション サービスを提案 流通 ( 外食 専門店 ) サービスステーション プロジェクタ デジタルサイネージ ルータ / 無線 LAN 自動釣銭機 店舗 WiFi 監視カメラ カード決済ターミナル ビジネス PC タブレット サーバ / ネットワーク IX シリーズ SV9000 シリーズ Express シリーズ QX シリーズ Page 5 NEC Platforms, Ltd All rights reserved

6 モデルベースデザインによる ASIC/FPGA 開発 2014 The MathWorks, Inc. 6

7 開発プロジェクトは 異文化交流 である 一般的なプロジェクトではエンジニアは設計フローの一部を担当 : システム設計ハードウェア検討 検証エンジニア ( 単体 / 統合 ) アルゴリズム仕様 方式検討 組込みソフトウェアファームウェア Etc. メンバー各自のスキル 経験からもたらされる価値ある専門知識がプロジェクトの推進力 対象システムが複雑になるほど コミュニケーションは困難 バックグラウンドの技術 手法が異なる 所属する組織 グループが異なる 専門知識の深さ vs. 相互理解のしやすさ 7

8 典型的なシステムモデルの構成 Data Source Component Model Algorithm Environment Model Analysis Component Model Algorithmic System-level Testbench アルゴリズムは外部環境とコンポーネントを通じ相互作用 アルゴリズムはデータの入力により動作 アルゴリズムの機能 性能は出力結果により解析 8

9 モデルベースデザインによる FPGA / ASIC 開発 研究成果 デジタルモデル 要件定義 仕様システム設計環境モデルアナログモデル RFモデル マルチドメインシステムのモデル システム動作の調査 最適化 チーム横断的な協調作業 タイミング 制御ロジック アルゴリズム 実装 C/C++ HDL RF & アナログ MCU DSP FPGA ASIC トランジスタ テスト & 検証 自動コード生成 実装トレードオフの調査 最適化 ビット精度での実装コード プロトタイピング 統合 モデルを再利用したテスト & 検証 開発初期で設計エラーを検出 レグレッションテストの自動化 9

10 モデルベースデザインの導入効果 開発時間全体の 30% を短縮 ( さらに 品質改善 リスピンの削減等の効果 )* With MathWorks Tools プロジェクト全体にわたる作業時間 Without MathWorks Tools Requirements System Design Implementation Integration Testing Days *MathWorks study done across companies developing mixed-signal systems in The Netherlands and Germany 10

11 ソフトウェア無線 (SDR) とは アナデジ変換とソフトウェア処理により無線機を実現する夢の技術 現実には アナデジ変換後をデジタル化 変復調を FPGA-DSP ハイブリッド構成で 高速並列演算処理と複雑多機能演算処理を実現 デジタル変復調技術は 数学 / 数式による理論展開の世界 RF 信号 アナログ デジタル アナログ信号処理 アナデジ変換 デジタル信号処理 変復調 (MODEM) 送信 DAC FPGA DSP 受信 ADC 図. ソフトウェア無線 Page 11 NEC Platforms, Ltd All rights reserved

12 ソフトウエア無線の設計技術動向 MATLAB によるアルゴリズム開発が広く普及 MATLAB によりアルゴリズム検証し FPGA に実装 他分野に比べ アルゴリズム設計者と HW 設計者との Gap 大 MATLAB/Simulink は設計文化交流ツール FPGA 実装後機能検証する従来手法の限界 実装する前に検証を完了し GoldenRTL 完成する ASIC 手法へ タイミング検証 ( タイミング制約条件 CDC) の必要性 設計言語は Verilog と VHDL のバイリンガル FPGA 設計では VHDL まだ多い 検証言語は SystemVerilog まだ少ない アナログ回路 組込みソフトウェアとデジタル回路の協調設計環境 統合設計検証環境の整備不十分 アナログ回路と DSP 等の検証モデルが一般化せず Page 12 NEC Platforms, Ltd All rights reserved

13 ゴール プロジェクトのゴールとチャレンジ ソフトウエア無線分野への検証サービス事業の橋頭堡確立 汎用性高いソフトウエア無線向け検証プラットフォーム構築 変復調用 FPGA 部分に適用し 設計品質の担保と将来の性能向上に向けた解析環境提供 チャレンジ 新しいシステム技術領域のスキル習得 デジタル変復調などのソフトウエア無線技術の深耕モデルベース設計手法 (MathWorks 社 MATLAB/Simulink) 異なるベンダー間での設計ツール統合 MathWorks 社 Simulink と Synopsys 社 VCS のインタフェース開発 3 社による共同プロジェクトの推進 半年という短開発期間円滑な連結テストと適用 Page 13 NEC Platforms, Ltd All rights reserved

14 プロジェクト全体日程 1 st month 2nd 3rd 4th 5th 6th 7th 8th 9th 10th 全体スケジュール 自主学習 顧客情報による学習 受信性能解析 検証プラットフォーム構築 モデル作成 モデル作成 可視化 可視化 解析 解析 MathWorks 社との関係 技術蓄積 (MATLAB) 比較対象 (MATLAB) モデル生成 (Simulink) セミナーの実施 評価ライセンスの提供 選任者によるサポート 3 社マネージメント会議 (Synopsys MathWorks NES) 3 社テクニカル会議 (Synopsys MathWorks NES) 随時 Page 14 NEC Platforms, Ltd All rights reserved

15 検証プラットフォームの概要 マルチ言語対応 アルゴリズム開発 : MATLAB/Simulink デザイン : VHDL テストベンチ : Verilog HDL System Verilog C 言語 アナログ信号処理 アナデジ変換およびデジタル信号処理の一部の Simulink によるモデル化 (DPI-C で VCS に統合 ) フィールドデータとパラメタライズ C モデルによる性能解析 性能解析環境 (BER アイパターンコンスタレーション ) パラメータ DAC ADC フィールドデータ アナログ信号処理 C モデル生成人手作成 MATLAB/Simulink アナデジ変換 テストベンチ DUT (FPGA) 変調部 復調部 FPGA 実装 性能解析環境 デジタル信号処理 VCS C モデル生成 DSP 図.SDR 向け検証プラットフォーム Page 15 NEC Platforms, Ltd All rights reserved

16 1st フェーズ ( 無線技術習得 ) 一般構成 アナログ信号処理 アナデジ変換 デジタル信号処理 MATLAB で実行 顧客アルゴリズム (MATLAB コード ) 変調 復調 符号化 復号化 配列表示 波形表示 実構成 無線部 DAC ADC 変調 復調 符号化 復号化 FPGA DSP Page 16 NEC Platforms, Ltd All rights reserved

17 顧客アルゴリズム (MATLAB コード ) 2nd フェーズ ( 受信性能解析 ) 変調 符号化 復調 復号化 RTL シミュレーション用テストベンチ 受信データ 内部信号の比較 無線部 DAC ADC 変調 復調 符号化復号化 FPGA DSP 実測データ 復調データ モデル 復調データ Page 17 NEC Platforms, Ltd All rights reserved

18 パラメータ3rd フェーズ ( 検証プラットフォーム構築 ) ノイズ付加モデル (Simulink モデル by MathWorks) パラメータノイズ付加 DAC ADC 顧客アルゴリズム (MATLAB コード ) 変調 復調 符号化 復号化 RTL シミュレーション用テストベンチ Simulink Simulink ノイズ付加 DAC ADC 変調復調 符号化復号化 データ生成 データ比較 C+DPIC FPGA C+DPIC モデル 復調データ 復調データ Page 18 NEC Platforms, Ltd All rights reserved

19 モデルベース検証 従来 MATLAB コード Simulink シミュレーション環境 解析 言語理解 コーディング モデルの機能解析 理解 記述言語理解 (C DPI-C など ) 機能 組込みのコーディング 今回 Simulink 使用により容易にモデルベース検証環境を構築 実践 Simulink 使用による日程面でのメリット大 Page 19 NEC Platforms, Ltd All rights reserved

20 FPGA/ASIC のためのモデルベース検証 2014 The MathWorks, Inc. 20

21 ハードウェアを中心とした検証作業の統合 ハードウェア設計において 検証は最もコストのかかる作業 検証のためのシミュレーション開発への膨大な投資 SystemVerilog および UVM テストフレームワーク SystemC/TLM バーチャルプラットフォーム モデルベース 検証へ 制約のあるランダムテストのような技術を提供 ビヘイビアモデルを再構築するより MATLAB & Simulink によって作成されたシステムモデルを再利用 前工程との継続性を維持 テストフレームワークにおける手作業のリスクを削減 作業の二重化を回避 21

22 Simulink によるシステムモデリング Data Source Component Model ブロック線図環境によるモデリング シミュレーション環境 Analysis Algorithm Algorithmic System-level Testbench Component Model Environment Model 検証のためのインターフェース HDL Verifier アルゴリズムのコード生成 HDL Coder Embedded Coder 時間および並列処理の概念を内蔵 デジタル アナログ イベントドリブンのコンポーネントを混在可能 柔軟な信号生成 解析機能を提供 既存の MATLAB コードを統合 適用分野に特化した豊富なライブラリ群 パラメータのチューニング 最適化作業を自動化 固定小数点データで実装モデルを評価 モデルの構造を変えずにデータ型を変換 GUI ツールによる効率的な量子化検討 外部ツールと接続可能な各種インターフェースを提供 外部アプリケーションソフトウェア EDA 環境 計測器 プロトタイプ用ハードウェア 22

23 MATLAB/Simulink によるモデルベース検証フロー FPGA/ASIC のための HDL 検証環境 HDL テストベンチ Stimulus MATLAB / Simulink テストベンチ Response HDL Sim 出力 入力 モデル 出力 テキスト入力データ 比較 テキスト期待値 HDL Verifier Stimulus MATLAB / Simulink テストベンチ Response Stimulus MATLAB / Simulink テストベンチ Response Stimulus C テストベンチ SystemVerilog DPI-C Response 入力 出力 入力 出力 入力 出力 HDL シミュレーター FPGA HDL シミュレーター MATLAB/Simulink テストベンチと HDL シミュレータとの協調シミュレーション MATLAB/Simulink テストベンチと FPGA を用いたデバイス上での高速な HDL 検証 DPI-C を用いた C コード化した MATLAB/Simulink テストベンチと HDL の協調シミュレーション 23

24 Synopsys と MathWorks の協調 MathWorks and Synopsys have been developing new capabilities to significantly improve productivity in these verification activities, including deployment of models to System Verilog to provide a more integrated verification workflow. Analog Insights: Analog/Mixed-Signal Design and Verification Blog アナログ ミックスドシグナルなどの半導体設計分野において より複雑かつ高度な設計フローを実現するため 新しい協調シミュレーション技術や 統合化 自動化の構築支援において協力 24

25 System Verilog DPI 生成を利用した検証ワークフロー C コードを生成し HDL シミュレータ環境でテストベンチとして使用 ハンドコードの HDL or 自動生成の HDL 上流設計で使用したモデルを HDL 検証に再利用 HDL シミュレータ /System Verilog C コード (DPI) HDL コード 25

26 システム検証モデルを再利用した System Verilog テストベンチ HDL Verifier, Simulink Coder Data Source Analysis Algorithmic System-level Testbench DPI-C Algorithm Component Model Component Model SystemVerilog Testbench Environment Environment Model DPI-C DPI-C DPI-C HDL Verifier Simulink Coder 設計 MATLAB/Simulink 上でシステムコンポーネント (IPおよびテストベンチ) を作成 モデリング シミュレーションにより機能検証 自動生成 統合 検証 System Verilog ラッパーを含む C コードとしてコンポーネントを出力 HDL シミュレータ上で生成された複数のコンポーネントを統合 システム設計の全体をシミュレーションにより検証 コンポーネントはパラメタライズ化可能 26

27 System Verilog DPI-C コンポーネント生成 MATLAB/Simulink のアルゴリズムから System Verilog コンポーネントを出力 必須オプション HDL Verifier Simulink Coder 27

28 アルゴリズム開発 HDL コードの自動生成 HDL Coder Data Source Analysis Algorithm Algorithmic System-level Testbench RTL HDL (VHDL, Verilog) Component Model Component Model HDL Coder Environment Model 合成可能な HDL コードを生成 VHDL or Verilog デバイスに依存しない汎用的なコード 複数のエントリ環境をサポート MATLAB ( プログラミング言語 ) Simulink ( ブロック線図 ) Stateflow ( 状態遷移表 チャート ) Workflow Advisor GUIでプロセス全体の手順をガイド HDL 生成のためのモデルの準備 HDL 生成のオプション設定 モデルとFPGA 合成ツールとの統合によるバックアノテーション機能 ターンキー FPGAターゲットや IPコア生成のための設定 28

29 HDL 生成をサポートする Simulink ライブラリ HDL Supported Blocks 約 180 種のブロックをサポート Simulink コア 基本的なアレイ演算, Look-Up Tables, 信号操作 (Mux / Demux, Delays, Selectors), 論理 & ビット演算, デュアル シングルポート RAM, FIFOs CORDIC, バス, etc. デジタル信号処理 NCO, FFT, デジタルフィルタ (FIR, IIR, マルチレート, 適応フィルタ, マルチチャンネル ), レート変換 ( アップ & ダウンサンプル ), 統計 (Min / Max), etc. 通信 PRBS 生成, 変調 / 復調, インターリーバ / デインターリーバ, ビタビ復号器, リードソロモン符号化 / 復号化, CRC 生成 / 検知, etc. 29

30 FPGA を用いたソフトウェア無線システム設計 プロトタイピング FPGA Radio Support Communications System Toolbox サポートされる FPGA ボードおよび RF FMC カード Epiq FMC-1Rx Rev B Card Virtex-6 ML605 Spartan-6 SL605 ストリーム RF 信号の I/O として Xilinx FPGA Radio を使用 広帯域信号の受信のためのバーストモードオプション FPGA 上に実装されたコンフィギャラブルなフィルタおよびサンプルレート変換 HDL Coderにより FPGAをカスタマイズするためのワークフローを自動化 (Xilinx ISE Design Suite が必要 ) 導入のためのアプリケーション例 Epiq FMC-1Rx Rev C Card ADI FMCOMMS1 Rev B Card 30

31 デモブースのご案内 アナログ デジタル設計からハードウェア実装 DPI-C 生成によるシステム検証実機デモ展示中!! 2014 The MathWorks, Inc. MATLAB and Simulink are registered trademarks of The MathWorks, Inc. See for a list of additional trademarks. Other product or brand names may be trademarks or registered trademarks of their respective holders. 31

32 成果 まとめ ソフトウエア無線分野への検証サービス事業の橋頭堡を構築 新技術領域 ベンダー間ツール統合等多くの課題があったが予定通り設計期間 6 か月という短期間で検証プラットフォーム開発と適用を完了 新しいシステム技術領域のスキル習得 適用結果 変復調用 FPGA に適用し 設計品質を担保 性能向上アルゴリズム開発に向けて解析結果を提示 今後の展開 完成した検証プラットフォームを最大限活用 PR 活動によりビジネス拡大 検証から実装設計に向けプラットフォーム拡張を検討 Page 32 NEC Platforms, Ltd All rights reserved

33

34

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx MATLAB/Simulink を使用したモータ制御アプリのモデルベース開発事例 ルネサスエレクトロニクス株式会社 第二ソリューション事業本部産業第一事業部家電ソリューション部 Rev. 1.00 2014 Renesas Electronics Corporation. All rights reserved. IAAS-AA-14-0202-1 目次 1. はじめに 1.1 モデルベース開発とは?

More information

f2-system-requirement-system-composer-mw

f2-system-requirement-system-composer-mw Simulink Requirements と新製品 System Composer によるシステムズエンジニアリング MathWorks Japan アプリケーションエンジニアリング部大越亮二 2015 The MathWorks, Inc. 1 エンジニアリングの活動 要求レベル システムレベル 要求分析 システム記述 表現 高 システム分析 システム結合 抽象度 サブシステム コンポーネントレベル

More information

SimscapeプラントモデルのFPGAアクセラレーション

SimscapeプラントモデルのFPGAアクセラレーション Simscape TM プラントモデルの FPGA アクセラレーション MathWorks Japan アプリケーションエンジニアリング部 松本充史 2018 The MathWorks, Inc. 1 アジェンダ ユーザ事例 HILS とは? Simscape の電気系ライブラリ Simscape モデルを FPGA 実装する 2 つのアプローチ Simscape HDL Workflow Advisor

More information

SimulinkによるReal-Time Test環境の構築

SimulinkによるReal-Time Test環境の構築 Simulink モデルを使ったリアルタイムテスト環境の構築 MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア高島博 2012 The MathWorks, Inc. 1 はじめに Simulink はバーチャルなテスト環境 2 はじめに Simulink はバーチャルなテスト環境 3 はじめに Simulink はバーチャルなテスト環境 シミュレーション開始ボタンをクリック

More information

Presentation Title

Presentation Title Simulink R / Stateflow R 入門 MathWorks Japan アプリケーションエンジニアリング部 小林昇洋 2016 The MathWorks, Inc. 1 本セッションで対象としている方々 Q : Simulink / Stateflow は使ったことがない どんなツールなのか? A : お使いいただいているシーン 理由などご紹介します 2 Simulink とは?

More information

Presentation Title

Presentation Title コード生成製品の普及と最新の技術動向 MathWorks Japan パイロットエンジニアリング部 東達也 2014 The MathWorks, Inc. 1 MBD 概要 MATLABおよびSimulinkを使用したモデルベース デザイン ( モデルベース開発 ) 紹介ビデオ 2 MBD による制御開発フローとコード生成製品の活用 制御設計の最適化で性能改善 設計図ですぐに挙動確認 MILS:

More information

回路シミュレータ/MATLABリンク~詳細回路設計におけるシステムレベル検証~

回路シミュレータ/MATLABリンク~詳細回路設計におけるシステムレベル検証~ 回路シミュレータ /MATLAB リンク ~ 詳細回路設計におけるシステムレベル検証 ~ MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア初井良治 2017 The MathWorks, Inc. 1 MathWorks と Cadence 社パートナーシップ OrCAD PSpice 回路設計アナログ デジタル混在の回路シミュレーション 回路設計者

More information

MATLAB/SimulinkによるAMS活用事例 ~Mixed-Signal Library 2.0のご紹介~

MATLAB/SimulinkによるAMS活用事例 ~Mixed-Signal Library 2.0のご紹介~ MATLAB/Simulink による AMS 活用事例 ~Mixed-Signal Library 2.0 のご紹介 ~ MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア竹本佳充 2012 The MathWorks, Inc. 1 Agenda 1. AMS 設計活用事例 I. ミックスドシグナルトップダウン設計 II. MATLAB/Simulinkの導入メリット

More information

AMS Expoコンテンツ

AMS Expoコンテンツ MATLAB /Simulink を活用した電源システム設計フロー紹介 MathWorks Japan アプリケーションエンジニアリング部初井良治 2013 The MathWorks, Inc. 1 内容 MATLAB /Simulink アナログ ミックスドシグナルシステム設計フロー 適用事例 ( アナログ ミックスドシグナル ) システム設計フロー MATLAB /Simulink の利点 MATLAB

More information

機能検証トレーニング コース一覧

機能検証トレーニング コース一覧 機能検証トレーニング コース一覧 日本シノプシス合同会社 2016.03 トレーニング コース一覧 VCS/DVE 基本コース VCS-NLP/VC LP 基本コース VC Verification IP AXI 基本コース (UVM 版 ) VC Verification IP USB 基本コース (UVM 版 ) Verdi 3 基本コース SpyGlass Lint コース SpyGlass

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション MATLAB EXPO 2018 Japan 無線モデム FPGA/SoC 開発における HDL Coder TM の活用事例 2018/10/30 NECネットワーク センサ株式会社技術開発本部通信ネットワーク技術部主任 / プロダクトスペシャリスト住田憲昭 1 NEC Network and Sensor Systems, LTD. 2018 目次 1. 会社紹介 / 自己紹介 2. 防衛事業の技術課題とHDL

More information

AMS Expoコンテンツ

AMS Expoコンテンツ 高速伝送路での信号特性の解析 ~ 新しい MATLAB /Simulink の使い方 ~ MathWorks Japan アプリケーションエンジニアリング部初井良治 2014 The MathWorks, Inc. 1 Demo MATLAB/Simulink 高速伝送特性シミュレーション DFE CDR ビット生成プリエンファシス伝送路特性データ 8B10Bエンコーダ アナログイコライザ 8B10B

More information

NSW キャリア採用募集職種一覧 2018/8/16 現在 求人番号 職種対象業務必要とするスキル 経験 資格等勤務地 1 営業スペシャリスト金融 ( 損保 生保 クレジット ) 業でのソリューション営業 IT 業界での営業経験 金融業界 IT 業界での人脈がある方尚可 渋谷 2 プロジェクトマネー

NSW キャリア採用募集職種一覧 2018/8/16 現在 求人番号 職種対象業務必要とするスキル 経験 資格等勤務地 1 営業スペシャリスト金融 ( 損保 生保 クレジット ) 業でのソリューション営業 IT 業界での営業経験 金融業界 IT 業界での人脈がある方尚可 渋谷 2 プロジェクトマネー NSW キャリア採用募集職種一覧 2018/8/16 現在 1 営業スペシャリスト金融 ( 損保 生保 クレジット ) 業でのソリューション営業 IT 業界での営業経験 金融業界 IT 業界での人脈がある方尚可 2 プロジェクトマネージャシステム開発またはインフラ構築のプロジェクトマネージャ プロジェクトマネージャ経験 PMP の資格保有者 高度情報処理試験資格保有者尚可 3 プロジェクトマネージャ生保または損保システム開発のプロジェクトマネージャ

More information

スキル領域 職種 : ソフトウェアデベロップメント スキル領域と SWD 経済産業省, 独立行政法人情報処理推進機構

スキル領域 職種 : ソフトウェアデベロップメント スキル領域と SWD 経済産業省, 独立行政法人情報処理推進機構 スキル領域と (8) ソフトウェアデベロップメント スキル領域と SWD-1 2012 経済産業省, 独立行政法人情報処理推進機構 スキル領域 職種 : ソフトウェアデベロップメント スキル領域と SWD-2 2012 経済産業省, 独立行政法人情報処理推進機構 専門分野 ソフトウェアデベロップメントのスキル領域 スキル項目 職種共通スキル 項目 全専門分野 ソフトウェアエンジニアリング Web アプリケーション技術

More information

Microsoft PowerPoint - 01_Vengineer.ppt

Microsoft PowerPoint - 01_Vengineer.ppt Software Driven Verification テストプログラムは C 言語で! SystemVerilog DPI-C を使えば こんなに便利に! 2011 年 9 月 30 日 コントローラ開発本部コントローラプラットフォーム第五開発部 宮下晴信 この資料で使用するシステム名 製品名等は一般にメーカーや 団体の登録商標などになっているものもあります なお この資料の中では トレードマーク

More information

Presentation Title

Presentation Title ( ) 2017 The MathWorks, Inc. 1 新型乗用車の CO2 規制の比較 出典 :the International Council on Clean Transportation 2 自動車台数は今後も増加 多くの割合は内燃機関を使用 OEM 各社はコンセプト 想定ターゲットに応じて最適なパワートレインを選択 3 厳しい燃費規制に対応必至 従来の燃焼エンジンからフルバッテリのモータまで

More information

Oracle Un お問合せ : Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよ

Oracle Un お問合せ : Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよ Oracle Un お問合せ : 0120- Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよびSOA 対応データ サービスへ ) を網羅する総合的なデータ統合プラットフォームです Oracle

More information

Expo 2014

Expo 2014 物理層 &MAC 層のマルチレイヤシミュレーションとコード生成 MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア田中明美 2014 The MathWorks, Inc. 1 Go-Back-N ( クロスレイヤモデル ) BER/PER 特性の確認 Bit レベルで雑音を加えているため PER だけでなく BER も評価可能 2 Go-Back-N

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション MATLAB 2008 5 22 Quick history - Cleve Moler s original MATLAB program Quick history The MathWorks in 1984 Cleve Moler Jack Little MATLAB/Simulink MATLAB 80 / MATLAB Toolbox 100 Simulink Blockset Stateflow

More information

VHDL/VerilogによるコードベースからSimulinkによるモデルベースへ

VHDL/VerilogによるコードベースからSimulinkによるモデルベースへ VHDL/Verilog によるコードベースから Simulink によるモデルベースへ MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア松本充史 2012 The MathWorks, Inc. 1 出展 : 日本経済新聞 2012/7/3 記事 2 アジェンダ HDL プロダクツ概要と採用実績 HDL 生成と検証のための基本機能 より高度な使い方設定による回路パフォーマンスの違い

More information

Microsoft PowerPoint - EMPro_ADS_co_design_draft.ppt [互換モード]

Microsoft PowerPoint - EMPro_ADS_co_design_draft.ppt [互換モード] 3 次元電磁界シミュレータ (EMPro) と 回路シミュレータ (ADS) との効率的な協調解析事例のご紹介 Page 1 EMPro 2010 3 次元電磁界解析専用プラットフォーム 3 次元形状入力に特化した操作性 Windows & Linux 対応 多くの 3D CAD フォーマットの Import をサポート Fastest, t Highest Capacity 3 次元フルウェーブ電磁界シミュレーション

More information

ハード・ソフト協調検証サービス

ハード・ソフト協調検証サービス ハード ソフトのトータルサービス 富士通エレクトロニクス株式会社株式会社富士通ソフトウェアテクノロジーズ 目次 モデル概要 モデル 特徴 このサービス利用のメリット サービスメニュー 1 企画から開発 量産までトータルでサポート 富士通エレクトロニクスと富士通ソフトウェアテクノロジーズはお客様の製品開発を 企画段階から開発 量産までサポートします 製品開発をサポートする検証 認定作業のご提供 製品要求仕様の作成をコンサルティング

More information

Microsoft Word - 㕒酵镆çfl¨ã•‚å¤‘åŁ£ã‡¤ã…³ã‡¿ã…¼ã…³ã‡·ã……ã…Šã†fl桋僖

Microsoft Word - 㕒酵镆çfl¨ã•‚å¤‘åŁ£ã‡¤ã…³ã‡¿ã…¼ã…³ã‡·ã……ã…Šã†fl桋僖 株式会社ソシオネクスト夏季インターンシップ募集要項 1. 会社紹介株式会社ソシオネクストは S o C (System-on-Chip) の設計 開発および販売を事業とする 2015 年 3 月設立の若い会社です 現在 約 2,850 人の仲間たちが世界 9 拠点で活躍しております 映像 通信 コンピューティング分野における世界トップレベルの技術を核に今日の様々なアプリケーションの進化を支え 人々の豊かな体験の実現に貢献します

More information

福岡カーエレ研究会

福岡カーエレ研究会 モデルベース開発の業界動向 2011.10.14 MathWorks Japan インダストリーマーケティング部柴田克久 2011 The MathWorks, Inc. 1 Agenda はじめに 今日のモデルベース開発とその背景 ユーザー事例にみるモデルベース開発のトレンド 事例紹介 : 東風車両 /Medrad/Faraday モデルベース開発のトレンド ユーザーニーズの変化への対応 MBD

More information

PNopenseminar_2011_開発stack

PNopenseminar_2011_開発stack PROFINET Open Seminar 開発セミナー Software Stack FPGA IP core PROFINET 対応製品の開発 2 ユーザ要求要求は多種多様 複雑な規格の仕様を一から勉強するのはちょっと.. できるだけ短期間で 柔軟なスケジュールで進めたい既存のハードウェアを変更することなく PN を対応させたい将来的な仕様拡張に対してシームレスに統合したい同じハードウェアで複数の

More information

Presentation Title

Presentation Title モデルベースによるハードウェア開発のメリットと適用例 MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア松本充史 1 アジェンダ FPGA/ASIC 設計と関連 MATLAB プロダクト ユーザ事例 : 設備監視装置用 FPGA モータ制御用 IC 画像処理 IC リアルタイム画像処理テストベンチ環境 Simulink HDL Coder TM の最新機能紹介

More information

MATLAB EXPO 2019 Japan プレゼン資料の検討

MATLAB EXPO 2019 Japan プレゼン資料の検討 自動運転向けソフトウェア Autoware と MATLAB /Simulink の連携 ~ 事例紹介 ~ 2019 年 5 月 28 日株式会社ネクスティエレクトロニクス SW 開発部技術開発グループ太田徳幸 Copyright TOMEN Electronics Corp. 目次 2/31 1. 会社概要 2. Autoware Toolbox 紹介 1. 取り組み背景 2. Autoware

More information

画像処理回路のASIC実装へ向けたHDL Coder適用事例

画像処理回路のASIC実装へ向けたHDL Coder適用事例 画像処理回路の ASIC 実装へ向けた HDL Coder 適用事例 ~ 手書き HDL コード vs コード生成ツール ~ ルネサスシステムデザイン株式会社 部署名 第一要素技術事業部ロジックIP 開発部 氏名 神谷衛 2013/10/29 2013 Renesas System Design Co., Ltd. All rights reserved. 1 / 30 Agenda 会社紹介 画像コア開発の課題

More information

ModelSim-Altera - RTL シミュレーションの方法

ModelSim-Altera - RTL シミュレーションの方法 ALTIMA Corp. ModelSim-Altera RTL シミュレーションの方法 ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. 目次 1. 2. 3. はじめに...3 RTL シミュレーションの手順...4 RTL シミュレーションの実施...5 3-1. 3-2. 新規プロジェクトの作成... 5 ファイルの作成と登録... 7 3-2-1. 新規ファイルの作成...

More information

2015 TRON Symposium セッション 組込み機器のための機能安全対応 TRON Safe Kernel TRON Safe Kernel の紹介 2015/12/10 株式会社日立超 LSIシステムズ製品ソリューション設計部トロンフォーラム TRON Safe Kernel WG 幹事

2015 TRON Symposium セッション 組込み機器のための機能安全対応 TRON Safe Kernel TRON Safe Kernel の紹介 2015/12/10 株式会社日立超 LSIシステムズ製品ソリューション設計部トロンフォーラム TRON Safe Kernel WG 幹事 2015 TRON Symposium セッション 組込み機器のための機能安全対応 TRON Safe Kernel TRON Safe Kernel の紹介 2015/12/10 株式会社日立超 LSIシステムズ製品ソリューション設計部トロンフォーラム TRON Safe Kernel WG 幹事 豊山 祐一 Hitachi ULSI Systems Co., Ltd. 2015. All rights

More information

CANapeを用いたラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発

CANapeを用いたラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発 ape を用いたラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発 近年 自動車のソフトウェア開発において 開発期間の短縮やコスト削減の面からモデルベース開発が注目されています アイシン エィ ダブリュ株式会社は ラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発にベクターの測定 / キャリブレーションツール ape ( キャナピー ) を導入しました 本稿では

More information

Presentation Title

Presentation Title Simulink 環境で実施する ADAS( 先進運転支援システム ) 実験 MathWorks Japan アプリケーションエンジニアリング部制御 村上直也 2015 The MathWorks, Inc. 1 Agenda はじめに 弊社 ADAS 環境関連紹介 弊社 ADAS 関連製品を使用した DEMO ADAS 関連開発適用事例 まとめ 2 はじめに ADAS システムは今後もますます普及が進むと考えられる

More information

MATLAB®製品紹介セミナー

MATLAB®製品紹介セミナー MATLAB における分類 パターン認識 - 入門編 - MathWorks Japan アプリケーションエンジニアリング部 ( テクニカルコンピューティング部 ) アプリケーションエンジニア大開孝文 2012 The MathWorks, Inc. 1 アジェンダ 回帰モデルと分類モデルについて 分類手法を使ったワインの品質モデリング まとめ 2 分類手法を使ったワインの品質モデリング アプローチ

More information

ソフト活用事例③自動Rawデータ管理システム

ソフト活用事例③自動Rawデータ管理システム ソフト活用事例 3 自動 Raw データ管理システム ACD/Labs NMR 無料講習会 & セミナー 2014 於 )2014.7.29 東京 /2014.7.31 大阪 富士通株式会社テクニカルコンピューティング ソリューション事業本部 HPC アプリケーション統括部 ACD/Spectrus をご選択頂いた理由 (NMR 領域 ) パワフルな解 析機能 ベンダーニュートラルな解析環境 直感的なインターフェース

More information

Signal Processing Toolbox

Signal Processing Toolbox Signal Processing Toolbox 信号処理 解析およびアルゴリズム開発の実行 Signal Processing Toolbox は アナログおよびデジタル信号処理 (DSP) の業界標準アルゴリズムを提供 します この Toolbox を使用すると 時間領域および周波数領域での信号の可視化 スペクトル解析 における FFT の計算 FIR および IIR フィルターの設計 コンボリューション

More information

モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサ

モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサ モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサンルーフなどのボディー系 電動パワーステアリングやそのアシスト機能など 高度な制御 大電流の制御などが要求されています

More information

非圧縮の1080p60ビデオをサポートする3Gbps SDIコネクティビティ・ソリューション

非圧縮の1080p60ビデオをサポートする3Gbps SDIコネクティビティ・ソリューション LMH0340,LMH0341 Literature Number: JAJA432 SIGNAL PATH designer Tips, tricks, and techniques from the analog signal-path experts No. 113... 1-5...4... 7 1080p60 3Gbps SDI Mark Sauerwald, SDI Applications

More information

モデルベースデザイン(MBD)を始めましょう!

モデルベースデザイン(MBD)を始めましょう! モデルベースデザイン (MBD) を始めましょう! MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア村上直也 2014 The MathWorks, Inc. 1 モデルベースデザイン (MBD) を始めましょう! ますます増えていくスマートシステム多機能なソフトウェアモデルベースデザイン開発プロセスを加速 2 はじめに スマートシステムの増加 ソフトの高機能化

More information

制御のためのSimulink入門

制御のためのSimulink入門 今から始める Simulink 入門 ~ 制御編 ~ MathWorks Japan アプリケーションエンジニアリング部アプリケーションエンジニア張莉 2013 The MathWorks, Inc. 1 What is Simulink? 2 Why use Simulink? 例 : アポロ月着陸船のデジタル自動操縦の開発 3 Simulink を使うと? 当時の設計者が自ら Simulink

More information

X-Form Plug-in Guide

X-Form Plug-in Guide X-Form Plug-in Version 7.2 Copyright 2006 Digidesign, a division of Avid Technology, Inc. All rights reserved. This guide may not be duplicated in whole or in part without the express written consent of

More information

Quartus II クイック・スタートガイド

Quartus II クイック・スタートガイド ALTIMA Corp. Quartus II クイック スタートガイド ver.3.0 2010 年 8 月 ELSENA,Inc. 目次 1. はじめに... 3 2. Quartus II の基本操作フロー... 3 3. Quartus II の基本操作... 4 ステップ 1. プロジェクトの作成... 4 ステップ 2. デザインの作成... 4 ステップ 3. ファンクション シミュレーション...

More information

Microsoft Word _C2H_Compiler_FAQ_J_ FINAL.doc

Microsoft Word _C2H_Compiler_FAQ_J_ FINAL.doc Nios II C2H コンパイラに関する Q&A 全般 Q:Nios II C-to-Hardware アクセラレーション コンパイラコンパイラとはとは何ですか A:Altera Nios II C-to- Hardware アクセラレーション コンパイラ ( 以下 Nios II C2H コンパイラ ) とは Nios II ユーザ向けの生産性を高めるツールです 性能のボトルネックとなるC 言語プログラムのサブルーチンを自動的にハードウェア

More information

Presentation Title プレゼンテーションのタイトル

Presentation Title プレゼンテーションのタイトル MATLAB/Simulink を用いた次世代イメージングシステムの FPGA 実装 2016 年 10 月 19 日オリンパス株式会社技術開発部門モバイルシステム開発本部画像技術部吉崎和徳, 山田博 Agenda 1. オリンパス概要 ( 吉崎 ) 2. 次世代イメージングシステム研究開発 ( 吉崎 ) 3. MATLAB/Simulink を用いた FPGA 実装 ( 山田 ) 4. まとめ (

More information

15288解説_D.pptx

15288解説_D.pptx ISO/IEC 15288:2015 テクニカルプロセス解説 2015/8/26 システムビューロ システムライフサイクル 2 テクニカルプロセス a) Business or mission analysis process b) Stakeholder needs and requirements definieon process c) System requirements definieon

More information

ISE 10.1 Editor Presentation

ISE 10.1 Editor Presentation デザイン ツールの最新版 ISE Design Suite 10.1 * この資料に記載されている会社名 製品名は 各社の登録商標または商標です 本日のニュース 1 常に業界をリードしてきた ISE デザイン ツール 2 デザイン ツールを取り巻く要因と業界の重要課題 3 ISE Design Suite 10.1 の紹介 4 まとめ ISE Design Suite 10.1 2 ザイリンクスのデザイン

More information

製品開発の現場では 各種のセンサーや測定環境を利用したデータ解析が行われ シミュレーションや動作検証等に役立てられています しかし 日々収集されるデータ量は増加し 解析も複雑化しており データ解析の負荷は徐々に重くなっています 例えば自動車の車両計測データを解析する場合 取得したデータをそのまま解析

製品開発の現場では 各種のセンサーや測定環境を利用したデータ解析が行われ シミュレーションや動作検証等に役立てられています しかし 日々収集されるデータ量は増加し 解析も複雑化しており データ解析の負荷は徐々に重くなっています 例えば自動車の車両計測データを解析する場合 取得したデータをそのまま解析 ホワイトペーパー Excel と MATLAB の連携がデータ解析の課題を解決 製品開発の現場では 各種のセンサーや測定環境を利用したデータ解析が行われ シミュレーションや動作検証等に役立てられています しかし 日々収集されるデータ量は増加し 解析も複雑化しており データ解析の負荷は徐々に重くなっています 例えば自動車の車両計測データを解析する場合 取得したデータをそのまま解析に使用することはできず

More information

<4D F736F F F696E74202D D4C82F08A B582BD A A F2E707074>

<4D F736F F F696E74202D D4C82F08A B582BD A A F2E707074> SysML を活用したシステムエンジニアリング オージス総研組み込みソリューション部 1 アジェンダ 概要編なぜシステムエンジニアリングかシステムエンジニアリングとはシステムエンジニアリングとモデリング言語 SysML の特徴実践編機能要求を検討する要求を仕様化する振る舞いを検討する構造を検討する論理ブロックを物理ブロックに割り当てる性能を検討するまとめ 2 概要編 : なぜシステムエンジニアリングか

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション BRMS への取り組みと導入事例 2013 年 11 月 15 日 ( 金 ) SCSK 株式会社 IT エンジニアリング事業本部ミドルウェア部 本日の内容 BRMS 適用のポイント BRMS の可能性 Page 1 Page 2 アプリケーション連携基盤 SCSKのRed Hat JBoss / ミドルウェア技術に関する取り組みの取り組み 世界のオープンソース コミュニティーから製品化されたソフトウェア

More information

Microsoft Word - HowToSetupVault_mod.doc

Microsoft Word - HowToSetupVault_mod.doc Autodesk Vault 環境設定ガイド Autodesk Vault をインストール後 必要最小限の環境設定方法を説明します ここで 紹介しているのは一般的な環境での設定です すべての環境に当てはまるものではありません 1 条件 Autodesk Data Management Server がインストール済み Autodesk Vault Explorer がクライアント PC にインストール済み

More information

Automation for Everyone <デモ で実感できる、組織全体で活用できるAnsible Tower>

Automation for Everyone <デモ で実感できる、組織全体で活用できるAnsible Tower> Mixed-OSS における PostgreSQL の活用 2018 年 8 月 24 日三菱総研 DCS 株式会社 三菱総研 DCS の会社概要 IT コンサルティングからシステムの設計 開発 運用 処理まで すべての局面でサービスを提供できる IT トータルソリューションを実現しています 東京本社 ( 品川 ) 千葉情報センター 東京ビジネスセンター ( 木場 ) 商号設立資本金代表取締役社長株主

More information

Microsoft Visual Studio 2010 Professional Data Sheet

Microsoft Visual Studio 2010 Professional Data Sheet Microsoft Visual Studio 2010 Professional はビジネスの要件やユーザ ーのニーズに最適なアプリケーションを選択し それを構築するために必須の機能を提供します RIA ベースのリッチな Web アプリケーション SharePoint ベースの高度な Web ポータル Windows Azure ベースのクラウドアプリケーションなど 最新テクノロジに対応したアプリケーションを既存の知識や経験を活かして開発することができます

More information

PowerPoint Presentation

PowerPoint Presentation 沖ネットワークエルエスアイの デザインソリューション 2005 年 10 月 25 日 www.okinetlsi.com 1 1 ONW 概要概要 社名 : 株式会社沖ネットワークエルエスアイ ( 略称 ONW) 所在地 : 本社 / 東京開発センタ : 東京都品川区西五反田 2-15-7 シ フ ラルタ生命五反田ヒ ル九州開発センタ : 福岡県福岡市中央区天神 4-8-25 ニッコーヒ ル 設立

More information

Quartus II クイック・スタート・ガイド

Quartus II クイック・スタート・ガイド ver.2.0 2010 年 1 月 1. はじめに 弊社では Quartus II をはじめて使用する方を対象に Quartus II はじめてガイド と題した簡易操作マニュアルを提供しています この資料では Quartus II の基本的な作業フローをご案内すると共に 各オペレーションではどの資料を参考にするのが適当かをご紹介しています 2. Quartus II の基本操作フロー 以下の図は

More information

TopSE並行システム はじめに

TopSE並行システム はじめに はじめに 平成 23 年 9 月 1 日 トップエスイープロジェクト 磯部祥尚 ( 産業技術総合研究所 ) 2 本講座の背景と目標 背景 : マルチコア CPU やクラウドコンピューティング等 並列 / 分散処理環境が身近なものになっている 複数のプロセス ( プログラム ) を同時に実行可能 通信等により複数のプロセスが協調可能 並行システムの構築 並行システム 通信 Proc2 プロセス ( プログラム

More information

MATLAB ではじめる画像処理とロボットビジョン ~ 機械学習による物体認識と SLAM~ MathWorks Japan アプリケーションエンジニアリング部信号処理 通信 木川田亘 2015 The MathWorks, 1Inc.

MATLAB ではじめる画像処理とロボットビジョン ~ 機械学習による物体認識と SLAM~ MathWorks Japan アプリケーションエンジニアリング部信号処理 通信 木川田亘 2015 The MathWorks, 1Inc. MATLAB ではじめる画像処理とロボットビジョン ~ 機械学習による物体認識と SLAM~ MathWorks Japan アプリケーションエンジニアリング部信号処理 通信 木川田亘 2015 The MathWorks, 1Inc. ロボットビジョンとは ロボットに搭載することを目的としたコンピュータービジョン技術の一分野 標識認識などさまざまな環境下での物体認識や複雑なシーンの理解 未知の領域を探索する際にロボット自身の位置推定と地図作成(SLAM)

More information

MATLAB EXPO 2015 Japan 次世代モデルベース検証ソリューションで テスト・デバッグ改善

MATLAB EXPO 2015 Japan  次世代モデルベース検証ソリューションで テスト・デバッグ改善 次世代モデルベース検証ソリューションで テスト デバッグ改善 MathWorks Japan アプリケーションエンジニアリング部 ( 制御 ) リャンティファニー 2015 The MathWorks, Inc. 1 アジェンダ はじめに 検証作業におけるチャレンジ & 新しいソリューション まとめ 2 モデルベースデザイン / 開発 (MBD) が量産制御ソフト開発に求められる背景 課題解決策効果

More information

Smarter Vision のための最もスマートな選択

Smarter Vision のための最もスマートな選択 Zynq All Programmable SOC : Smarter Vision Audi Kinect Xbox 360 Smarter Vision Smarter Vision Smarter Vision 10 ( ) Smarter Vision Smarter Vision Intuitive Surgical Smarter Vision Xilinx All Programmable

More information

Xpand! Plug-In Guide

Xpand! Plug-In Guide Xpand! Version 1.0 Copyright 2006 Digidesign, a division of Avid Technology, Inc. All rights reserved. This guide may not be duplicated in whole or in part without the express written consent of Digidesign.

More information

MATLAB/Simulinkを利用したモデルベース開発のトレンドと将来展望

MATLAB/Simulinkを利用したモデルベース開発のトレンドと将来展望 MATLAB/Simulink を利用したモデルベース開発のトレンドと将来展望 2009 年 5 月 21 日 ( 木 ) 2009 CYBERNET SYSTEMS CO.,LTD. All Rights Reserved. アジェンダ はじめに The MathWorks MATLABプロダクトファミリ 自動車業界での利用 モデルベース開発 モデルベース開発とは 導入効果 開発プロセスのトレンド

More information

Oracle Cloud Adapter for Oracle RightNow Cloud Service

Oracle Cloud Adapter for Oracle RightNow Cloud Service Oracle Cloud Adapter for Oracle RightNow Cloud Service Oracle Cloud Adapter for Oracle RightNow Cloud Service を使用すると RightNow Cloud Service をシームレスに接続および統合できるため Service Cloud プラットフォームを拡張して信頼性のある優れたカスタマ

More information

Microsoft Word - 02__⁄T_ŒÚ”�.doc

Microsoft Word - 02__⁄T_ŒÚ”�.doc 目 次 はじめに 目次 1. 目的 1 2. 適用範囲 1 3. 参照文書 1 4. 定義 2 5. 略語 6 6. 構成 7 7. 共通事項 8 7.1 適用範囲 8 7.2 送信ネットワーク 8 7.2.1 送信ネットワークの分類 8 7.2.2 送信ネットワークの定義 10 7.3 取り扱う主な信号の形式 12 7.3.1 放送 TS 信号形式 12 7.3.2 OFDM 信号形式 14 7.4

More information

JACi400のご紹介~RPGとHTMLで簡単Web化~

JACi400のご紹介~RPGとHTMLで簡単Web化~ セッション No.4 JACi400 のご紹介 ~RPG と HTML で簡単 Web 化 ~ 株式会社ミガロ RAD 事業部技術支援課営業推進岩井利枝 1 Agenda ミガロご提供ソリューションのご紹介 JACi400の概要 4つの開発ステップのご紹介 JACi400ご利用のメリット 2 ミガロご提供ソリューション 開発ツール (C/S Web 開発 ) Delphi/400 開発ツール (Web

More information

Oracle Business Rules

Oracle Business Rules Oracle Business Rules Manoj Das(manoj.das@oracle.com) Product Management, Oracle Integration 3 Oracle Business Rules について Oracle Business Rules とはビジネスの重要な決定と方針 ビジネスの方針 実行方針 承認基盤など 制約 有効な設定 規制要件など 計算 割引

More information

Communications System Toolbox 5.0

Communications System Toolbox 5.0 Communications System Toolbox 5.0 通信システムの物理層の設計とシミュレーション Communications System Toolbox は 通信システムの設計 シミュレーション 解析のためのアルゴリ ズムとツールを提供します これらの機能は MATLAB 関数 MATLAB System object および Simulink ブロックとして提供されています この

More information

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

IBIS

IBIS IBISBuilder IBISIndicator R1.2 リリースノート Dec. 2009 IBISBuilder IBISIndicator 1 IBISBuilder IBISIndicator は サイバネットシステム株式会社の登録商標です その他 本書に記載の会社名 商品名は当該各社に帰属する商標または登録商標です 発行者 : サイバネットシステム株式会社 東京本社 : 101-0022

More information

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用]

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用] 2007.11.12 集積回路工学 Matsuzawa Lab 1 集積回路工学 東京工業大学 大学院理工学研究科 電子物理工学専攻 2007.11.12 集積回路工学 Matsuzawa Lab 2 1. 1. ハードウェア記述言語 (VHDL で回路を設計 ) HDL 設計の手順や基本用語を学ぶ RTL とは? Register Transfer Level レジスタ間の転送関係を表現したレベル慣例的に以下のことを行う

More information

富士通セミコンダクタープレスリリース 2009/05/19

富士通セミコンダクタープレスリリース 2009/05/19 [ デバイス ] 2009 年 5 月 19 日富士通マイクロエレクトロニクス株式会社 世界初!125 動作の SiP 向け低消費電力メモリを新発売 ~ メモリの耐熱性向上により 消費電力の大きな高性能デジタル家電に最適 ~ 富士通マイクロエレクトロニクス株式会社 ( 注 1) は DDR SDRAM インターフェースを持つメモリでは世界で初めて動作温度範囲を 125 まで拡張したコンシューマ FCRAM(

More information

いまからはじめる、MATLABによる 画像処理・コンピュータビジョン

いまからはじめる、MATLABによる 画像処理・コンピュータビジョン いまからはじめる MATLAB による画像処理 コンピュータビジョン MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア大谷卓也 2012 The MathWorks, Inc. 1 Demo: 顔検出 画像から 人間の顔認識を行い 数のカウントを行う 2 Demo: MRI Analysis モンタージュ画像からの 3 次元構築 3 MATLAB/Simulink

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション SATA Host/Device IP Core HDD や SSD などのストレージを使用した システム開発に最適な FPGA 向けIntelliProp 社製 SATA IP Core IntelliProp 社製 SATA Host / Device IP Coreは SATA Revision 3.0 Specificationに準拠しており 1.5Gbps 3.0Gbps 6.0Gbpsに対応しています

More information

Introduction to System Identification

Introduction to System Identification y(t) モデルベースデザイン 制御系設計のためのシステム同定入門 s 2 Teja Muppirala t s 2 3s 4 2012 The MathWorks, Inc. 1 モデルベースデザイン 正確なモデルがあることが大前提 実行可能な仕様書 シミュレーションによる設計 モデル 連続したテスト 検証 コード生成による実装 2 動的システムのモデリング モデリング手法 第一原理モデリング データドリブンモデリング

More information

PowerPoint Presentation

PowerPoint Presentation MATLAB による 大規模フリートデータ解析 アプリケーションエンジニアリング部齊藤甲次朗 2015 The MathWorks, Inc. 1 アジェンダ はじめに ビッグデータ解析の課題 MATLAB を活用したフリートデータ解析事例 フリートデータ解析実践 デスクトップでの解析 クラスターへのスケールアウト MATLAB 解析のシステムへの統合 まとめ 2 25 GB / 1hour 4 フリートデータ解析を含むビッグデータ解析の課題

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション GSN を応用したナレッジマネジメントシステムの提案 2017 年 10 月 27 日 D-Case 研究会 国立研究開発法人宇宙航空研究開発機構 研究開発部門第三研究ユニット 梅田浩貴 2017/3/27 C Copyright 2017 JAXA All rights reserved 1 目次 1 課題説明 SECI モデル 2 GSN を応用したナレッジマネジメントシステム概要 3 ツリー型チェックリスト分析

More information

「電子政府推奨暗号の実装」評価報告書

「電子政府推奨暗号の実装」評価報告書 2011 情財第 399 号 情報セキュリティ対策基盤整備事業 電子政府推奨暗号の実装 評価報告書 平成 24 年 12 月 [ 改訂履歴 ] 日付改訂内容 2012 年 12 月 11 日評価報告書初版発行 2012 年 12 月 21 日 2. 評価結果 内のデータを修正 ( 表 1-1 表 1-2 表 2-1 表 2-2 表 3-1 表 3-2 表 4-1 表 4-2 表 5-1 表 5-2

More information

untitled

untitled http://www.riskdatabank.co.jp The of Japan, Ltd. All rights reserved. 2 The of Japan, Ltd. All rights reserved. 3 The of Japan, Ltd. All rights reserved. 4 The of Japan, Ltd. All rights reserved. 5 The

More information

Microsoft PowerPoint - ID005(R02).pptx

Microsoft PowerPoint - ID005(R02).pptx ソフトウェアプロダクトラインにおける コア資産評価の仕組み確立 オムロンソフトウェア株式会社原田真太郎 筒井賢 オムロン株式会社赤松康至 2014 OMRON SOFTWARE Co., Ltd. ALL Rights Reserved 1 会社紹介 自動改札機 券売機等制御機器 FA システム等健康機器 オムロンソフトウェア株式会社 決済ソリューション 監視 運用サービスソリューション モバイルソリューション

More information

Microsoft PowerPoint LC_15.ppt

Microsoft PowerPoint LC_15.ppt ( 第 15 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 言語を使った設計 (2) 2.1 HDL 設計入門 2.2 FPGA ボードの設計デモ配布資料 VHDL の言語構造と基本文法 2.1 HDL 設計入門 EDAツール : メンター社製品が有名 FPGAベンダーのSW 1 1 仕様設計 にも簡易機能あり 2 3 2 HDLコード記述 3 論理シミュレーション 4 4 論理合成

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Foundation アプライアンス スケーラブルシステムズ株式会社 サーバ クラスタの課題 複数のシステムを一つの だけで容易に管理することは出来ないだろうか? アプリケーションがより多くのメモリを必要とするのだけど ハードウエアの増設なしで対応出来ないだろうか? 現在の利用環境のまま 利用できるコア数やメモリサイズの増強を図ることは出来ないだろうか? 短時間で導入可能で また 必要に応じて 柔軟にシステム構成の変更が可能なソリューションは無いだろうか?...

More information

エンジニアリング・サービスから見たMBD導入の成功・失敗

エンジニアリング・サービスから見たMBD導入の成功・失敗 2014 年 12 月 18 日 ( 金 ) 16:40-16:55 JMAAB 中部コンファレンス エンジニアリング サービスから見た MBD 導入の成功 失敗 COPYRIGHT (C) GAIO TECHNOLOGY ALL RIGHTS RESERVED 1 ガイオ テクノロジーとは 組み込み業界向け検証ツールメーカー コンパイラ 検証 テスト 解析ツール プロトタイピングツール エンジニアリングサービス

More information

スライド 1

スライド 1 IBM ホスト アクセスのためのツールを集めたソリューション パッケージ Solution Package for Host Access Solution Package for Host Access は 以下の IBM 製品を使用した IBM ホスト システムへのアクセスやホストと PC クライアントとの連携をサポートするソリューションを提供します Host Access Client Package

More information

管理者から携帯電話に向けて命令や位置確認を行います 携帯電話からリアルタイムに情報をアップロードするとともに サーバ側のデータと同期をします GPS 測位を用いて 現在位置や軌跡を管理します 携帯電話からシステム契機でメールを送付します 基幹サーバと連携するためのデータファイルの出力や プリンタ連携

管理者から携帯電話に向けて命令や位置確認を行います 携帯電話からリアルタイムに情報をアップロードするとともに サーバ側のデータと同期をします GPS 測位を用いて 現在位置や軌跡を管理します 携帯電話からシステム契機でメールを送付します 基幹サーバと連携するためのデータファイルの出力や プリンタ連携 ~ モバイル機器を使った ~ 組み上げソリューションのご案内 1 管理者から携帯電話に向けて命令や位置確認を行います 携帯電話からリアルタイムに情報をアップロードするとともに サーバ側のデータと同期をします GPS 測位を用いて 現在位置や軌跡を管理します 携帯電話からシステム契機でメールを送付します 基幹サーバと連携するためのデータファイルの出力や プリンタ連携などを行います システム情報を 管理者

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション vsmp Foundation スケーラブル SMP システム スケーラブル SMP システム 製品コンセプト 2U サイズの 8 ソケット SMP サーバ コンパクトな筐体に多くのコアとメモリを実装し SMP システムとして利用可能 スイッチなし構成でのシステム構築によりラックスペースを無駄にしない構成 将来的な拡張性を保証 8 ソケット以上への拡張も可能 2 システム構成例 ベースシステム 2U

More information

<4D F736F F F696E74202D F817993FA967B90BB8E8695A897AC817A89DD95A892C790D F6C30362E707074>

<4D F736F F F696E74202D F817993FA967B90BB8E8695A897AC817A89DD95A892C790D F6C30362E707074> - スマートフォン (Android ) 利用による - 貨物追跡システム ( 位置情報サービス ASP サービス DP2) 日本製紙物流株式会社 http://www.np-log.co.jp/ システム導入目的について 導入目的 自社 / 配車センターと車両 ( トラック ) との情報共有 システム導入時のユーザー要望について 1. 配送進捗状況 車両最新位置 をリアルタイムで把握したい ユーザーからの配送

More information

PowerPoint Presentation

PowerPoint Presentation Up & Ready シリーズ August 2016 シングルユーザーサブスクリプションガイドサブスクリプション注文後 ~ソフトウェア起動までの流れ Shihori Sakurai Customer Service & Support シングルユーザーサブスクリプションガイドコンテンツ P.3-P.6 P.7-P.14 P.15-P.24 P.25-P.34 シングルユーザーサブスクリプション基本情報

More information

GTR Board

GTR Board TB-FMCH-12GSDI ご購入に際してのご注意 1 変更履歴版数 日付 内容 担当者 Rev.1.00 2015/06/25 初版 天野 Rev.1.01 2015/07/22 2 章 Pre-production 品に関する記載を削除 天野 2016/09/16 3 章評価環境を更新 4 章ボードの機能評価状況を更新 6 章リファレンスデザインのダウンロード先を追加 森田 2 目次 1. 概要と関連書類...

More information

Layout 1

Layout 1 Industrial communication センサーのデータにアクセスする ifm の IO-Link Digital connection technology for sensors とは? 今日のセンサーはシンプルな ON/OFF のセンサーから 大量のデータを処理するマイクロプロセッサーを搭載した高性能なデバイスまで進化してきました センサー内のデータにアクセスする IO-Link は以下の特徴があるインターフェースです

More information

<4D F736F F F696E74202D C190DD B A CB48D65208E DC58F49205B8CDD8AB B83685D>

<4D F736F F F696E74202D C190DD B A CB48D65208E DC58F49205B8CDD8AB B83685D> 今さら聞けない高位合成 ~ 一から学ぶ高位合成 ~ シャープ株式会社電子デバイス事業本部副参事山田晃久 1 ハードウェア設計と抽象度 要求仕様 動作仕様設計制約 ( コスト 性能 消費電力 ) システムの実現方式を決定システム設計 ( 動作レベル設計 ) ( アーキテクチャ アルゴリズム ) システム分割 (HW/SW) 機能ブロック RTL 記述 機能設計 (RTL 設計 ) 論理合成 ハードウェアの処理を設計

More information

延命セキュリティ製品 製品名お客様の想定対象 OS McAfee Embedded Control 特定の業務で利用する物理 PC 仮想 PC や Server 2003 Server 2003 ホワイトリスト型 Trend Micro Safe Lock 特定の業務で利用するスタンドアロン PC

延命セキュリティ製品 製品名お客様の想定対象 OS McAfee Embedded Control 特定の業務で利用する物理 PC 仮想 PC や Server 2003 Server 2003 ホワイトリスト型 Trend Micro Safe Lock 特定の業務で利用するスタンドアロン PC 延命セキュリティ二つの対策方法 対策 1 ホワイトリスト型 概要 : 動作させてもよいアプリケーションのみ許可し それ以外の全ての動作をブロックすることで 不正な動作を防止します 特長 : 特定用途やスタンドアロンの PC の延命に効果的です リストに登録されたアプリケーションのみ許可 アプリケーション起動制御 不許可アプリケーションは防止 対策 2 仮想パッチ型 概要 : OS アプリケーションの脆弱性を狙った通信をブロックし

More information

個人依存開発から組織的開発への移行事例 ~ 要求モデル定義と開発プロセスの形式化 による高生産性 / 高信頼性化 ~ 三菱電機メカトロニクスソフトウエア ( 株 ) 和歌山支所岩橋正実 1

個人依存開発から組織的開発への移行事例 ~ 要求モデル定義と開発プロセスの形式化 による高生産性 / 高信頼性化 ~ 三菱電機メカトロニクスソフトウエア ( 株 ) 和歌山支所岩橋正実  1 個人依存開発から組織的開発への移行事例 ~ 要求モデル定義と開発プロセスの形式化 による高生産性 / 高信頼性化 ~ 三菱電機メカトロニクスソフトウエア ( 株 ) 和歌山支所岩橋正実 iwahashi@est.hi-ho.ne.jp Iwahashi.Masami@wak.msw.co.jp 1 改善効果 品質 : フロントローディングが進み流出不具合 0 継続生産性 : 平均 130% 改善 工数割合分析

More information

Microsoft PowerPoint - ARTD 2.3new_datasheet.ppt

Microsoft PowerPoint - ARTD 2.3new_datasheet.ppt 製品 Ver2.3 の主な特徴 C 言語からのハードウェア開発 SystemCサポート ( サブセット ) 自動アーキテクチャ合成 最新技術のデータフロー解析 資源の配置と割り当て スケジューリングの自動化とコントローラの生成 詳細なパフォーマンス解析機能 パイプライン化されたVLIWアーキテクチャのコントローラ ASICとFPGAへのインプリメンテーション パス C/HDL テストベンチ生成 サイクル

More information

三菱電機マイコン機器ソフトウエア株式会社

三菱電機マイコン機器ソフトウエア株式会社 MU500-RX サンプル回路仕様書 三菱電機マイコン機器ソフトウエア株式会社 2012-5-9 1 概要 1.1 目的本仕様書は MU500-RX と MU500-RK で実現する 1 秒カウンタの仕様について記述するものである マイコンで 1 秒を生成し 表示は 7 セグメント LED を用いる また 開始 / 停止は Push-SW を使う 1.2 関連文書 MU500-RX

More information

2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk

2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk 2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk Autodesk Vault 2014 新機能 操作性向上 Inventor ファイルを Vault にチェックインすることなくステータス変更を実行できるようになりました 履歴テーブルの版管理を柔軟に設定できるようになりました

More information

お客様からの依頼内容とその現状

お客様からの依頼内容とその現状 ログハウスメーカー様向け顧客管理システム構築 By BizBrowser+GeneXus 株式会社ディマージシェア お客様からの依頼内容とその現状 現状の問題点 2004 年から稼動しているクライアント / サーバ型システムのリニューアル 1) システム変更や不具合が発生するたびにソフトウェアを物理的に配布 2) 全国約 30 拠点 ( 展示場 ) 本社にサーバを設置 3) 夜間処理で拠点データを本社サーバに複製して同期

More information

タブレット端末を活用した営業活動を支援する「金融機関向け日立モバイルクラウドサービス」を提供開始

タブレット端末を活用した営業活動を支援する「金融機関向け日立モバイルクラウドサービス」を提供開始 2015 年 2 月 25 日 株式会社日立製作所 タブレット端末を活用した営業活動を支援する 金融機関向け日立モバイルクラウドサービス を提供開始 タブレット端末利用環境をワンストップで提供し オフライン環境における金融商品の申込手続きを実現 株式会社日立製作所 ( 執行役社長兼 COO: 東原敏昭 / 以下 日立 ) は このたび タブレット端末 を活用した営業活動を支援する 金融機関向け日立モバイルクラウドサービス

More information

CDM Studio

CDM Studio プロダクトインフォメーション 目次 概要... 3 1.1 はじめに... 3 1.2 機能概要... 4 1.3 応用分野... 5 1.4 システム要件... 5 機能... 5 サポートするファイル形式... 6 チームによるキャリブレーションデータの管理... 6 のバージョン 14.0 以降を対象としています V2.0 5/2016 2 概要 1.1 はじめに機能のアルゴリズムは ECU

More information

Gruener_Jd_ qxd

Gruener_Jd_ qxd T H E September 2003 by Jamie Gruener Y ANKEE G R O U P T H E Y A N K E E G R O U P R E P O R T Copyright 2003, the Yankee Group The Yankee Group Report is published for the sole use of Yankee Group Advisory

More information

Microsoft PowerPoint - IAF フォーラム2015講演資料_PLCopenJapan_A02.pptx

Microsoft PowerPoint - IAF フォーラム2015講演資料_PLCopenJapan_A02.pptx PLCopen / OPC UA 通信仕様と PLCopen XML の紹介 ~PLC プログラムの生産システムエンジニアリング連携に向けて ~ Industrial Automation Forum - Forum 2015 PLCopen Japan Page 1 PLCopen の主な取り組み PLCopen は IEC 61131-3 プログラミングの普及促進と関連技術仕様の策定を う 営利団体

More information

PowerPoint Presentation

PowerPoint Presentation Simulink +Unreal Engine 連携による ADAS/ 自動運転シミュレーション環境 MathWorks Japan アプリケーションエンジニアリング部宮川浩 2015 The MathWorks, Inc. 1 Session Key Take Away MathWorks は 自動運転システム開発に必要な フルビークルモデルを提供します 3 自動車業界のメガトレンド Connectivity

More information

PowerPoint Presentation

PowerPoint Presentation Microsoft Dynamics AX のご紹介 NSK tokyo Table of Contents 1. Microsoft Dynamics AX とは 2. ユーザーにやさしい操作性 3. 会計管理 4. サプライチェーン管理 5. 生産管理 6. BI 7. ワークフロー管理 8. 開発 / クラウド 9. グローバル機能 10. ライセンス 1 1.Microsoft Dynamics

More information

Microsoft PowerPoint - EXPO2012_AKASAKA_rev.2.pptx

Microsoft PowerPoint - EXPO2012_AKASAKA_rev.2.pptx リアルタイム制御環境を活用したフィードバック制御系設計実践 MathWorks Japan アプリケーションエンジニアリング部アプリケーションエンジニア赤阪大介 212 The MathWorks, Inc. 1 本講演では.. ギャップ? - フィードバック制御器の設計 設計 シミュレーション リアルタイム制御テスト ( ラピッドプロトタイピング ) 実機環境を活用し 制御系設計を効率的に行うには?

More information