Similar documents
Bar-Code_GUIDE

バーコードプリントガイド

¥ƥ­¥¹¥ȥ¨¥ǥ£¥¿¤λȤ¤˽

A-GAGE High - Resolution MINI ARRAY Instruction Manual Printed in Japan J20005M


MOSFET 6-2 CMOS 6-2 TTL Transistor Transistor Logic ECL Emitter Coupled Logic I2L Integrated

I ASCII ( ) NUL 16 DLE SP P p 1 SOH 17 DC1! 1 A Q a q STX 2 18 DC2 " 2 B R b

II ( ) prog8-1.c s1542h017%./prog8-1 1 => 35 Hiroshi 2 => 23 Koji 3 => 67 Satoshi 4 => 87 Junko 5 => 64 Ichiro 6 => 89 Mari 7 => 73 D

( ) : 1997

10

PPS40511.PDF

VHDL VHDL VHDL i

H02_ROM_ indd

データロジックスキャニング株式会社

untitled

4 Mule(Emacs)

TOS7200 CD-ROM DUT PC 1.0X p.15 NEMA Vac/10 A [85-AA-0003] m : CEE7/7 : 250Vac/10 A [85-AA-0005] : GB1002 : 250Vac/10A [ ] 2016

基礎情報処理 I (文字型)

文字コードとその実装


MS240 JISⅡ半角カナ文字データを含むカード読み取り設定例

DS-3300 プログラミングマニュアル 設定項目 ページ 初期値設定マップ 1-2 ファームウェアバージョン情報 2 システム設定 3 読取機能設定 4 インターフェース設定 5 バーコード規格別読取設定 6-7 チェックデジット設定 8 読取桁数設定 9 キャラクタ付加設定 10 数字バーコード

型番 USB :F830-U RS232C:F830-R 組込み式 2 次元コードリーダー F830 詳細設定マニュアル Ver1.0 1

HITACHI 液晶プロジェクター CP-EX301NJ/CP-EW301NJ 取扱説明書 -詳細版- 【技術情報編】 日本語

HITACHI 液晶プロジェクター CP-AX3505J/CP-AW3005J 取扱説明書 -詳細版- 【技術情報編】

取扱説明書 -詳細版- 液晶プロジェクター CP-AW3019WNJ

VHDL

H02_ROM_ indd

電気系技術資料1.PDF

PR300 電力モニタ 通信インタフェース (RS-485通信,Ethernet通信)

TULを用いたVisual ScalerとTDCの開発

untitled

0630-j.ppt

Microsoft Word - 5J0080_EAN-128MenuBook_v023.doc

devicemondai

untitled

Analog Drive Multi-circuit Analog Distortion Unit User Manual

フリップフロップ

<4D F736F F F696E74202D FEE95F18F88979D8B5A8F702E B93C782DD8EE682E890EA97705D205B8CDD8AB B83685D>

<91E63589F161>

(4.15a) Hurwitz (4.15a) {a j } (s ) {a j } n n Hurwitz a n 1 a n 3 a n 5 a n a n 2 a n 4 a n 1 a n 3 H = a n a n 2. (4.16)..... a Hurwitz H i H i i H

sm1ck.eps

1990 IMO 1990/1/15 1:00-4:00 1 N N N 1, N 1 N 2, N 2 N 3 N 3 2 x x + 52 = 3 x x , A, B, C 3,, A B, C 2,,,, 7, A, B, C

( )!?

HW-Slides-05.ppt

TCR-10(PC)

/* sansu1.c */ #include <stdio.h> main() { int a, b, c; /* a, b, c */ a = 200; b = 1300; /* a 200 */ /* b 200 */ c = a + b; /* a b c */ }

untitled

AD8212: 高電圧の電流シャント・モニタ

第一章 系统讯息

日立液晶プロジェクター CP-AW2519NJ 取扱説明書- 詳細版-

51505agj.PDF

熊本県数学問題正解

(2 Linux Mozilla [ ] [ ] [ ] [ ] URL 2 qkc, nkc ~/.cshrc (emacs 2 set path=($path /usr/meiji/pub/linux/bin tcsh b

(Making the electronic circuit with use of micro-processor)

c 2009 i

DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter (jp)

MS-1J/MS-1WJ(形名:MS-1/MS-1W)取扱説明書 - 詳細- 技術情報編

Barcode Setup Manual

P0001-P0003-›ºflÅŠpB5.qxd

R1RW0408D シリーズ

( a 3 = 3 = 3 a a > 0(a a a a < 0(a a a

RS 175

.,. 0. (MSB). =2, =1/2.,. MSB LSB, LSB MSB. MSB 0 LSB 0 0 P

a n a n ( ) (1) a m a n = a m+n (2) (a m ) n = a mn (3) (ab) n = a n b n (4) a m a n = a m n ( m > n ) m n 4 ( ) 552

データフォーマットの設定例 Ver.F

VLSI工学

PIN S 5 K 0 K 1 K 2 K 3 K 4 V DD V 0 V 1 V 2 V SS OSC SEG 32 SEG 31 SEG 30 SEG 29 SEG 28 SEG 27 SEG 26 SEG 25 SEG 24 SEG 23 SEG 22 SEG 21 SEG 20 SEG 1

LTC ビット、200ksps シリアル・サンプリングADC

AN8934FA

DCV ACV DCI ACI DCV ACV DCI ACI DCV ACV DCI ACI DCV ACV DCI ACI Excel JIS Microsoft Excel I-V START I-V Excel I-V JIS C-8913 Excel Excel I-V ISC Isc J

B1 Ver ( ), SPICE.,,,,. * : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD

original: 2011/11/5 revised: 2012/10/30, 2013/12/ : 2 V i V t2 V o V L V H V i V i V t1 V o V H V L V t1 V t2 1 Q 1 1 Q

Z D

DL1720/DL1740ディジタルオシロスコープユーザーズマニュアル

広域防災拠点検討会報告書030723表紙_0829_.PDF

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp)

M51995AP/AFP データシート

A ディジタル・マルチメータ 取扱説明書 - Sep97

AN6591FJM

[ ] [ ] [ ] [ ] [ ] [ ] ADC

取扱説明書 [F-02F]

EVI-D100/D100P

MB (FRONT VIEW) (TOP VIEW) VSA VSB / RESIN VSC VSA OUTC VSB /RESIN GND GND OUTC (DIP-P-M0) (FPT-P-M0) VSC (SIP-P-M0) VSA VSB / RESIN 00 kω 0 kω + + Co

x, y x 3 y xy 3 x 2 y + xy 2 x 3 + y 3 = x 3 y xy 3 x 2 y + xy 2 x 3 + y 3 = 15 xy (x y) (x + y) xy (x y) (x y) ( x 2 + xy + y 2) = 15 (x y)

Microsoft PowerPoint - ch1.ppt

1. 2 P 2 (x, y) 2 x y (0, 0) R 2 = {(x, y) x, y R} x, y R P = (x, y) O = (0, 0) OP ( ) OP x x, y y ( ) x v = y ( ) x 2 1 v = P = (x, y) y ( x y ) 2 (x

Ł\”ƒ-2005

エッセー

1 Chapter 1 (1) (2) JIS IEC, / 1.1 (1) (2) (3). 1. (passive element): 2. (active element): MOS FET 3. (mechanical element): 1.2 Fig.1.1,Fig.1.2 Fig.1.

III

HN58V256Aシリーズ/HN58V257Aシリーズ データシート

R1LV1616H-I シリーズ

Microsoft PowerPoint - 02_資料.ppt [互換モード]

76 3 B m n AB P m n AP : PB = m : n A P B P AB m : n m < n n AB Q Q m A B AQ : QB = m : n (m n) m > n m n Q AB m : n A B Q P AB Q AB 3. 3 A(1) B(3) C(

HW-Slides-04.ppt

R1EV5801MBシリーズ データシート

untitled

HN58C256A シリーズ/HN58C257A シリーズ データシート

RNA52A10MM データシート

Transcription:

27 10

1 1 1.1............................... 1 1.2................................ 2 2 3 2.1......................................... 3 2.2 10 2.............................. 5 2.3 2 16.............................. 6 2.4...................................... 6 2.5....................................... 8 3 11 3.1..................................... 11 3.2......................................... 13 3.3.................................. 14 3.4............................... 14 3.5.......................................... 15 3.6...................................... 17 3.7..................................... 18 3.8....................................... 21 4 23 4.1............................... 23 4.2............................ 24 4.2.1................................. 24 4.2.2.................................. 24 4.3...................................... 25 4.4..................................... 26 4.5..................................... 26 4.6................................. 27 4.7................................. 28 4.8..................................... 30 i

5 33 5.1....................................... 33 5.2............................... 35 5.3......................................... 37 5.4..................................... 38 5.5 2..................................... 39 5.5.1....................................... 39 5.5.2....................................... 39 5.5.3................. 40 5.6.......................................... 41 5.7.......................................... 42 5.8 ALU........................................... 44 6 47 7 49 7.1 RS.................................. 49 7.1.1 RS....................... 49 7.1.2................................... 49 7.1.3................................... 50 7.1.4.................................. 50 7.1.5 RS-......................... 51 7.2 FF FF....................... 51 7.3 D-FF (Delayed flip flop)................................ 52 7.3.1...................................... 52 7.3.2 D-FF............................. 52 7.3.3 D-FF........................... 55 7.4 JK-FF.......................................... 56 7.5 T-FF (Toggle FF)................................... 59 7.6 FF........................................ 59 8 61 8.1...................................... 61 8.2..................................... 62 8.2.1.............................. 62 8.2.2................................ 63 8.2.3.............................. 63 8.2.4 FF............................... 63 8.2.5............................ 64 8.2.6................................. 66 8.3.................................. 67 ii

9 71 9.1.............................. 71 9.2.................................... 71 9.3 N.................................. 73 10 77 10.1......................................... 77 10.2..................................... 77 10.3...................................... 78 11 83 11.1....................................... 83 11.2................................. 83 11.3............................ 85 11.4......................................... 87 11.5......................................... 89 11.6....................................... 89 11.7......................................... 89 A 93 A.1....................................... 93 A.2...................................... 94 A.3................................. 97 A.4 TTL........................................... 98 A.4.1................................. 98 A.4.2................................... 99 A.4.3........................... 100 A.5 CMOS.......................................... 101 iii

1 1.1 (analog) (digital) (1) 1-1 1-2 (1) 0 1 0 1 1

1.2 2

2 2.1 10 10 (9 10 2 2 (1 2 16 16 (15 16 10 0, 1, 2, 3, 4, 5, 6, 7, 8, 9 2 0, 1 16 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, A, B, C, D, E, F (radix) n n (1) 16 16 0 9 A F A 10 10 F 10 15 a f (2) 1234 16 1234 10 16 1234 10 1234 10 10 2 2 16 16 10 i 10 i 0 1 3

0 n k 0 n-1 2 i 2 i 16 i 16 i. 0 10 1234 1 10 3 + 2 10 2 + 3 10 1 + 4 10 0 10 3, 10 2, 10 1, 10 0 2 1011 1 2 3 + 0 2 2 + 1 2 1 + 1 2 0 2 3, 2 2, 2 1, 2 0 10 2 1011 10 11 2 10 16 52DE 5 16 3 + 2 16 2 + D 16 1 + E 16 0 16 3, 16 2, 16 1, 16 0 5 16 3 + 2 16 2 + 13 16 1 + 14 16 0 10 21214 16 52DE 10 21214 16 10 2-1 (1) 10 5 2 (2) 10 28 16 2-2 (1) 5 2 5 10 (2) 3 16 5 10 2 1 (bit: binary digit ) MSB 2 (Most Significant Bit ) LSB 2 (Least Significant Bit ) 2 8 8 (byte) MSB 2 n 2 b n 1 b n 2...b i...b 0 b i 0 1 b n 1 2 n 1 + b n 2 2 n 2 +... + b i 2 i +... + b 0 2 0 = n 1 i=0 b i2 i MSB n-1 b n 1 LSB 0 b 0 8 2 10101010 MSB 1 LSB 0 2 2 4

2.4 2 2 n 2 10 1K 2 20 1M 2 30 1G 2 40 1T 2 50 1P 1024 1K 1024K 1M 1048576 1GB(1 ) 2 30 CPU 1GHz 1GHz 10 9 Hz 2 2 n 10 10 n 2.2 10 2 2 10 10 2 10 a m 1 a m 2...a 0 2 b n 1 b n 2...b 0 a m 1 a m 2...a 0 = b n 1 2 n 1 + b n 2 2 n 2 +... + b 0 2 0 2 b n 1 2 n 2 + b n 2 2 n 3 +... + b 1 2 0 b 0 1 b 0 2 b 1 b 0 b n 1 26 2 2 )26 2 )13 0 0 2 ) 6 1 1 2 ) 3 0 2 1 1 3 4 11010 1 a m 1 a m 2...a 0 2 5

10 16 2-3 (1) 3 5 10 5 2 2.3 2 16 2 4 16 1 2 16 2 4 16 16 2 16 4 2 1001 1010 0100 0011 9A43 ABCD 1010 1011 1100 1101 2.4 2 0 1-1010 - 0 1 2 2 2 0 1 n 2 n 1 8, 16, 32, 64 n 2 0 1 n-1 8 2 00110011 +51 10011001-25 2 2 6

2.1: 4 2 2 2 10 10 0000 (0) - 0001 (1) 1111 (-1) 0010 (2) 1110 (-2) 0011 (3) 1101 (-3) 0100 (4) 1100 (-4) 0101 (5) 1011 (-5) 0110 (6) 1010 (-6) 0111 (7) 1001 (-7) - 1000 (-8) 2 n 2 b n 1...b 1 b 0 n { }} { 1 00...00 b n 1...b 1 b 0 2 n b n 1...b 1 b 0 (2.1) b n 1...b 1 b 0 2 n+1 b n 1 b 0 0 n 2 n 2 b n 1 0 {}}{ 2 0 1 0...0 2 2.1 B 2 B B + B = 2 n 0 4 2 2 2.1 n 2 n 1 2 n 1 1 0 1 2 2 1. n 2 0 1 1 0 7 n 1

2. 1 3. n + 1 n 4 2 0001 1110 +1 1111 0000 1111 +1 10000 4bits 0000 m 2 A = a m 1...a 1 a 0 n 2 B = b n 1...b 1 b 0 m < n b 0 b m 1 b i = a i b m b n 1 a m 1 A B b m b n 1 0 A A A A 2 A B B 2 B A B B = 2 n B = 2 n A = 2 n (2 m A) = 2 n 2 m + A = (2 n m 1)2 m + A {}}{ A m B n m 1 1 0...0 8 2 2 16 2 2 00110011 0000000000110011 11110000 1111111111110000 2-4 8 2 2 10 (1) 10101010 (2) 00110011 (3) 11000110 (4) 01001100 2-5 8 2 2 2 (1) 11110000 (2) 00111011 2-6 8 2 2 16 (1) 11010011 (2) 00101001 2 2 5.5 2 2-7 2 (1)10101010+101010101 (2) 11011011+10111011 (3) 10001011001+10111011 m 1 2.5 0 1 2 A 1000001 1 0110001 8

1000001 A 10 65 2 2 2 ASCII 3 ASCII 1960 4 ASCII 7 2.2 2.2 7 3 4 2 6 1111111 http://ja.wikipedia.org/wiki/ascii ASCII ASCII 1960 5 (JIS X 0201) 7 8 JIS X 0201 JIS X 0201 8 0 ASCII ASCII \ ~ JIS X 0201 Y= 6 1 http://ja.wikipedia.org/wiki/jis X 0201 8 2 JIS (ISO) ISO-2022 JIS JIS X 0208 7 8 2 6800 94 x 94 ( 94 x 94 [1] ) 16 1 JIS 1982 2 wiki http://ja.wikipedia.org/wiki/ 3 American Standard Code for Information Interchange 4 C ( C) 7 0 C C 1000011 7 0 0000011 ETX UNIX C emacs I [ ESC 5 [1] 6 ASCII JIS X 0201 9

2.2: ASCII 3 4 000 001 010 011 100 101 110 111 0000 NUL DLE SP 0 @ P p 0001 SOH DC1! 1 A Q a q 0010 STX DC2 2 B R b r 0011 ETX DC3 # 3 C S c s 0100 EOT DC4 $ 4 D T d t 0101 ENQ NAC % 5 E U e u 0110 ACK SYN & 6 F V f v 0111 BEL ETB 7 G W g w 1000 BS CAN ( 8 H X h x 1001 HT EM ) 9 I Y i y 1010 LF/NL SUB : J Z j z 1011 VT ESC + ; K [ k { 1100 FF FS, < L \ l 1101 CR GS - = M ] m } 1110 SO RS. > N ^ n ~ 1111 SI US /? O _ o DEL MULTI16 OS CP/M-86 JIS( ) JIS JIS X 0208 Unicode UTF [2] [ ] [1] Vol. 45, No. 9, pp. 528-535, 2001 [2] Vol. 45, No. 12, pp. 687-694, 2001 [1],[2] http://kanji.zinbun.kyoto-u.ac.jp/ yasuoka/publications/iscie2001.pdf 10

3 3.1 (Boolean algebra) 1850 George Bool 3 1 yes true T on high 0 no false F off low (1) x, y (2) +,, + 12 (3) f, g (1) x,y, + f = x + y (2) X 1, X 2,..., X n, Y Y = f(x 1, X 2,..., X n ) n 11

3.1: (a) (b) x, y z x, y z 0+0 0 0 0 0 0+1 1 0 1 0 1+0 1 1 0 0 1+1 1 1 1 1 (c) x z 0 1 1 0 z = x + y 3.1(a) z = x y 3.1(b) z = x 3.1(c) (1) 1+1=1 1 1 1 (2) 0,1 2 4 2 n 2 n 3 0 1 z = x + y w z = x + (y w) (NOR) (NAND) (XOR, exclusive OR) C = A + B C = A B C = A B 3.2 12

3.2: (a) (b) (c) x, y z x, y z x, y z 0 + 0 1 0 0 1 0 0 0 0 + 1 0 0 1 1 0 1 1 1 + 0 0 1 0 1 1 0 1 1 + 1 0 1 1 0 1 1 0 (C = A + B) (C = A B) (C=Ā) OR A B C 0 0 0 0 1 1 1 0 1 1 1 1 AND A B C 0 0 0 0 1 0 1 0 0 1 1 1 NOT A C 0 1 1 0 16 2 16 16 f i (x, y) x y f 0 f 1 f 2 f 3 f 4 f 5 f 6 f 7 f 8 f 9 f 10 f 11 f 12 f 13 f 14 f 15 0 0 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 1 0 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 1 1 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 2 5 3-1 5 3.2 13

Ā = A A + 0 = A A + 1 = 1 A + Ā = 1 A 0 = 0 A 1 = A A Ā = 0 A + A = A A A = A A + B = B + A A B = B A A + (B + C) = (A + B) + C A (B C) = (A B) C A (B + C) = A B + A C A + B C = (A + B) (A + C) A + A B = A A (A + B) = A A + Ā B = A + B (1) (2) (3) (4) (5) (6) (7) (8) (9) (10) (11) (12) (13) (14) (15) (16) (17) (18) 3-2 (3), (7), (8), (11), (15),(18) 3.3 A + B = Ā B A B = Ā + B 3-3 3-4 AND OR OR AND (1)A B, (2)A + B + C, (3)A B C 3.4 14

OR A B C 0 0 0 0 1 1 1 0 1 1 1 1 1 0 1 A 0 B 0 0 1 2 3 4 1 2 Ā B, Ā 1 B 1 C 1 3 A B 4 A B C = Ā B + A B + A B C 1 C = Ā B + A B + A B = Ā B + A B + A B + A B = (Ā + A) B + A ( B + B) = B + A = A + B 2 3 4 5 3-5 1 XOR A B C 0 0 0 0 1 1 1 0 1 1 1 0 3.5 n (0 1) 1 3 3.3 n (0 1) 0 3 3.3 15

3.3: x 0 x 1 x 2 x 0 x 1 x 2 0 0 0 x 0 x 1 x 2 0 0 1 x 0 x 1 x 2 0 1 0 x 0 x 1 x 2 0 1 1 x 0 x 1 x 2 1 0 0 x 0 x 1 x 2 1 0 1 x 0 x 1 x 2 1 1 0 x 0 x 1 x 2 1 1 1 x 0 x 1 x 2 0 0 0 x 0 + x 1 + x 2 0 0 1 x 0 + x 1 + x 2 0 1 0 x 0 + x 1 + x 2 0 1 1 x 0 + x 1 + x 2 1 0 0 x 0 + x 1 + x 2 1 0 1 x 0 + x 1 + x 2 1 1 0 x 0 + x 1 + x 2 1 1 1 x 0 + x 1 + x 2 1 1 C = Ā B + A B 0 0 C = (A + B) (A + B) 3-6 A B C D A B C D 0 0 0 1 1 0 0 1 0 0 1 1 1 0 1 0 0 1 0 1 1 1 0 0 0 1 1 1 1 1 1 0 16

3.6 n F (x 1, x 2,..., x i,..., x n ) F (x 1,..., x i,..., x n ) = x i F (x 1,..., 0,..., x n ) + x i F (x 1,..., 1,..., x n ) x i = 0 =F (x 1,..., 0,..., x n ) =0 F (x 1,..., 0,..., x n ) + 0 F (x 1,..., 1,..., x n ) = F (x 1,..., 0,..., x n ) x i = 1 =F (x 1,..., 1,..., x n ) =1 F (x 1,..., 1,..., x n ) + 1 F (x 1,..., 1,..., x n ) = F (x 1,..., 1,..., x n ) x 1 F (x 1, x 2,..., x n ) = x 1 F (0, x 2,..., x n ) + x 1 F (1, x 2,..., x n ) F (0, x 2,..., x n ) F (1, x 2,..., x n ) x 2 F (x 1, x 2,..., x n ) = x 1 x 2 F (0, 0,..., x n ) + x 1 x 2 F (0, 1,..., x n ) + x 1 x 2 F (1, 0,..., x n ) + x 1 x 2 F (1, 1,..., x n ) x 3 F (x 1, x 2,..., x n ) = x 1 x 2... x n F (0, 0,..., 0) + x 1 x 2... x n F (0, 0,..., 1) +... + x 1 x 2... x n F (1, 1,..., 0) + x 1 x 2... x n F (1, 1,..., 1) 1 F (...) 1 F (...) F (...) 3-7 f = x 1 (x 2 + x 3 ) n F (x 1, x 2,..., x i,..., x n ) F (x 1,..., x i,..., x n ) = (x i + F (x 1,..., 1,..., x n )) (x i + F (x 1,..., 0,..., x n )) 3-8 17

F (x 1, x 2,..., x n ) = (x 1 + x 2 +... + x n + F (1, 1,..., 1)) (x 1 + x 2 +... + x n + F (1, 1,..., 0))... (x 1 + x 2 +... + x n + F (0, 0,..., 1)) (x 1 + x 2 +... + x n + F (0, 0,..., 0)) F (...) 3-9 f = x 1 (x 2 + x 3 ) 3.7 2 2 1 AND 2 2 1 OR 1 1 NOT C = A + B OR D = A + B C E = B C AND D = A + E OR A B C AND E OR D C = Ā B + A B + A B 3 1 3 1 C = A + B 1 2 6 A (B + B) A B + B = 1 B + B = 1 1 3.2 18

2 A, B 0 1 B 0 1 A 0 1 A B A B + A B 1 (A, B) 2 A = 1, B = 1 A = 1, B = 0 1 1 0 1 0 A B 0 1 A B 0 1 0 1 1 1 0 1 0 1 0 1 1 A 1 B 0 1 1 A (B + B) A Ā B + A B + A B Ā B + A B + A B B 0 1 A 0 1 0 1 1 1 1 B 0 1 A 0 1 0 1 1 1 A B 1 A + B 2 (A, B) (0,0), (0,1), (1,0), (1,1) 19

1 L B A L A = 0, B = 0 1 2 2 1 A, B 1 1 1 1 1 2 2 1 2 2 1 1 3 A, B, C 3 A, B 3 3 A B C 0 1 0 1 1 0 0 0 1 1 A, B A + Ā 1 2 A B A + Ā 1 1 B A B C D 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1 1 AB + BC + CA 3 20

A B C 0 1 0 1 1 0 0 0 1 1 0 0 1 0 0 1 1 1 A B C 0 1 0 1 1 0 0 0 1 1 0 0 1 0 0 1 1 1 3 1 4 A, B 2 2 1 2 3 1 2 3 2 1 2 2 4 4 5 4 5 E=0 E=1 A B C D 0 0 1 0 1 1 0 1 0 1 1 0 0 0 1 1 A B C D 0 0 1 0 1 1 0 1 0 1 1 0 0 0 1 1 A B C D 0 0 1 0 1 1 0 1 0 1 1 0 0 0 1 1 5 2 2 3 1 6 3-10 1. f = Ā B C + ĀB C + A BC + ABC 2. f = (A + D)B C + (Ā + C)BD + ( C + D)ĀB 3. f = B C D + ABC + ĀBC + BC D + Ā BC 3.8 BCD BCD 4 2 10 10 10 1 4 2 4 BCD Binary Coded Decimal 21

BCD 10 BCD 10 BCD 0 0000 5 0101 1 0001 6 0110 2 0010 7 0111 3 0011 8 1000 4 0100 9 1001 BCD 1010 1111 (don t care) BCD 1 0 ϕ (BCD ) (BCD ) a 3 a 2 a 1 a 0 a 3 a 2 a 1 a 0 0000 1 1000 1 0001 0 1001 0 0010 1 1010 ϕ 0011 0 1011 ϕ 0100 1 1100 ϕ 0101 0 1101 ϕ 0110 1 1110 ϕ 0111 0 1111 ϕ ϕ ϕ a 0 a 1 0 0 1 1 0 1 1 0 0 0 0 1 1 0 a 3 a 2 0 1 1 1 0 0 1 1 φφ X X 1 0 1 φ X 0 ϕ 0 1 ϕ 0 f = ā 0 ā 3 + ā 0 ā 1 ā 2 0 ϕ 1 f = ā 0 22

4 4.1 A, B C C=A+B A B C 0 0 0 0 1 1 1 0 1 1 1 1 C=A B A B C 0 0 0 0 1 0 1 0 0 1 1 1 C=Ā A C 0 1 1 0 MOSFET CMOSFET TTL CMOSFET CMOS CMOSFET BiCMOS Passive element Active element FET 23

4.2 4.2.1 4.1 抵 抗 抵 抗 電 池 5V V 電 池 5V V?V?V 4.1: 4.2.2 3 4.2 (B) (C) (E) - - 1 0V 5V 4.3 0V 0 5V 1 抵 抗 トランジスタ 電 池 5V B C E V ここに, 適 切 な 電 流 を 流 すと,このトランジス タはスイッチの 働 きをする.( 電 流 を 流 すとス イッチが 閉 じ, 流 さないとスイッチが 開 く.) 4.2: 1 24

抵 抗 抵 抗 電 池 5V B C E V 電 池 5V B C E V スイッチなら 人 間 の 手 で 操 作 し,トランジスタでは, 電 子 回 路 で 制 御 ( 操 作 )する. 4.3: 4.3 4.4 0(=0V) 1(=5V) 1 0 1 0 0 1 0を 入 力 するとスイッチが 開 く 1を 入 力 するとスイッチが 閉 じる 4.4: 4.5 A C C = Ā A C NOT 4.5: 25

4.4 4.6 A, B 2 0 C 0 1 C A B この 図 は,0,0を 入 力 したときのスイッチの 状 態 を 表 している.( 他 の 入 力 の 組 合 せについては 各 自 で 確 認 してください.) 4.6: 4.7 A, B C A B C OR 4.7: 4-1 4.5 4.8 A, B 2 1 C 1 0 この 図 は,1, 1を 入 力 した 時 の スイッチの 状 態 を 表 している. 4.8: 4.9 A, B C 26

A B C AND 4.9: 4-2 MOSFET CMOSFET 4.6 NOR, NAND, XOR 2 C = A + B A B C 0 0 1 0 1 0 1 0 0 1 1 0 C = A B A B C 0 0 1 0 1 1 1 0 1 1 1 0 C = A B A B C 0 0 0 0 1 1 1 0 1 1 1 0 4.10 4.6 C A B 4.10: 4-3 C = A B + Ā B 2 XOR, EOR,EXOR 27

4-4 4.11 A B C A B C A B C NOR NAND XOR 4.11: 3 3 4-5 3 4.7 OR AND NOT, AND, OR, NAND, NOR, XOR NOT 1 1 2 1 XOR 1 1. 2. (a) NOT (b) AND (c) OR A B + Ā B A B Step (a) Step (b) Step (c) 28

NAND NOR A B NAND Ā + B NAND 1 NOT 2 OR 1 1. 2. 2.1 NOT 2.2 2.3 XOR 2.4 2.2, 2.3 A B + C + D A B C D Step 2.1 Step 2.2 Step 2.4 4-6 A B C D 0 0 0 1 0 0 1 0 0 1 0 1 0 1 1 1 1 0 0 0 1 0 1 0 1 1 0 1 1 1 1 1 29

4.8 C = A B C = Ā + B + 3 1 0 Ā, B, C A B C 0 0 0 0 1 0 1 0 0 1 1 1 Ā B C 1 1 1 1 0 1 0 1 1 0 0 0 4.12 0V 0 5V 1 4.5 0V 0 5V 1 1 0 C = A B 4 C = Ā + B 2 この 図 は,1, 1を 入 力 した 時 の スイッチの 状 態 を 表 している. 4.12: ( ) 3 + 4 Ā B C 30

C = A + B C = Ā B 4-7 (NOT) 5 C = A C = Ā (NAND) NAND A B C 0 0 1 0 1 1 1 0 1 1 1 0 (C = A B) NAND 6 C = A B C = F, F = A B NAND NAND Ā B C 1 1 0 1 0 0 0 1 0 0 0 1 C = Ā + B (C = Ā+ B) (NOR) C = A + B C = Ā B 5 6 31

4-8 C = A B + Ā B 2 XOR Ā B C 1 1 1 1 0 0 0 1 0 0 0 1 C = Ā B + Ā B 32

5 (Combinatorial circuit) (Sequential circuit) 5.1 (Encoder) 5.1 0 9 2 0000 1001 2 5.2 10 2 4 5.1 10 1 1 5V 5.1: O 0 5V. encoder O 1 O 2... O 3 Ten Key 5.2: 33

5.1: i 0 i 1 i 2 i 3 i 4 i 5 i 6 i 7 i 8 i 9 o 3 o 2 o 1 o 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 0 0 0 0 1 0 0 0 0 1 0 0 0 0 0 0 0 0 1 1 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 1 0 0 0 0 0 0 1 0 0 0 0 1 1 0 0 0 0 0 0 0 0 1 0 0 0 1 1 1 0 0 0 0 0 0 0 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 1 10 o 3 1. o 3 1 i 8 = 1 i 0 = 0... i 7 = 0, i 9 = 0 i 9 = 1 i 0 = 0... i 8 = 0 2 i 0 i 1...i 7 i 8 i 9 i 0 i 1...i 8 i 9 2. o 3 = i 0 i 1...i 7 i 8 i 9 + i 0 i 1...i 8 i 9 i 8 1 1 i 8 = 1 i 8 1 i 9 o 3 o 3 = i 8 + i 9 o 3 = i 8 + i 9 o 2 = i 7 + i 6 + i 5 + i 4 o 1 = i 2 + i 3 + i 6 + i 7 o 0 = i 1 + i 3 + i 5 + i 7 + i 9 5-1 4 34

5.2 2 0 3 5.2 5.2: i 0 i 1 i 2 i 3 o 1 o 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 1 1 0 1 0 1 1 1 1 0 i 0 i 1 i 2 i 3 o 1 o 0 0 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 1 1 1 0 0 0 1 0 0 1 0 1 0 0 1 1 0 1 0 1 0 1 0 1 0 1 1 1 0 1 0 i 0 i 1 i 2 i 3 o 1 o 0 0 0 0 1 1 1 0 0 1 1 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1 0 0 1 1 1 1 0 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 o 0 o 1 o 1 = i 3 + i 2 o 0 = i 3 + i 2 i 1 5-2 o 0, o 1 5.2 1. i 3 1 i 2, i 1, i 0 o 1 o 0 1 35

5.3: 10 i 0 i 1 i 2 i 3 i 4 i 5 i 6 i 7 i 8 i 9 o 3 o 2 o 1 o 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 0 0 1 0 1 0 0 0 0 0 0 0 0 1 1 1 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 1 0 1 1 0 0 0 0 1 1 0 1 0 0 0 1 1 1 1 0 1 0 0 0 1 1 0 0 1 2. i 2 1 i 1, i 0 o 1 1 3. i 2 0 i 1 1 i 0 o 0 1 1 2 o 1 = i 3 + i 2 1 3 o 0 = i 3 + i 2 i 1 10 10 5.3 5.3 1. i 9 = 1 i 8 = 1 o 3 1 2. i 9 = 0 i 8 = 0 i 7 = 1 i 6 = 1 i 5 = 1 i 4 = 1 o 2 1 3. i 9 = 0 i 8 = 0 i 7 = 1 i 6 = 1 i 9 = 0 i 8 = 0 i 5 = 0 i 4 = 0 i 3 = 1 i 2 = 1 o 1 1 4. i 9 = 1 i 9 = 0 i 8 = 0 i 7 = 1 i 9 = 0 i 8 = 0 i 6 = 0 i 5 = 1 i 9 = 0 i 8 = 0 i 6 = 0 i 4 = 0 i 3 = 1 i 9 = 0 i 8 = 0 i 6 = 0 i 4 = 0 i 2 = 0 i 1 = 1 o 0 1 o 0 = i 9 + i 9 i 8 i 7 + i 9 i 8 i 6 i 5 + i 9 i 8 i 6 i 4 i 3 + i 9 i 8 i 6 i 4 i 2 i 1 36

= i 9 + i 8 i 7 + i 8 i 6 i 5 + i 8 i 6 i 4 i 3 + i 8 i 6 i 4 i 2 i 1 o 1 = i 9 i 8 (i 7 + i 6 ) + i 9 i 8 i 5 i 4 (i 3 + i 2 ) o 2 = i 9 i 8 (i 7 + i 6 + i 5 + i 4 ) o 3 = i 9 + i 8 o 0 a + āb = a + b 5.3 (Decoder) 3 2 1 0 n 2 n 2 10 2 10 4 10 3 5.4 3-to-8 3 8 5.4: 3-to-8 i 2 i 1 i 0 o 0 o 1 o 2 o 3 o 4 o 5 o 6 o 7 0 0 0 1 0 0 0 0 0 0 0 0 0 1 0 1 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 1 0 1 0 0 0 0 0 1 0 0 1 1 0 0 0 0 0 0 0 1 0 1 1 1 0 0 0 0 0 0 0 1 5.4 o 0 = i 2 i 1 i 0 o 1 = i 2 i 1 i 0 o 2 = i 2 i 1 i 0 o 3 = i 2 i 1 i 0 o 4 = i 2 i 1 i 0 o 5 = i 2 i 1 i 0 o 6 = i 2 i 1 i 0 37

i 0 i 1 i 2 i 3 multiplexer (selector) o c 0 c 1 5.3: o 7 = i 2 i 1 i 0 5-3 3-to-8 5.4 4 (i 0...i 3 ) 1 2 n 1 log n n 2 4 c i c 1 c 0 o 0 0 i 0 0 1 i 1 1 0 i 2 1 1 i 3 5.3 00 i 0 o = c 0 c 1 i 0 + c 0 c 1 i 1 + c 0 c 1 i 2 + c 0 c 1 i 3 38

5.5 2 2 5.5.1 2 2 10 4 0101+0110=1011 (5+6=11) 2 2 + + + A B B 2 B B B = 2 n B A+B A B A B A < B A B A < B A B 2 n +A B 2 n +A B = 2 n +A (2 n B) = A+B A B A B A B A B = A (2 n B) = A + B 2 n 0 A + B 2 n A + B n + 1 2 n n n + 1 A B n + A B A 2 B 2 2 A B A B 2 n (A + B ) = 2 n (2 n A + 2 n B) = A + B 2 n A + B n + 1 2 n A B n 2 2 2 5.5.2 2 2 2 2 A B A B = A + ( B) 2 B 2 B A + B 39

A,B A B A B = A + 2 n B 2 n = A + (2 n B) 2 n A B 0 A + (2 n B) 2 n A + (2 n B) n + 1 B 2 2 A < B A + (2 n B) < 2 n A B 2 5.5.3 n n + 1 5.5.2 2 4 2 2 10 8 7 0100 2 0101 2 0100 2 + 0101 2 = 1001 2 4 + 5 = 9 4 + 5 = 7 A B A + B < max( A, B ) 5.5 A B = A + ( B) A B 5.5 5-4 40

5.5: 5.6 2 1 2 1 0 + 0 = 00, 0 + 1 = 01, 1 + 0 = 01, 1 + 1 = 10 2 2 10 1 2 2 1 1 (1) 0 (0) s c 0 s 0 = 0, 0 s 1 = 1, 1 s 0 = 1, 1 s 1 = 0 0 c 0 = 0, 0 c 1 = 0, 1 c 0 = 0, 1 c 1 = 1 a b s c 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 a b s c 1 a = 0 b = 1 a = 1 b = 0 a = 0 ā = 1 a = 0 b = 1 ā b = 1 s = ā b + a b = a b c = a b 41

(Half Adder) c in c out a b c in s c out Full Adder a b c in s c out 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 a = 1 b = 1 c in = 1 1+1+1=11 1 1 s c in 1 4 s = ā b c in + ā b c in + a b c in + a b c in = a b c in (5.1) c out = ā b c in + a b c in + a b c in + a b c in = a b + b c in + c in a (5.2) 5.4 Full Adder n 2 n 2 5.5 c in 0 n 2 5-5 5.7 2 5.5.2 2 1 42

a b c in s a s c out b c in FA c out (a) 論 理 回 路 (b) ブロック 図 5.4: s 0 s 1 s n-1 s s s FA 0 FA 0 1 FA n-1 c c in c out c in c out c in c n out a b a b a b a 0 b 0 a 1 b 1 a n-1 b n-1 5.5: 2 n 43

s 0 s 1 s n-1 add/sub c in ADDER c out a 0 b 0 a 1 b 1 a n-1 b n-1 5.6: 2 n 1 XOR 5.6 ADDER 5.5 b XOR XOR b i add/sub add/sub 0 1 ADDER c in XOR b i b i ADDER 5.8 ALU ALU Arithmetic Logic Unit 4 ALU 5.7 5.8 5.7 1 5.8 n n ALU 1 ctl 1 ctl 0 0 0 0 1 1 0 1 1 5.7 (00 11 5.8(a) c in 5.8(b) \ n n 1 ALU SN74181 SN74 ALU 48 6 44

c in ctl 1 ctl 0 a b ctl 1 ctl 0 00 c in ctl 1 ctl 0 01 out s a b ALU s 10 a c in FA b c out s 11 c out multiplexer c out (a) 論 理 回 路 (b) ブロック 図 5.7: 1 ALU ctl 0 ctl 1 s 0 s 1 s n-1 c0 s c 0 s c 0 s c 1 ALU c 1 0 ALU c 1 1 ALU n-1 c in c out c in c out c in c out a b a b a b c n a b n n ctl 2 ALU n s a 0 b 0 a 1 b 1 a n-1 b n-1 c out (a) ALU 構 成 図 (b) ブロック 図 5.8: n ALU 45

n NOR 2 c n 2 5.5 ALU 46

6 6.1 100 1 金 額 表 示 投 入 口 りんご オレンジ 取 出 口 6.1: 47

, b, b, 2 6 6, 2, 2 6.2: 6.2 6-1 6.2 6-2( ) 30 2 20 48

7 7.1 RS 7.1.1 RS RS-FF(set reset flip flop): RS-FF 7.1 R S Q Q Q Q Q, Q Q R S Q R S Q Q Q Q n, Q n+1 7.1 Q n R S Q n+1 Q n+1 X Q n Q n+1 7-1 7.1 7.1 Q Q 7-2(RS-FF ) 7.1 RS-FF 4 4 7.1: RS 49

S R Q n Q n+1 comment 0 0 0 0 0 0 1 1 0 1 0 0 0 1 1 0 1 0 0 1 1 0 1 1 1 1 0 x 1 1 1 x = S R Q n+1 0 0 Q n 0 1 0 1 0 1 1 1 x 7.1: RS-FF 7.1.2 RS-FF R = 1, S = 1 R S 0 RS = 0 RS-FF RS = 0 7-3 RS-FF 7.1.3 7.1 Q n+1 S R Q n Q n+1 Q n+1 = S RQ n + S R = S RQ n + S R + SR = S RQ n + S = RQ n + S SR = 0 Q n+1 RS-FF 7.1.4 7.2 low T 7.2: 0 high 5 low high high low 50

T f f = 1/T Hz 1 1 1GHz 1 1 nsec 1 1 7.1.5 RS- RS-FF ( ) RS-FF CLK S R Q n+1 0 any any Q n 1 0 0 Q n 1 0 1 0 1 1 0 1 1 1 1 X any (0,1) X RS-FF CLK 1 RS-FF CLK 0 1 CLK high 1 7.3 7.3 CLK 1 S R 2 1 FF RS-FF RS-FF &/. 4 4 7.3: RS-FF 7-4 7.3 1 0 0 1 2 low 51

7.2 FF FF SR-FF high ( ) high low FF 3 FF FF FF FF high low FF FF FF FF 4 setup time hold time 7.4 VHWXSWLPH &/. KROGWLPH 56 1F2I{)2 -L-î 7.4: setup time hold time FF FF FF 7.3 D-FF (Delayed flip flop) 7.3.1 D-FF RS-FF R R = S 7.5 D-FF 3 (trigger) 4 0 0 52

' 4 4 &/. 7.5: D-FF CLK D Q n+1 0 any Q n 1 0 0 1 1 1 any (0,1) D-FF Q n+1 = D 7-5 7.5 7.3.2 D-FF D-FF 7.6 D-FF &/. 4 4 ' 7.6: D-FF CLK D Q n+1 0,1, any Q n 0 0 1 1 any (0,1) 53

CLK setup time hold time Q n+1 = D [ ] 7.7 (a) Q = 1, D = 0, CLK = 0 (b) CLK : 0 1 (c) (b) D CLK : 1 0, D : 0 (d) (b) high D 1 D : 0 1, CLK : 1 (e) (b) D 1 D : 0 1, CLK : 1 0 (f) (d) CLK : 1 0 FF (i)q = 1, D = 1, CLK = 0, (ii)q = 0, D = 0, CLK = 0, (iii)q = 0, D = 1, CLK = 0 7.3.3 D-FF D-FF 7.8 FF 7.8 high low D-FF high RS-FF I 1 low 5 low high low 6 (Q, Q) Q n+1 = D 7-6 7.9 (a) Q = 1, D = 0, CLK = 0 (b) high CLK : 0 1 (c) CLK : 1 0 (d) (c) D 1 D : 0 1 5 (0,1) (1,0) 6 54

4 4 ' &/. 4 4 ' &/. 4 4 ' &/. 4 4 ' &/. 4 4 ' &/. 4 4 ' &/. D E F G H I 7.7: D-FF 55

', tz t 4 4 &/., 7.8: D-FF FF (i)q = 1, D = 1, CLK = 0, (ii)q = 0, D = 0, CLK = 0, (iii)q = 0, D = 1, CLK = 0 7.4 JK-FF JK-FF RS-FF R = 1, S = 1 FF JK-FF S, R J, K CLK J K Q n+1 0 any any Q n 1 0 0 Q n 1 0 1 0 1 1 0 1 1 1 1 Q n any (0,1) FF 7.10 (Q, Q) (G3, G4) CLK = 0, J = 1, K = 1, Q = 1, Q = 0 CLK 0 1 G4 1 G4 0 G3 ( Q 0 )1 Q 1 Q 0 G4 1 G3 0 Q 1 Q 0 G4 0 G3 1... Q 1010... 7.11 56

4 4 ' &/. tz t,, 4 4 ' &/. tz t,, 4 4 ' &/. tz t,, 4 4 ' &/. tz t,, D E F G 7.9: D-FF 57

- 4 &/.. 4 7.10: JK-FF tz t - 4. 4 &/., 7.11: JK-FF 7-7 7.11 JK-FF JK-FF J K Q n Q n+1 0 0 0 0 0 0 1 1 0 1 0 0 0 1 1 0 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 0 Q n+1 = J KQ n + J KQ n + J KQ n + JKQ n = ( J K + J K)Q n + (J K + JK)Q n = KQ n + JQ n 58

7.5 T-FF (Toggle FF) T-FF JK-FF J=K Q n+1 = T Q n + T Q n 7-8T-FF (i) (ii) (iii) 7.6 FF FF 7.12 FF IC SN74LS74 S CLK R Q Q D CLK Q Q (a) 同 期 式 SR-FF (b)d-ff J CLK K Q Q T CLK Q Q (C)JK-FF (d)t-ff 7.12: FF 59

8 8.1 8.1 f : X Z X Z [ I [ P µ' Á ] Q ««««%XÁ 8.1: 61

S = s 1, s 2,, s l X = x 1, x 2,, x m Z = z 1, z 2,, z n f : X S S g : X S Z M = (S, X, Z, f, g) 8.2 8.2.1 1. 2. [3] 3. FF FF 4. FF 5. [ ]0 1 1 4 1 0 62

V V V V 8.2: 8.1: z x = 0 x = 1 x = 0 x = 1 s0 s0 s1 0 0 s1 s0 s2 0 0 s2 s0 s3 0 0 s3 s0 s3 0 1 11011111001111111 00000011000001111 8.2.2 0 (s0) 1 1 (s1) 1 2 (s2) 1 3 (s3) 8.2 8.1 8.2.3 8.2.4 FF 1 FF 2 m FF 2 m n m log 2 n m FF 4 2 FF 63

I8 : µ'á )) )) &/. 8.3: 8.2: FF FF1 FF2 (Q1) (Q2) s0 0 0 s1 0 1 s2 1 0 s3 1 1 8.3 FF s i 8.2 8.1 8.2 FF 8.3 8.2.5 8.3 FF FF x 8.3: z x = 0 x = 1 (Q 1, Q 2 ) (Q 1, Q 2) (Q 1, Q 2) x = 0 x = 1 s0: (0, 0) (0, 0) (0, 1) 0 0 s1: (0, 1) (0, 0) (1, 0) 0 0 s2: (1, 0) (0, 0) (1, 1) 0 0 s3: (1, 1) (0, 0) (1, 1) 0 1 64

Q = f 1 Q + f 2 Q Q ( )FF Q ( )FF f 1, f 2 Q, Q f 1, f 2 FF 8.3 Q 1 Q 2 8.3 Q 1 = x Q 1 Q 2 + xq 1 Q2 + xq 1 Q 2 = x(q 2 + Q 2 )Q 1 + xq 2 Q1 = xq 1 + xq 2 Q1 Q 2 = x Q 1 Q2 + xq 1 Q2 + xq 1 Q 2 = xq 1 Q 2 + x( Q 1 + Q 1 ) Q 2 = xq 1 Q 2 + x Q 2 FF1 f 1 = x, f 2 = xq 2 FF2 f 1 = xq 1, f 2 = x FF FF RS-FF Q = RQ + S, RS = 0, Q = f 1 Q + f 2 Q S = f(f 1, f 2, Q), R = g(f 1, f 2, Q) 1 Q = RQ+S Q = f 1 Q+f 2 Q R = f1, S = f 2 Q Q = f 1 Q + f 2 Q = f1 Q + f 2 Q + Q Q = (f1 + Q)Q + f 2 Q = f1 QQ + f 2 Q R = f 1 Q, S = f 2 Q RS = f 1 f 2 Q Q = 0 FF D-FF Q = D Q = f 1 Q + f 2 Q D = f 1 Q + f 2 Q JK-FF Q = KQ + J Q Q = f 1 Q + f 2 Q J = f 2, K = f 1 T-FF Q = T Q + T Q Q = f 1 Q + f 2 Q Q = T Q + T Q = T Q + Q T QQ QQ QQ = T Q QQ = T Q QQ + QQ = T Q + T Q = T ( Q + Q) = T Q T = f 1 Q + f 2 Q 1,p.113,,1996 65

8.4: FF FF RS-FF Q = RQ + S, RS = 0 Q = f 1 Q + f 2 Q R = f1 Q, S = f 2 Q D-FF Q = D Q = f 1 Q + f 2 Q D = f1 Q + f 2 Q JK-FF Q = KQ + J Q Q = f 1 Q + f 2 Q J = f2, K = f 1 T-FF Q = T Q + T Q Q = f 1 Q + f 2 Q T = f1 Q + f 2 Q ] [ &/. )) 4 6 &/. 4 5 )) 4 6 &/. 4 5 8.4: 8-1 T-FF 8.4 8.2.6 8.3 FF1 f 1 = x, f 2 = xq 2 FF2 f 1 = xq 1, f 2 = x RS-FF R = f 1 Q, S = f 2 Q F F 1 : S 1 = xq 2 Q1, R 1 = xq 1 F F 2 : S 2 = x Q 2, R 2 = xq 1 Q 2 z = xq 1 Q 2 8.4 8.4 8-2D-FF 8.3 8-3JK-FF 8.3 66

&/. ; 5 6 4 5 6 4 = 8.5: 8-4T-FF 8.3 8.3 2 3 CLK, x, z, S 1, R 1, Q 1, S 2, R 2, Q 2 8.5 x = 11011111 Q 1, Q 2 Q 0 FF X 0 FF 1. FF FF FF 2. FF 8.5 1. R 1 = 0, S 1 = 0 Q 1 0 Q 2 2. 8.4 x 1 S 2 = x Q 2 S 2 = 1 2 timing chart 3 67

LQSXW FORFN ' 4 &. 4 [ 8.6: 8-5 8-2 8-4 FF 10nsec 5nsec FF Q 20nsec 20nsec Q X 8.6 D-FF FF D-FF Q 20nsec 8.5 x R S 20nsec 1 100nsec 20nsec R S 10nsec R S 5nsec Q 0 FF FF max(hold time, Q ) + set up time + 20 + 10 + α = 30 + α nsec α SN74LS74A D-FF (Texas Instruments FF http://focus.ti.com/lit/ds/ symlink/sn74ls74a.pdf SN74LS74A IC 68

8.5: SN74LS74 set up time hold time 20 nsec 5 nsec tplh from clk to Q 13 nsec tplh 0 1 tphl from clk to Q 25 nsec tphl 1 0 69

9 9.1 T 6.2 CLK T-FF 0 9.2 Q 1, Q 2, Q 3 CLK 9.1 Q 1, Q 2, Q 3 3 2 0,1,2,...,7,0,... FF 3 0 7 FF n 0 2 n 1 &/. 7 4 &. 4 7 4 &. 4 7 4 &. 4 )) )) )) 9.1: T-FF FF 9.1: CLK Q 1 0 1 0 1 0 1 0 1 0 Q 2 0 0 1 1 0 0 1 1 0 Q 3 0 0 0 0 1 1 1 1 0 9-1 D-FF 9-2 JK-FF 9-3 RS-FF 9.2 FF 9.3 n FF 71

&/. 4 4 4 4 4 4 4 4 9.2: 9.1 P PP P &/. 4 4 4 4 4 4 4 4 Šq 9.3: FF n CLK FF FF Q 1 Q 2 9.2 9.3 Q 1 Q 2 9.3 JK-FF 9.4 n n + 1 1 n 1 n + 1 JK-FF 1 72

&/. -&. 4. 4 -&. 4. 4 -&. 4. 4 )) )) )) «-&. 4. 4 ))Q 9.4: n JK-FF and FF FF FF FF FF and and 9.3 N N N N FF m log 2 N m 6 0,1,2,...,5,0,... 1 JK-FF FF 3 9.2 9.2: 6 s i : (Q 3, Q 2, Q 1 ) s i : (Q 3, Q 2, Q 1) s 0 : (0, 0, 0) s 1 : (0, 0, 1) s 1 : (0, 0, 1) s 2 : (0, 1, 0) s 2 : (0, 1, 0) s 3 : (0, 1, 1) s 3 : (0, 1, 1) s 4 : (1, 0, 0) s 4 : (1, 0, 0) s 5 : (1, 0, 1) s 5 : (1, 0, 1) s 0 : (0, 0, 0) 9.2 Q 1 = Q 1 Q2 Q3 + Q 1 Q 2 Q3 + Q 1 Q2 Q 3 = Q 1 Q3 + Q 1 Q2 = Q 1 ( Q 3 + Q 2 ) = Q 1 = 0 Q 1 + Q 1 Q 2 = Q 1 Q3 Q 2 + Q 1 Q3 Q2 1 5,4,3,2,1,0,... 73

&/. -&. 4. 4 -&. 4. 4 -&. 4. 4 )) )) )) 9.5: 6 Q 3 = Q 1 Q2 Q 3 + Q 1 Q 2 Q3 9.2 Q 2 Q 3 1 Q 2 + Q 3 = 1 Q 1 JK-FF J = f 2, K = f 1 J 1 = 1, K 1 = 1 J 2 = Q 1 Q3, K 2 = Q 1 Q3 = Q 1 + Q 3 J 3 = Q 1 Q 2, K 3 = Q 1 Q2 = Q 1 + Q 2 9.5 9-4 60 60 = 6 10 6 10 FF 1)10 2)60 3)7 segment LED 7 (led1 led7) 9.6 7 segment LED ( l1 led1 l1 = 1 led1 l1 = 0 ) In In0, In1, In2, In3 l1 74

OHG OHG OHG OHG OHG OHG OHG,QOHGOHGOHGOHGOHGOHGOHG 9.6: 7 LED 75

10 10.1 10.1 D-FF D-FF 10.2 A 0 A n B 0 B n $ $ $ $Q &/. ' 4 &. ' 4 &. ' 4 &. «' 4 &. % % % %Q 10.1: CLK A 0 -A n A B C B 0 -B n A B 10.2: 10-1 JK-FF 6.1 (5M) CK 10.1 10.2 10.3 D-FF D-FF 77

r ƒi r ƒœ t % % % %Q )) )) )) ))Q ' 4 ' 4 ' 4 «' 4 &. &. &. &. 10.3: &/. r ƒi % % % 10.4: 10.4 FF 10-2JK-FF (5M) 10.5 R/L = 1 R/L = 0 10-3 10.5 (10M) 10.3 r ƒœ t 5/ r ƒi % % % % ' 4 ' 4 ' 4 ' 4 &. &. &. &. )) )) )) )) Àr ƒi 10.5: 78

[ ] 1 7 segment LED 16 LED 10-4LED 16 16 0 9, A F A F 10.6 (10M) 10.6: A F led 8 ( x ) x FF s 0 s 1 g (s 1 = 0) x 1 (g = 1) s 1 = 1 x = 1 g = 0 1 79

[ &/. ' 4 4 &. J 10.7: Q g Q x = 0 x = 1 x = 0 x = 1 s 0 : 0 0 1 0 1 s 1 : 1 0 1 0 0 x Q = xq + x Q = x g = x Q D-FF 10.7 (x) 10.8 10.9 10.10 9 &/. ' 4 4 &. [ 10.8: 7 segment LED 10.10 10-5 2 1 1 2 1 2 8 (20M) 80

9 îîîîî 9 LLL 5( LLL J 10.9: 9 îîî 9 $ 5( LLL $ % % % % LLL LLL /('ŸG Á /('ŸG Á 9 ' 4 4 &. [ ' 4 4 &. J &/. 10.10: 81

11 1 11.1 16 Clear 4 10 32 7 segment LED 1. 2. 11.1 2 11.2 11.2 x 0,..., x 9, x a,..., x f 4 (z 3,...,z 0 ) (F ) 1 2 83

H I FOU «HQFRGHU FRQWURO ] ] ] ] ) UHJ FOU UHJ FOU J FO DOXF J FO GLVS GLVS /(' /(' 11.1: 9 &/. ' 4 4 &. [ 11.2: 11.1 z 0 = x 1 + x 3 +... + x f z 1 = x 2 + x 3 +... + x f z 2 = x 4 + x 5 +... + x f z 3 = x 8 + x 9 +... + x f F = x 0 + x 1 +... + x f F 11.3 FF 11.3 F 11.4 11-116 10 10 2 10 84

11.1: x 0 x 1 x 2... x f z 3 z 2 z 1 z 0 F 1 0 0... 0 0 0 0 0 1 0 1 0... 0 0 0 0 1 1 0 0 1... 0 0 0 1 0 1...... 0 0 0... 1 1 1 1 1 1 0 0 0... 0 0 0 0 0 0 H I «] ] ] ] ) HQFRGHU ] ] ] ] ) UHJ h õüo"î 1M s tz.v~ƒonî 11.3: 10 2 2 BCD (Binary Coded Decimal) 11.3 11.1 85

KM ' ' ' ' ' ] ] ] ] ««UHJ ««' ' ' ' ' ' ' ' ' ï- À 1+û,1 >.'-N ) 11.4: ( ) ( ) clr ( ) 86

clr ( ) - a + b + c +... a + b = clr ( ) 3 clr 11.4 11.2 s 0, s 1, s 2, s 3, s 4 1 1 (ϕ ) opff ḡ 1, ḡ 2 : ḡ 3 : opff 3 87

11.2: (action) clr clr s 0 s 1 s 0 s 0 s 0 s 0 s 0 (1) ϕ ϕ ϕ ϕ s 1 s 1 s 2 s 2 s 4 s 0 s 1 (1) (3) (4) (5) (2) s 2 s 3 s 2 s 2 s 4 s 0 s 2 (1) (6) (7) (10) (2) s 3 s 3 s 2 s 2 s 4 s 0 s 3 (1) (8) (9) (10) (2) s 4 s 1 s 2 s 2 s 4 s 0 s 4 (11) (6) (7) ϕ (2) (1) ḡ 1 = 0 (2) cl 1 = 0, cl 2 = 0 (3) opc = 0, aluc = 0, cl 1 = 0, ḡ 2 = 0, ḡ 3 = 0 (4) opc = 1, aluc = 0, cl 1 = 0, ḡ 2 = 0, ḡ 3 = 0 (5) aluc = 0, cl 1 = 0, ḡ 2 = 0 (6) opc = 0, ḡ 3 = 0 (7) opc = 1, ḡ 3 = 0 (8) opc = 0, aluc = opff, cl 1 = 0, ḡ 2 = 0, ḡ 3 = 0 (9) opc = 1, aluc = opff, cl 1 = 0, ḡ 2 = 0, ḡ 3 = 0 (10) aluc = opff, cl 1 = 0, ḡ 2 = 0 (10) aluc = opff, cl 1 = 0, ḡ 2 = 0 (11) ḡ 1 = 0, cl 2 = 0 11.3: Q 3, Q 2, Q 1 F p m e c n s 0 :000 s 1 :100 s 0 :000 s 0 :000 s 0 :000 s 0 :000 s 0 :000 s 1 :100 s 1 :100 s 2 :101 s 2 :101 s 4 :111 s 0 :000 s 1 :100 s 2 :101 s 3 :110 s 2 :101 s 2 :101 s 4 :111 s 0 :000 s 2 :101 s 3 :110 s 3 :110 s 2 :101 s 2 :101 s 4 :111 s 0 :000 s 3 :110 s 4 :111 s 1 :100 s 2 :101 s 2 :101 s 4 :111 s 0 :000 s 4 :111 F p m e c cl 2 g 1 o a cl 1 g 2 g 3 o a cl 1 g 2 g 3 a cl 1 g 2 cl 1 cl 2 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 1 1 1 1 0 1 1 1 0 1 1 1 1 0 1 0 0 0 0 1 1 0 0 0 1 f 1 1 1 1 0 1 0 f 1 1 1 1 f 1 1 1 f 1 1 1 1 1 1 0 0 0 0 1 1 0 0 0 1 0 0 0 1 1 88

cl 1, cl 2 : opc : opff aluc : alu (aluc = 1 aluc = 0 ) 11-2 11.2 11.5 5 3 FF 2 FF 3 clr F, p, m, e, c, n opc, aluc o, a f opff Q 11.3 (F, p, m, e, c, n) 1 0 1 0 0 0 11.6 Q 1 = Q 3 (p + m + e) + Q 3 Q 1 n Q 2 = Q 3 ( Q 2 Q 1 + Q 2 Q1 )F + Q 3 e + Q 3 Q 2 n Q 3 = F + Q 3 (p + m + e + n) g 1 = F g 2 = Q 3 Q1 (p + m) + Q 3 Q 1 Q 2 e g 3 = Q 3 (p + m) opc = Q 3 m aluc = Q 3 Q 2 Q1 f(p + m + e) + Q 3 Q 2 Q 1 fe cl 1 = Q 1 c + Q 3 Q1 (p + m) + Q 3 Q 1 Q 2 e cl 2 = Q 1 c + Q 1 Q 2 Q 3 F 1.1 g 1, g 2, g 3, cl 1, cl 2 11.7 11.5 11.1 control D-FF n n = p + m + e + c 89

11-3 cl 1, cl 2 D-FF FF 4 5 9 16 7 segment LED 8 32 disp1 disp2 4 low low 5 90

S P H F ) Q 4 4 4 4 4 4 4 ) )) ' 4 4 &. 4 4 )) ' 4 4 &. 4 4 )) ' 4 4 &. 4 ' 4 4 &. ' 4 4 &. J J FO FO FON RSII RSF ' 4 J &. 4 I DOXF 11.5: 11.1 91

A A.1 p n A.1 A.1: A.2 0.6V Vz 1 v = V 0 sin(ωt) A.3 R A.4 v R 0 電 圧 が 変 え られる + - A V I 0.6V V I 電 圧 が 変 え られる - + A V Vz V A.2: 1 A.2 R V V/R Vz 93

D v ~ R V V t A.3: A.4: R A.3 R A.2 2 p n 2 n p A.5 1mm pnp npn B C B C n p n p n p E pnp 形 トランジスタ E npn 形 トランジスタ A.5: A.6: A.6 C B E A.7 npn 2 A.7: A.7 n p n 2 pnp 94

3 α 1 α α 0.99 0.95 I B = (1 α)i E, I C = αi E I E I B I C α 1 α 99 19 4 (i c = βi b β ) 5 A.8 V CE I C A 2 V BE I B A 1 V CE A.8: V BE I B A 1 A.9 A.2 V CE A 2 I C I C I B I B7 I B6 I B5 I B4 I B3 I B 大 V BE I B2 I B1 V BES V CE A.9: A.10: I B 3 4 5 95

I C V i R i V BE V CE R C V CC Q S P I B7 I B6 I B5 I B4 I B3 I B2 I B1 I B 大 V CES V CC V CE A.11: A.12: V BE I C A.10 V CE I C I B1 I B7 I B1 = 20µA, I B2 = 40µA, I B3 = 60µA,... A.11 A.10 V CC R C V CE V CE = V CC R C I C V CE 0 I C I C = V CC /R C I C 0 V CE = V CC 2 (V CE = 0, I C = V CC /R C ) (V CE = V CC, I C = 0) A.10 A.12 I B1 S V CE I C Q V CE V CE V CES I B = (V i V BE )/R i V i 6 V i 0V 5V (V CE, I C ) A.12 P Q V i 5V Q I b R i V CC = 5V V i V CE V i 0V 5V V CE 5V V CES V CES = 0 6 µa R i R i 96

A.13: MOSFET G G S (a) n-channel MOS D S D (b) p-channel MOS A.14: MOSFET A.3 (MOSFET) MOSFET Metal-Oxide-Semiconductor Field Effect Transister MOS- FET A.13 7 (source) (gate) (drain) n p n p n 8 n MOSFET n MOS A.14(a) A.15 MOSFET A.13 p n p MOSFET p MOSFET 0V p MOSFET A.14(b) p n 7 A.13 A.17 http://www.jeea.or.jp/course/contents/02107/ 8 97

V Vo Vi A.15: MOSFET A.16: MOSFET A.17: CMOSFET A.16 0V/5V FET A.15 MOSFET n 0V A.17(a) p n MOSFET (b) 5V 0V V CC CMOS(Complementary MOS MOS) A.4 TTL A.4.1 TTL Transistor Transistor Logic NAND NOR NOT IC 2 NAND A.18 98

T1 T4 T2 T3 A.18: NAND IC7400 9 T1 T2 Vcc 0, 0 Vcc T3 T4 T1 0V pn T1 T1 T1 T2 T2 A.11 A.12 T1 Vces 0V T2 T2 (Vc2) 0V T3 T4 V 0 T1 Vcc T1 T2 T2 T2 R3 T3 T3 T2 T3 (Vbes) T2 Vces T4 T4 T3 Vces Vd T4 V 0 0V NAND 9 http://focus.tij.co.jp/jp/lit/ds/symlink/sn7400.pdf T1 T4 99

A.4.2 0V 0.8V 2.0V 5V 0V 0.4V 2.4V 5V A.4.3 A.18 5 5.4 a 1 EXOR 3 AND A.18 T3 T3 T3 T3 T3 Vce T3 1.6mA T3 n T 3 1.6mA 1.6n ma n Vce 0.4V 10 ma Vce 0.4V TTL 16mA 10 1.6mA 1 ma 1.6mA 16mA 10 10 1 T3 T4 TTL T4 400 A 40 A 10 1 T4 10 10 1.6mA 10 r o 1.6n r i mv 100

A.5 CMOS CMOS A.3 CMOS A.3 CMOS 0V 1.5V 3.5V 5V 0V 0.05V 4.95V 5V TTL TTL CMOS TTL CMOS TTL CMOS TTL CMOS CMOS TTL CMOS 101

1. 2. 3. New Text 9 102

10, 3 16, 3 2 10, 21 2, 3 2, 7 2, 7 2, 6 ALU, 44 ASCII, 9 BiCMOS, 23 CMOS, 98 CMOS, 23 D, 52, 52, 52, 65 hold time, 52 JIS X 0201, 9 JIS X 0208, 9 JK, 56, 56, 58, 65 LSB, 4 MOSFET, 97 MSB, 4 npn, 94 N, 73 pnp, 94 RS, 49, 50, 50, 65 setup time, 52 SR, 49 TTL, 99 TTL, 23 T, 59, 59, 65 unicode, 10, 1, 1, 99, 47, 52 D-FF, 53, 24, 94, 33, 64, 89, 40, 3, 39, 41, 16 103

, 18, 3, 14, 49, 33, 22, 50, 67, 18, 97, 14, 39, 42, 14, 24, 94, 96, 15, 15, 100, 44, 47 JIS, 9, 77, 77, 17, 51, 51, 48, 48, 23, 61, 62, 47, 87, 48 FF, 63, 89, 16, 13, 9, 9, 30, 16, 52, 38, 42, 30, 78 MOS, 98, 97, 93, 67, 84, 50, 50, 79, 97, 78, 37, 1, 1, 97, 83, 86, 71, 93, 14, 24, 80 RS, 51, 72, 50, 50, 24, 94 104

, 24, 96, 95, 97, 22, 14, 47, 47, 50, 65, 23, 12, 4, 42, 4, 12, 25, 100, 100, 12, 96, 6, 6, 35, 49, 30, 14, 22, 12, 71, 42, 77, 52, 11, 90, 11, 12, 26, 12, 11, 11, 12, 26, 12, 27, 16, 18, 24, 94, 96, 14, 96, 52 D-FF, 55, 99, 38 105