Microsoft PowerPoint - slide



Similar documents
Microsoft PowerPoint - slide

PLDとFPGA

Microsoft PowerPoint - FPGA

プログラマブル論理デバイス

KINGSOFT Office 2016 動 作 環 境 対 応 日 本 語 版 版 共 通 利 用 上 記 動 作 以 上 以 上 空 容 量 以 上 他 接 続 環 境 推 奨 必 要 2

1. 前 払 式 支 払 手 段 サーバ 型 の 前 払 式 支 払 手 段 に 関 する 利 用 者 保 護 等 発 行 者 があらかじめ 利 用 者 から 資 金 を 受 け 取 り 財 サービスを 受 ける 際 の 支 払 手 段 として 前 払 式 支 払 手 段 が 発 行 される 場 合

<4D F736F F D208FE DC926E8BE6926E8BE68C7689E681408C7689E68F912E646F63>

検 討 検 討 の 進 め 方 検 討 状 況 簡 易 収 支 の 世 帯 からサンプリング 世 帯 名 作 成 事 務 の 廃 止 4 5 必 要 な 世 帯 数 の 確 保 が 可 能 か 簡 易 収 支 を 実 施 している 民 間 事 業 者 との 連 絡 等 に 伴 う 事 務 の 複 雑

Microsoft PowerPoint - OS10.pptx

1_2013BS(0414)

R4財務対応障害一覧

 

する ( 評 定 の 時 期 ) 第 条 成 績 評 定 の 時 期 は 第 3 次 評 定 者 にあっては 完 成 検 査 及 び 部 分 引 渡 しに 伴 う 検 査 の 時 とし 第 次 評 定 者 及 び 第 次 評 定 者 にあっては 工 事 の 完 成 の 時 とする ( 成 績 評 定

佐渡市都市計画区域の見直し

科 売 上 原 価 売 上 総 利 益 損 益 計 算 書 ( 自 平 成 26 年 4 月 1 日 至 平 成 27 年 3 月 31 日 ) 目 売 上 高 販 売 費 及 び 一 般 管 理 費 営 業 利 益 営 業 外 収 益 受 取 保 険 金 受 取 支 援 金 補 助 金 収 入 保

Microsoft Word - 建築基準法第42条第2項道路後退整備のあらまし

<4D F736F F D F93878CA797708F4390B3816A819A95CA8B4C976C8EAE91E682538B4C8DDA97E12E646F6378>

新 生産管理システム ご提案書 2002年10月15日 ムラテック情報システム株式会社


2 役 員 の 報 酬 等 の 支 給 状 況 平 成 27 年 度 年 間 報 酬 等 の 総 額 就 任 退 任 の 状 況 役 名 報 酬 ( 給 与 ) 賞 与 その 他 ( 内 容 ) 就 任 退 任 2,142 ( 地 域 手 当 ) 17,205 11,580 3,311 4 月 1

(Microsoft Word - \221\346\202P\202U\201@\214i\212\317.doc)


平成25年度 独立行政法人日本学生支援機構の役職員の報酬・給与等について

工 事 名 能 代 南 中 学 校 体 育 館 非 構 造 部 材 耐 震 改 修 工 事 ( 建 築 主 体 工 事 ) 入 札 スケジュール 手 続 等 期 間 期 日 期 限 等 手 続 きの 方 法 等 1 設 計 図 書 等 の 閲 覧 貸 出 平 成 28 年 5 月 24 日 ( 火

目 次 1 個 人 基 本 情 報 個 人 基 本 情 報 入 力 画 面 の 分 散 4 申 告 区 分 および 申 告 種 類 の 選 択 方 法 5 繰 越 損 失 入 力 年 別 の 繰 越 損 失 額 入 力 に 対 応 6 作 成 手 順 作 成 手 順 の 流 れを 提 供 7 所 得

<4D F736F F D AC90D1955D92E CC82CC895E DD8C D2816A2E646F63>

WEB版「新・相続対策マスター」(ご利用の手引き)

Taro-条文.jtd

工 事 名 渟 城 西 小 学 校 体 育 館 非 構 造 部 材 耐 震 改 修 工 事 ( 建 築 主 体 工 事 ) 入 札 スケジュール 手 続 等 期 間 期 日 期 限 等 手 続 きの 方 法 等 1 設 計 図 書 等 の 閲 覧 貸 出 平 成 28 年 2 月 23 日 ( 火

Microsoft Word - ☆f.doc

<4D F736F F F696E74202D B E E88E68C9A90DD8BC65F E DC58F4994C52E >

損 益 計 算 書 ( 自 平 成 25 年 4 月 1 日 至 平 成 26 年 3 月 31 日 ) ( 単 位 : 百 万 円 ) 科 目 金 額 営 業 収 益 75,917 取 引 参 加 料 金 39,032 上 場 関 係 収 入 11,772 情 報 関 係 収 入 13,352 そ

(6) Qualification for participating in the tendering procedu

Microsoft Word 印刷ver 本編最終no1(黒字化) .doc

入 札 参 加 者 は 入 札 の 執 行 完 了 に 至 るまではいつでも 入 札 を 辞 退 することができ これを 理 由 として 以 降 の 指 名 等 において 不 利 益 な 取 扱 いを 受 けることはない 12 入 札 保 証 金 免 除 13 契 約 保 証 金 免 除 14 入

表紙

1 書 誌 作 成 機 能 (NACSIS-CAT)の 軽 量 化 合 理 化 電 子 情 報 資 源 への 適 切 な 対 応 のための 資 源 ( 人 的 資 源,システム 資 源, 経 費 を 含 む) の 確 保 のために, 書 誌 作 成 と 書 誌 管 理 作 業 の 軽 量 化 を 図

平 成 27 年 11 月 ~ 平 成 28 年 4 月 に 公 開 の 対 象 となった 専 門 協 議 等 における 各 専 門 委 員 等 の 寄 附 金 契 約 金 等 の 受 取 状 況 審 査 ( 別 紙 ) 専 門 協 議 等 の 件 数 専 門 委 員 数 500 万 円 超 の 受

Microsoft PowerPoint - Chap1 [Compatibility Mode]

第2回 制度設計専門会合 事務局提出資料

4. その 他 (1) 期 中 における 重 要 な 子 会 社 の 異 動 ( 連 結 範 囲 の 変 更 を 伴 う 特 定 子 会 社 の 異 動 ) 無 新 規 社 ( 社 名 ) 除 外 社 ( 社 名 ) (2) 簡 便 な 会 計 処 理 及 び 四 半 期 連 結 財 務 諸 表 の

は 固 定 流 動 及 び 繰 延 に 区 分 することとし 減 価 償 却 を 行 うべき 固 定 の 取 得 又 は 改 良 に 充 てるための 補 助 金 等 の 交 付 を 受 けた 場 合 にお いては その 交 付 を 受 けた 金 額 に 相 当 する 額 を 長 期 前 受 金 とし

一般競争入札について

送 信 局 を 電 気 通 信 事 業 者 に 貸 し 付 けるとともに 電 気 通 信 事 業 者 とあらかじめ 契 約 等 を 締 結 する 必 要 があること なお 既 に 電 気 通 信 事 業 者 において 送 信 局 を 整 備 している 地 域 においては 当 該 設 備 の 整 備

18 国立高等専門学校機構

< C8EAE81698B4C93FC8FE382CC97AF88D38E968D CA8E86816A2E786C73>

<6D313588EF8FE991E58A778D9191E5834B C8EAE DC58F4992F18F6F816A F990B32E786C73>

Taro-入札説明書(真空巻締め)

03_主要処理画面.xlsx

(4) 給 与 制 度 の 総 合 的 見 直 しの 実 施 状 況 について 概 要 国 の 給 与 制 度 の 総 合 的 見 直 しにおいては 俸 給 表 の 水 準 の 平 均 2の 引 下 げ 及 び 地 域 手 当 の 支 給 割 合 の 見 直 し 等 に 取 り 組 むとされている.

6 構 造 等 コンクリートブロック 造 平 屋 建 て4 戸 長 屋 16 棟 64 戸 建 築 年 1 戸 当 床 面 積 棟 数 住 戸 改 善 後 床 面 積 昭 和 42 年 36.00m m2 昭 和 43 年 36.50m m2 昭 和 44 年 36.

1 総 合 設 計 一 定 規 模 以 上 の 敷 地 面 積 及 び 一 定 割 合 以 上 の 空 地 を 有 する 建 築 計 画 について 特 定 行 政 庁 の 許 可 により 容 積 率 斜 線 制 限 などの 制 限 を 緩 和 する 制 度 である 建 築 敷 地 の 共 同 化 や

学校教育法等の一部を改正する法律の施行に伴う文部科学省関係省令の整備に関する省令等について(通知)

する 場 合 だけが 下 請 法 の 対 象 となる 5000 万 円 基 準 の 場 合 3 億 円 を5000 万 円 と 置 き 換 えればよい つ まり 自 社 の 資 本 金 の 額 が5000 万 円 超 であれば 5000 万 円 以 下 の 事 業 者 ( 法 人 だけでなく 個 人

職 員 の 平 均 給 与 月 額 初 任 給 等 の 状 況 (1) 職 員 の 平 均 年 齢 平 均 給 料 月 額 及 び 平 均 給 与 月 額 の 状 況 (5 年 4 月 1 日 現 在 ) 1 一 般 行 政 職 区 類 団 府 分 似 体 平 均 年 齢

0 目 次 1. 畑 のあしあとの 使 い 方 P.3 2.オプション P.6 3.モバイルデバイスより 履 歴 取 得 P.8 4. 基 本 情 報 管 理 P 栽 培 計 画 P.22 6.ドキュメント 出 力 P 栽 培 履 歴 管 理 P.28 8.モバイルでの 栽

平成19年9月改定

ト ン ネ ル ご 施 工 計 画 施 工 設 備 及 び 積 算 建 設 環 境 上 水 道 及 び 工 業 用 水 道 技 術 部 門 上 下 水 道 部 門 に 該 当 する 下 水 道 資 農 業 土 木 技 術 部 門 農 業 部 門 に 該 当 する 資 と の RCCM の 資 森 林

前 年 度 に 比 べて 税 額 が 増 加 する 主 なケース < 土 地 > ア. 課 税 地 目 が 変 わった 前 年 中 に 農 地 から 雑 種 地 ( 駐 車 場 等 )や 宅 地 ( 住 宅 や 店 舗 )へ 変 わると 税 額 が 増 加 します イ. 家 屋 ( 住 宅 や 併

損 益 計 算 書 ( 自 平 成 23 年 4 月 1 日 至 平 成 24 年 3 月 31 日 ) 金 額 ( 単 位 : 百 万 円 ) 売 上 高 99,163 売 上 原 価 90,815 売 上 総 利 益 8,347 販 売 費 及 び 一 般 管 理 費 4,661 営 業 利 益

養 老 保 険 の 減 額 払 済 保 険 への 変 更 1. 設 例 会 社 が 役 員 を 被 保 険 者 とし 死 亡 保 険 金 及 び 満 期 保 険 金 のいずれも 会 社 を 受 取 人 とする 養 老 保 険 に 加 入 してい る 場 合 を 解 説 します 資 金 繰 りの 都

<6D33335F976C8EAE CF6955C A2E786C73>

2 役 員 の 報 酬 等 の 支 給 状 況 役 名 法 人 の 長 理 事 理 事 ( 非 常 勤 ) 平 成 25 年 度 年 間 報 酬 等 の 総 額 就 任 退 任 の 状 況 報 酬 ( 給 与 ) 賞 与 その 他 ( 内 容 ) 就 任 退 任 16,936 10,654 4,36

ご 注 意 (1) 本 書 の 内 容 の 一 部 又 は 全 部 を 無 断 で 転 載 することは 禁 止 されています (2) 本 書 の 内 容 は 将 来 予 告 無 しに 変 更 することがあります (3) 本 書 の 内 容 は 万 全 を 期 して 作 成 しておりますが ご 不 審

労働時間と休日は、労働条件のもっとも基本的なものの一つです

< F2D E633368D86816A89EF8C768E9696B18EE688B5>


PDF閲覧制限システム(簡易版)概説書

<4D F736F F D CF322D33817A95DB8CEC8ED292B28DB881698A6D816A2E646F63>

2. 住 宅 の 建 て 方 構 造 中 野 区 と 23 区 の 住 宅 の 建 て 方 構 造 階 級 別 の 住 宅 割 合 ( 平 成 15 年 住 宅 土 地 統 計 調 査 ) 中 野 区 中 野 区 23 区 平 均 23 区 平 均 木 造 防 火 木 造 非 木 造 木 造 防 火

平成27年度大学改革推進等補助金(大学改革推進事業)交付申請書等作成・提出要領

・モニター広告運営事業仕様書

<4D F736F F D2095CA8E A90DA91B18C9F93A289F1939A8F D8288B3816A5F E646F63>

資料8(第2回水害WG)

Taro-データ公安委員会相互協力事

Microsoft Word 消費税HP(案)

件名

公 営 企 業 職 員 の 状 況 1 水 道 事 業 1 職 員 給 与 費 の 状 況 ア 決 算 区 分 総 費 用 純 利 益 職 員 給 与 費 総 費 用 に 占 める ( 参 考 ) 職 員 給 与 費 比 率 22 年 度 の 総 費 用 に 占 A B B/A める 職 員 給 与

SXF 仕 様 実 装 規 約 版 ( 幾 何 検 定 編 ) 新 旧 対 照 表 2013/3/26 文 言 変 更 p.12(1. 基 本 事 項 ) (5)SXF 入 出 力 バージョン Ver.2 形 式 と Ver.3.0 形 式 および Ver.3.1 形 式 の 入 出 力 機 能 を

4. その 他 (1) 期 中 における 重 要 な 子 会 社 の 異 動 ( 連 結 範 囲 の 変 更 を 伴 う 特 定 子 会 社 の 異 動 ) 無 (2) 簡 便 な 会 計 処 理 及 び 四 半 期 連 結 財 務 諸 表 の 作 成 に 特 有 の 会 計 処 理 の 適 用 有

資 料 -6 平 成 20 年 度 第 2 回 北 陸 地 方 整 備 局 事 業 評 価 監 視 委 員 会 特 定 構 造 物 改 築 事 業 事 後 評 価 説 明 資 料 平 成 20 年 11 月 北 陸 地 方 整 備 局 -0-

Taro-10入札公告_一般_最低価格_

●電力自由化推進法案

Taro13-01_表紙目次.jtd

別紙3

連 結 損 益 計 算 書 売 上 高 及 びその 他 の 営 業 収 入 営 業 費 用 売 上 原 価 販 売 費 及 び 一 般 管 理 費 研 究 開 発 費 営 業 費 用 合 計 営 業 利 益 営 業 外 収 益 ( 費 用 ) 受 取 利 息 支 払 利 息 営 業 外 収 益 (

(4) ラスパイレス 指 数 の 状 況 H H H5.4.1 ( 参 考 値 ) 97.1 H H H H5.4.1 H H5.4.1 ( 参 考

注 記 事 項 (1) 当 四 半 期 連 結 累 計 期 間 における 重 要 な 子 会 社 の 異 動 : 無 (2) 四 半 期 連 結 財 務 諸 表 の 作 成 に 特 有 の 会 計 処 理 の 適 用 : 有 ( 注 ) 詳 細 は 添 付 資 料 4ページ 2.サマリー 情 報 (

工 事 名 沢 口 浄 配 水 場 建 設 工 事 ( 浄 水 管 理 棟 ) 入 札 スケジュール 手 続 等 期 間 期 日 期 限 等 手 続 きの 方 法 等 平 成 24 年 5 月 8 日 ( 火 ) 正 午 から 1 設 計 図 書 等 の 閲 覧 貸 出 基 本 事 項 2のとおり

積 載 せず かつ 燃 料 冷 却 水 及 び 潤 滑 油 の 全 量 を 搭 載 し 自 動 車 製 作 者 が 定 める 工 具 及 び 付 属 品 (スペアタイヤを 含 む )を 全 て 装 備 した 状 態 をいう この 場 合 に おいて 燃 料 の 全 量 を 搭 載 するとは 燃 料

Microsoft Word - (会社法用) 期_02.計算書類.doc

決 算 時 の 流 れ-1 1 年 間 の 仕 訳 入 力 が 終 了 したら 以 下 の 手 順 で 決 算 書 を 作 成 します Step1 精 算 表 を 印 刷 する 1.[F2 入 力 ]タブより 合 計 表 を 選 択 し 月 度 の 指 定 で 期 首 ~12ヶ 月 目 を 指 定

Taro-沖縄県物品電子調達運用基準

Taro-官報原稿(佐賀病院)

<4D F736F F D208E52979C8CA78E598BC68F5790CF91A390698F9590AC8BE08CF D6A2E646F6378>

財団法人○○会における最初の評議員の選任方法(案)

御 利 用 規 約 Excel でつくる 配 光 曲 線, 直 射 水 平 面 照 度 Version 2.0 小 冊 子 を 御 利 用 頂 くにあたり 以 下 の 内 容 をよく 御 読 み 頂 き 御 同 意 の 上 御 利 用 頂 く 様 宜 しく 御 願 い 致 します 1. 著 作 物

Microsoft Word 第1章 定款.doc

(6) Qualification for participating in the tendering procedu

Transcription:

ディジタル 回 路 設 計 の 基 礎 京 都 大 学 情 報 学 研 究 科 小 林 和 淑 kobayasi@i.kyoto-u.ac.jp

内 容 単 相 クロック 完 全 同 期 回 路 構 成 要 素 Dフリップフロップ 同 期 回 路 の 性 能 ハードウエア 設 計 手 法 論 理 設 計 手 法 の 歴 史 ハードウエア 記 述 言 語 RTL 設 計 LSIの 設 計 フロー セルベース 設 計 とゲートアレイ PLDとFPGA 2

単 相 クロック 完 全 同 期 回 路

同 期 回 路 とは? 時 間 方 向 を 同 期 パルス(クロック)により 量 子 化 (ディジタル 化 )した 回 路 クロックにより クロックとの 間 のタイミングを 考 慮 するだけでよくなる クロックがないと すべての 信 号 の 時 間 関 係 を 考 慮 し て 設 計 を 行 わなければならない クロックは 通 常 記 憶 素 子 (フリップフロップ)に 入 力 される クロックが 入 力 される 毎 に FFの 値 が 変 わる 4

同 期 回 路 の 構 成 要 素 RST フリップフロップ(FF)からなる 記 憶 素 子 RST RST D FFA Q D FFB Q CLK CLK 組 み 合 わせ 論 理 回 路 A B RST 入 出 力 ピン D FFC CLK Q CLK 5

単 相 クロック 完 全 同 期 回 路 記 憶 素 子 はフリップフロップ(FF)のみである 外 部 から 単 一 のクロックが 与 えられる このクロックの 立 ち 上 がりもしくは 立 ち 下 がりエッ ジのどちらか 一 方 にすべてのFFが 同 期 して 動 作 する 通 常 の 同 期 設 計 では 立 ち 上 がりエッジに 同 期 するFFと 立 ち 下 がりエッジに 同 期 するFFが 混 在 してはならない 非 同 期 リセットは 通 常 電 源 投 入 時 のみ 使 用 す る 6

基 本 論 理 ゲート NOT AND OR XOR MIL A Y A B Y A B Y A B Y A 0 1 0 0 1 1 0 0 1 1 0 0 1 1 B - - 0 1 0 1 0 1 0 1 0 1 0 1 Y 1 0 0 0 0 1 0 1 1 1 0 1 1 0 Verilog-HDL Y=~A Y=A&B Y=A B Y=A^B 2 進 数 の 各 種 演 算 を 実 行 するための 基 本 演 算 複 合 演 算 を 実 現 する 複 合 ゲート ANDNOR 等 7

組 み 合 わせ 論 理 回 路 の 例 全 加 算 器 A B C S C+ 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 A B C S C+ Ë 回 路 図 ² ² ² 真 理 値 表 と 論 理 式 8

LSI 中 のCMOS 基 本 論 理 ゲート CMOS 論 理 ゲートは 負 論 理 (NOT, NAND, NOR)が 基 本 A B Y A Y VDD + = A Y VDD B B Y A Y A B C+ A S C 9

Dフリップフロップ リセット CLK 入 力 出 力 D クロック Q 入 力 の 変 化 がクロックの 立 下 り または 立 ち 上 が りにより 出 力 に 伝 わる 通 常 リセットはクロックとは 非 同 期 10

CMOS 論 理 ゲートを 用 いたD-FF 面 積 が 大 きくなるので LSI 中 では 使 用 されない SET RST Q CLK Q D 11

Dフリップフロップの 回 路 構 造 集 積 回 路 中 のD-FFは, マスターとスレーブの2 個 のD-Latchを 接 続 した 構 造 をしている CLKN master CLKP slave D Q CLKP CLKP CLKN CLKN CLKN CLKP CLKN CLKP CLK ポジティブエッジトリガ 型 Dフリップフロップの 一 例 (リセットなし) 12

Dフリップフロップの 回 路 構 造 ( 続 き) RST CLKN master CLKP slave D Q CLKP CLKP CLKN CLKN CLKN CLKP CLK CLKN CLKP D-FF 非 同 期 リセットつき 13

Dフリップフロップの 動 作 D Q CK CK D master マスター 動 作 時 (CLK=0) slave Q CK CK master スレーブ 動 作 時 (CLK=1) slave 14

セットアップとホールド 時 間 t setup t hold セットアップ ホールド 時 間 を 守 らないと 誤 動 作 (ハザード)を 起 こす 場 合 がある 先 ほどの 回 路 構 造 からセットアップ 時 間 ホール ド 時 間 がどの 部 分 の 遅 延 に 依 存 するか 考 えてみ よう 15

DFFによる 遅 延 素 子 RST RST RST RST RST IN D D-FF Q D D-FF Q D D-FF Q D D-FF Q OUT CLK CLK CLK CLK CLK D-FFを4 個 つなげば 4クロック 遅 れる 遅 延 素 子 に CLK IN OUT 遅 延 させるだけでは 何 もできない 記 憶 を 行 わなければならない 16

イネーブルつきDFF (DFFE) DFFE RST RST D selector D DFF CLK Q Q ENABLE CLK ENABLE=1のとき Dの 入 力 を 取 り 込 む ENABLE=0のときは Qを 保 存 値 の 記 憶 に 使 う 17

同 期 回 路 の 性 能 FFA FF FFC FFB FF 間 の 遅 延 を 削 減 すれば 性 能 (クロック 周 波 数 )があげられ る クリティカルパス: 最 大 遅 延 パス FFへのクロック 供 給 をしっかり 行 い クロックのずれ(スキュー)を なくすことが 大 事 クロックツリー 等 18

クロックの 両 エッジ 設 計 者 の 陥 る 誘 惑 クロックの 両 エッジを 使 うと 1クロックで 倍 の 仕 事 がで きる 両 エッジを 使 うのは 誤 動 作 の 温 床 周 波 数 は 変 わらないが デューティー 比 は 簡 単 に 変 わ る 両 エッジを 使 うなら クロック 周 波 数 を 倍 にせよ デューティー 比 をコントロールできれば 使 用 することも 可 19

クロックのデューティー 比 入 力 デューティー 比 50:50 3 段 目 デューティー 比 48.8:51.2 両 エッジを 使 うと さらに 余 分 なイン バータが 入 り クロックの 位 相 がず れる 入 力 1 段 目 2 段 目 3 段 目 20

ハードウエア 設 計 手 法

ハードウエア 設 計 手 法 の 歴 史 50 代 : 頭 で 考 えて 紙 に 書 き TTLで 実 装 40 代 : 回 路 図 をCADで 描 いて LSIで 実 装 30 代 : HDLより 論 理 合 成 20 代 : さらに 高 級 な 言 語 (C, C++ 等 )より 直 接 回 路 を 合 成 (システムレベル 記 述 言 語 ) 回 路 規 模 (ゲート 数 ) ここを 説 明 ゲート 数 に 比 例 して 回 路 設 計 の 抽 象 度 がどんどんあがって いる 22

回 路 設 計 のつぼ 回 路 設 計 技 術 の 向 上 が 目 覚 しいが 動 作 から 自 動 的 に 最 適 な 回 路 を 作 る 技 術 はまだまだ 人 間 による 最 適 な 回 路 構 造 の 設 計 が 重 要 3つの 力 動 作 から 回 路 をおこす 想 像 力 よりコンパクトで 高 速 な 回 路 を 考 える 技 術 力 さまざまなツールを 使 いこなす 応 用 力» CADツール 間 のフィルタ 記 述 (perl, awk, sed 等 )» WindowのボタンをクリックしているだけではよいLSIはできない 23

HDLの 目 的 目 的 とする 回 路 の 機 能 を 人 間 のわかりやすいよ うにテキストで 記 述 して,その 記 述 から 自 動 的 に 回 路 記 述 を 生 成 する HDL 記 述 回 路 高 級 言 語 回 路 論 理 合 成 高 位 合 成 24

動 作 記 述 とRTL 記 述 動 作 記 述 回 路 の 動 作 を 記 述 する クロックがない ソフトウエアとほぼ 同 じ RTL(Register Transfer Level) 記 述 レジスタ( 記 憶 素 子 FF) 間 の 接 続 関 係 を 表 現 したも の そのまま 論 理 合 成 に 使 える 事 が 多 い 25

HDLの 種 類 Verilog-HDLとVHDL Verilog-HDL: Cadence 社 の 論 理 シミュレータ 用 言 語 から 派 生 VHDL: 回 路 仕 様 を 書 くことを 目 的 に 標 準 化 どちらも 論 理 合 成 を 目 的 として 開 発 した 言 語 ではない 記 述 できるが 合 成 できない シミュレーションできるが 合 成 できない 合 成 して も 正 しく 動 作 しない 26

Verilog-HDLとVHDL(Cont.) Verilog-HDL: 抽 象 度 が 低 い 回 路 的 電 気 系 向 き VHDL: 抽 象 度 が 高 い プログラム 的 情 報 系 向 き S0, S1, S2の 状 態 へのマッピング `define S0 2'b00; `define S1 2'b01; `define S2 2'b10; type state is (S0,S1,S2); 割 り 当 ては 合 成 任 せ ビット 数 と 割 り 当 てを 明 示 27

Verilog-HDLによる 論 理 素 子 A B A B Y Y assign Y=A&B; assign Y=A B; D RST DFF CLK Q always @(posedge CLK or negedge RST) if(!rst) Q<=0; else Q<=D; 28

HDLを 使 うメリット 抽 象 度 をあげられる HDL HDL A B FPGA A B FPGA A B 29

HDLを 書 くための 準 備 回 路 中 のフリップフロップ,レジスタ 等 の 記 憶 素 子 の 構 成 を 決 める それらフリップフロップ,レジスタをどのように 接 続 するかを 考 え,ブロック 図 を 書 く レジスタ 間 に 存 在 する 組 み 合 わせ 回 路 の 詳 細 は 考 え る 必 要 はない レジスタの 動 作 を 記 述 する (RTL 設 計 ) どのような 回 路 を 意 図 するか?が 重 要 ブロック 図 を 書 いて 意 図 した 以 外 のFF, レジスタが 入 るのを 防 ぐ 30

HDL 設 計 の 例 (カウンター) 記 述 A module counter(out,clk); input CLK; output [3:0] out; DFF DFF0(q0,d0,CLK); DFF DFF DFF1(q1,d1,CLK);... assign d0=q0&~q1...; 組 み 合 わせ assign d1=...; 論 理 回 路 endmodule ただ 単 に 回 路 図 をテキスト で 書 いただけ 記 述 B module counter(out,clk); input [3:0] in; input CLK; output [3:0] out; reg [3:0] out; always @(posedge CLK) out<=out+1; endmodule レジスタ(フリップフロップ) の 動 作 を 記 述 する 31

RTL 設 計 の 例 例 題 : 自 動 販 売 機 の 入 金 額 と 商 品 購 入 額 よりお 釣 りを 計 算 する 動 作 入 力 : 入 金 額 (1 回 のみ) 購 入 商 品 代 金 ( 複 数 ) 出 力 : お 釣 り 32

自 動 販 売 機 お 釣 り 計 算 CLK e_in 10 in[9:0] e_item 10 item[9:0] 入 金 後 商 品 のボタンを 押 せば その 金 額 が 送 られてくる 入 金 があったときと 商 品 のボタンを 押 したときには それに 同 期 したパルスが 入 力 される 33

RTL 設 計 使 用 するレジスタを 決 める レジスタ 間 の 接 続 を 決 める レジスタに 書 き 込 む 条 件 を 決 める 使 用 するレジスタ inmoney[9:0] 入 金 額 itmoney[9:0] 商 品 代 金 change[9:0] お 釣 り 入 金 額 in 商 品 代 金 item e_in EN inmoney itmoney????? change お 釣 り change Changeに 書 き 込 む 条 件? 34 EN e_item

RTL 設 計 の 最 適 化 入 金 額 商 品 代 金 は 覚 えておかなくてよい 制 御 が 簡 単 なように RTLを 変 更 する 使 用 するレジスタ change[9:0] お 釣 り e_inが1ならin, e_itemが1な ら 減 算 結 果 を 書 き 込 む in item change change Verilog-HDL 記 述 module vend(change,e_in,e_it,in,item,clk); output [9:0] change; input e_in,e_it,clk; input [9:0] in,item; reg [9:0] change; always @(posedge CLK) if(e_in) change<=in; else if(e_it) change<=change-item; endmodule 35

最 適 なRTLを 設 計 するには 経 験 と 勘 が 必 要 豊 富 な 設 計 経 験 共 有 できるものは 共 有 する(リソースシェアリング) ただし 共 有 するとかえって 悪 くなる 場 合 もある どのような 回 路 が 合 成 される(た)かを 考 える RTL 記 述 がどのような 回 路 になるか? たとえば HDLのif 記 述 の 多 階 層 化 if() if() if(). クリティカルパスがどん どん 長 くなる 36

LSIの 設 計 フロー

LSIの 設 計 フロー( 設 計 側 ) nand2 A B (LVS) C HDL module nand_g(c,a,b); input A,B; output C; assign C=~(A&B); endmodule module nand_g(c,a,b); input A,B;output C; nand2 I0(C,A,B); endmodule n 1 A B (LVS) nand2 C HDL module nand_g(c,a,b); input A,B; output C; assign C=~(A&B); endmodule module nand_g(c,a,b); input A,B;output C; nand2 I0(C,A,B); endmodule LSI 1 LSI 38

LSIの 設 計 フロー( 製 造 側 ) nand2 A B (LVS) C HDL module nand_g(c,a,b); input A,B; output C; assign C=~(A&B); endmodule module nand_g(c,a,b); input A,B;output C; nand2 I0(C,A,B); endmodule レイアウトとマスクは1 対 1 対 応 ではない n 1 n 1 LSI 1 LSI 1 LSI LSI 39

LSIの 設 計 フロー(Cont.) 論 理 設 計 : ネットリスト 回 路 図 エントリ HDL 記 述 からの 論 理 合 成 レイアウト 設 計 : レイアウトパタン フルカスタム: 全 部 手 で 書 く セミカスタム: ある 程 度 自 動 化 マスク 設 計 : マスクパタン レイアウトパタンからマスクを 作 成 LSIの 製 造 クリーンルームにて 原 理 は 印 刷 と 同 じ 40

セルベース 設 計 とゲートアレイ(GA) フルカスタム(レイアウトを 手 で 描 く)では 時 間 と 費 用 がかかりすぎる!! ただし 性 能 は 圧 倒 的 によくなる セルベース 設 計 (スタンダードセル) あらかじめ 基 本 論 理 ゲートのパタンを 用 意 し それを 規 則 的 に 並 べる ゲートアレイ(GA) 基 本 論 理 ゲートの 下 地 を 作 っておき 配 線 のみ 変 更 設 計 期 間 製 造 期 間 の 短 縮 マスク 代 が 安 くなる 41

スタンダードセルレイアウト 例 チップ 全 体 のレイアウト PAD 領 域 VDEC CMOS1.2um 2.3mm 角 Core 領 域 42

スタンダードセルレイアウト 例 レイアウトの 一 部 論 理 ゲート FF 等 のライブラリセルをアレイ 上 に 並 べてから 配 線 を 行 う 43

PLDとFPGA

プログラマブルロジックデバイス(PLD) 設 計 者 が 自 由 にその 機 能 を 変 更 できるLSIの 総 称 ( 広 義 ) MPD: マスクプログラマブル 製 造 時 に 変 更 FPD: フィールドプログラマブル その 場 で 変 更 小 規 模 PLDの 種 類 PLA: Programmable Logic Array» AND-OR アレイ PAL: Programmable Array Logic»ORアレイが 固 定» 派 生 品 として GAL, PLD( 狭 義 ) 45

PLDの 構 造 OR OR PAL OR AND AND DFF PLA, PAL GAL, PLD 46

PALのプログラム 例 AB+AC A B C 47

FPGA (Field Programmable Gate Array) フィールドプログラマブルな 大 規 模 集 積 回 路 ようは 大 規 模 なPLD 論 理 ゲートとフリップフロップをアレイ 上 に 敷 き 詰 めて その 間 の 結 線 を 自 由 に 変 更 ただし 論 理 ゲートそのものが 内 蔵 されているとは 限 ら ない コンフィグレーションデータを 書 き 込 むことにより 機 能 が 変 化 する MPGA: Mask Programmable Gate Array 一 般 的 にGA 48

FPGAの 構 造 組 み 替 え 可 能 な 論 理 ブロック 論 理 ブロック 間 を 接 続 する 組 み 替 え 可 能 な 配 線 49

FPGAのプログラム 記 憶 方 式 FPGAの 現 在 の 構 成 (コンフィグレーション)を 覚 えておく 方 法 SRAM 等 の 揮 発 性 メモリに 書 き 込 む. もっともポピュラー 特 別 なプロセスを 必 要 としない EPROM, EEPROM 等 の 不 揮 発 性 メモリに 書 き 込 む. 電 圧 をかけて, アンチヒューズを 短 絡 させる. 50

プログラム 方 式 BL WL SRAM ロジックと 同 じプロセスで 製 造 できる 冗 長 度 が 大 きい BL To Switch Floating Gate EPROM,EEPROM 特 殊 なプロセスを 要 求 冗 長 度 は 小 さい >100G 51 IN A B A B open Anti-fuse 小 さくて 高 速 Apply 16V between A and B 書 き 込 みは 一 度 だけ OUT

FPGAの 特 性 分 類 ËÊ Å ÈÊÇÅ 書 き 込 み 回 数 に 制 限 のあるものが 多 い 52

XILINX XCシリーズの 構 造 A CLB CLB CLB B C (LUT) (SRAM) FF CLB CLB CLB CLB CLB CLB D CLB CLB CLB CLB CLB: Configurable Logic Block 53

LUT(Look-up Table) SRAM 型 FPGAの 可 変 論 理 を 実 現 する A, B, C, Dの4ビット 入 力 をワー ド 線 とした1ビットのSRAM SRAMの 中 身 を 書 き 換 えるこ とで 任 意 の 論 理 を 実 現 (A B)&(C D)に 対 するLUT ¼ ¼ ¼ ¼ ¼ ¼ ¼ ½ ¼ ¼ ¼ ½ ¼ ¼ ¼ ¼ ½ ½ ¼ ¼ ½ ¼ ¼ ¼ ¼ ½ ¼ ½ ½ ¼ ½ ½ ¼ ½ ¼ ½ ½ ½ ½ ¼ ¼ ¼ ¼ ¼ ½ ¼ ¼ ½ ½ ½ ½ ¼ ½ ¼ ½ ½ ¼ ½ ½ ½ ½ ½ ¼ ¼ ¼ ½ ½ ¼ ½ ½ ½ ½ ½ ¼ ½ 00/8/7,11 VLSI 設 計 夏 の 学 校 ディジタル 回 路 ½ ½ ½ ½ ½ 設 計 の 基 礎 54

スイッチマトリックス SRAM 型 FPGAの 可 変 配 線 を 実 現 任 意 の 接 続 が 可 能 55

ALTERA FLEXの 構 造 (LE) A B C D LAB (LAB LE ) LUT FF (LE) LAB (LAB) ( 1) 56

FPGAの 設 計 法 A B nand2 C HDL module nand_g(c,a,b); input A,B; output C; assign C=~(A&B); endmodule 通 常 のLSIと 同 じ 設 計 手 法 を 取 る LUTを 直 接 設 計 するわけで はない FPGAの 配 置 配 線 は 各 FPGAベンダー 配 布 のツー ルにより 行 う module nand_g(c,a,b); input A,B;output C; nand2 I0(C,A,B); endmodule LE LSI CAD FPGA FPGA 57

CAD FPGAベンダのツール È ÓÑÔ Ð Ö ÁÁ ËÝÒÓÔ Ý Ô ØÖÙÑ Ü ÑÔÐ Ö ËÝÒÔÐ Ý ËÝÒÔÐ ØÝ ËÝÒÓÔ Ý Î ÛÐÓ µ ÏÓÖ Î Û ÇÆ È Å ÔÐÙ ÁÁ ÉÙ ÖØÙ ÄÌ Ê ÐÐ Ò ÁÄÁÆ ÌÓÔ Ø Ð FPGA Compiler IIはVDECのライセンスで 利 用 可 能 各 社 FPGAの 無 償 ツールあり 大 学 向 けのプログラムも あり See http://www.ベンダ 名.com/ 58

まとめ 記 述 レベルがどんどん 抽 象 化 していっても RTL はしばらく 生 き 残 る 回 路 の 動 作 から 最 適 なRTLを 導 き 出 す 能 力 を 養 うことが 肝 要 組 み 合 わせ 回 路 の 最 適 化 は 計 算 機 に 任 せれ ばよいが 記 述 からどのような 回 路 が 合 成 され ているかは 見 ておく 59

参 考 資 料 ディジタル 集 積 回 路 の 設 計 と 試 作 VDEC 監 修 浅 田 邦 博 編 ( 培 風 館 ) ISBN4-563-03547-5 / 定 価 3000 円 著 者 : 越 智 裕 之 ( 広 島 市 立 大 学 ) 池 田 誠 ( 東 京 大 学 ) 小 林 和 淑 ( 京 都 大 学 ) 本 資 料 http://www-lab13.kuee.kyoto-u.ac.jp/~kobayasi/refresh にてPDFで 公 開 予 定 琵 琶 湖 WSポスターセッションの 投 稿 を!! 賞 金 10 万 円 締 切 8 月 31 日 60