SICE東北支部研究集会資料(2009年)

Similar documents
スライド 1

新技術説明会 様式例

SICE東北支部研究集会資料(2016年)

モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサ

SICE東北支部研究集会資料(2006年)

SICE東北支部研究集会資料(2012年)

Verilog HDL による回路設計記述

TULを用いたVisual ScalerとTDCの開発

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx

PLDとFPGA

0630-j.ppt

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること

NCB564個別00版

SimscapeプラントモデルのFPGAアクセラレーション



AN15880A

R1RP0416DIシリーズデータシート

プリント

Łñ“’‘‚2004


ディジタル電子回路 設計演習課題

enus MLD-030-ST ブラシレス DC モータドライバ スイッチ詳細 内蔵ポテションメータ ピーク出力設定 ( モータ保護機能 ) 配線説明 ドライバ側マーク Ref+ Hu Hv Hw Ref- モータ配線色黄色茶色オレンジ青色灰色緑黒赤 安心のフェニックスコンタクト社製コネクターを搭載

投稿原稿の表題

も 常に 2 つのコイルに電圧を印加する 2 層励磁方式や 1 つのコイルと 2 つのコイルに交互に電圧を印 2


ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp)

< 動作マトリクス > 停止 REQ 固定位置 REQ 往復 REQ 停止 () 現在位置と同じなら無視異なれば停止パルス中へ 停止パルス中へ 停止 ( 固定位置 ) 現在位置と同じなら無視異なれば停止パルス中へ 停止パルス中へ 停止パルス中 ( 停止パルス終了後 ) ( 停止パルス終了後動作 )

組込みシステムにおける UMLモデルカタログの実践研究

PI−1300

Microsoft PowerPoint LC_15.ppt

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン

Microsoft PowerPoint - Lec pptx

論文誌用MS-Wordテンプレートファイル

プログラマブル論理デバイス

LMC555 CMOSタイマ

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM




等価回路図 絶対最大定格 (T a = 25ºC) 項目記号定格単位 入力電圧 1 V IN 15 V 入力電圧 2 V STB GND-0.3~V IN+0.3 V 出力電圧 V GND-0.3~V IN+0.3 V 出力電流 I 120 ma 許容損失 P D 200 mw 動作温度範囲 T o

パルス波高値計測回路の製作

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

VelilogHDL 回路を「言語」で記述する

高速度スイッチングダイオード

PowerPoint プレゼンテーション

26 FPGA FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

IPSJ SIG Technical Report Vol.2013-ARC-203 No /2/1 SMYLE OpenCL (NEDO) IT FPGA SMYLEref SMYLE OpenCL SMYLE OpenCL FPGA 1

第 5 章 推奨配線及びレイアウト 内容ページ 1. 応用回路例 プリント基板設計における推奨パターン及び注意点 Fuji Electric Co., Ltd. MT6M12343 Rev.1.0 Dec

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ

PowerPoint プレゼンテーション

FPGAによる24時間時計回路

Quartus II クイック・スタートガイド

三菱電機マイコン機器ソフトウエア株式会社

Plastic Package (Note 12) Note 1: ( ) Top View Order Number T or TF See NS Package Number TA11B for Staggered Lead Non-Isolated Package or TF11B for S

デジタル回路入門

R1LV0416Dシリーズ データシート

熊本大学学術リポジトリ Kumamoto University Repositor Title FPGA を用いた Latching Scaler 回路の試作 Author(s) 伊藤, 康彦 ; 今津, 節男 ; 長壁, 正樹 ; 中西, 秀哉 Citation Issue date

VLSI工学

Microsoft PowerPoint - 6.PID制御.pptx

R1LV1616H-I シリーズ

Presentation Title

R1RW0408D シリーズ

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO

Microsoft PowerPoint - 01_Vengineer.ppt

1: ITT-2 DDR2 1.8V,.V(F) Config. Mem. JTAG XCFPV048 LEDs SWs Clock (VariClock) DDR2 DDR2 DDR2 FPGA XC5VFX0T General-Purpose LEDs SWs XTAL (2.68kHz) MC

スライド 1

Cyclone IIIデバイスのI/O機能

スライド 1

IrDA型赤外線タグ仕様

LM193/LM293/LM393/LM 回路入り低動作電圧低オフセット電圧コンパレータ

Microsoft PowerPoint - 3.3タイミング制御.pptx

デジタル回路入門

機能検証トレーニング コース一覧

Microsoft Word - TC74HC107AP_AF_J_P9_060201_.doc

光変調型フォト IC S , S6809, S6846, S6986, S7136/-10, S10053 外乱光下でも誤動作の少ない検出が可能なフォト IC 外乱光下の光同期検出用に開発されたフォトICです フォトICチップ内にフォトダイオード プリアンプ コンパレータ 発振回路 LE

ADC78H90 8-Channel, 500 kSPS, 12-Bit A/D Converter (jp)

IPSJ SIG Technical Report Vol.2015-MUS-106 No.18 Vol.2015-EC-35 No /3/3 1,a) ch [1] 1 Kansai University Graduate School of Inf

RXファミリ搭載マイコン評価ボード

MB 端子 2.0x2.0 MB MB MB MB MB

TC74HC109AP/AF

(Microsoft Word - \214\264\215e B_\217\221\202\253\215\236\202\335\225\224.docx)

AN41250A

TC74HC112AP/AF

Microsoft Word - AK8133_MS0930_J_05.doc

研究成果報告書

首都大学東京 新技術説明会 日時 : 平成 27 年 9 月 25 日 ( 金 ) 場所 :JST 東京別館ホール ノイズ耐性フリップフロップの開発と 信頼性要求電子機器への応用可能性 首都大学東京システムデザイン研究科情報通信システム学域 教授 三浦幸也

<91E63589F161>

デザインパフォーマンス向上のためのHDLコーディング法



TC74HC4017AP/AF

1. ボードの複数台制御 コンフィグ ROM から FPGA が起動できる場合を想定しています FPGA 回路には 特定のレジスタアドレスにプリセットしたデータが必要です 製品出荷時のサンプル FPGA 回路では レジスタ No.3 を 8bit 幅に設定し FPGA 外部の 4bit ディップスイ

Microsoft PowerPoint - FPGA

正転時とは反対に回転する これが逆転である 図 2(d) の様に 4 つのスイッチ全てが OFF の場合 DC モータには電流が流れず 停止する ただし 元々 DC モータが回転していた場合は 惰性でしばらく回転を続ける 図 2(e) の様に SW2 と SW4 を ON SW1 と SW3 を O

OPA277/2277/4277 (2000.1)

Transcription:

計測自動制御学会東北支部第 5 回研究集会 (9.7.5) 資料番号 5- FPGA を用いたステッピングモータの制御に関する検討 Control of a Stepping Motor using FPGA 萩原正基 *, 秋山宜万 *, 松尾健史 *, 三浦武 *, 谷口敏幸 * Masaki Hagiwara*, oshikazu Akiyama*, Kenshi Matsuo*, Takeshi Miura*, Toshiyuki Taniguchi* * 秋田大学 *Akita University キーワード : FPGA(FPGA), ステッピングモータ (stepping motor), 励磁パルス (exciting pulse) 連絡先 : -85 秋田県秋田市手形学園町 - 秋田大学工学資源学部電気電子工学科松尾健史,TEL:(8)889-8,FAX:(8)87-6,E-mail:matsuo@ipc.akita-u.ac.jp. はじめに ステッピングモータは, 総回転数が励磁パルスの総数に比例し, 回転速度が単位時間当たりの励磁パルス数に比例するといった特徴を持っている ). そして, ステッピングモータの励磁パルスの生成にはパーソナルコンピュータを用いる事ができるが, これでは駆動システムが大きくなってしまう. ステッピングモータの励磁パルスをパーソナルコンピュータで生成する代わりに, マイクロコンピュータや FPGA (Field Programmable Gate Array) を用いる事で駆動システムの小型化が行える. 一方, ステッピングモータを高速で駆動させる際, モータの励磁タイミングを適切に行う事が困難になる. これは, 励磁タイミングを操作する事で改善可能である. そ こで本研究では, その改善策として高速動作が可能な FPGA を用いてステッピングモータの励磁タイミンングを自由に操作できるプログラムを作成した..FPGA FPGA はプログラマブルデバイスと呼ばれる, 内部構造のプログラミングが可能な LSI の一種であり, 論理回路そのものをプログラムできる. よって, 動作しているのが論理回路そのものであるから, 動作速度が速く, 低消費電力であるといった特徴がある ). 今回,Verilog HDL で開発可能な環境があるので, これを用いて FPGA のプログラムを行った.

start definition of input - output pin,and parameter, counting clock number clock number = parameter flag= H counting clock number clock number = parameter flag= H return counting clock number to flag= L flag= L flag= H update signals a b b c c d d a start definition of input - output pin preset of initial value flag= H update signals a b b c c d d a output logical sum of signals (a) Production of timing pulse (b) Exciting sequence 図 フローチャート Fig. Flowcharts.FPGA の処理 FPGA の処理フローチャートを図 に示す.(a) は励磁パルスの生成の為のタイミングパルス生成部,(b) は励磁シーケンス部である. ここで,(a) の flag, は, 励磁タイミングを調節する信号であり, クロック分だけ H の状態になる. また,(b) の a~ d,a~d の信号はステッピングモータの各相を励磁させるものである. この信号の初期値を表 に示す. そして,flag, の信号によって,a~d,a~d の信号は更新 を開始する.(b) の信号の更新は,a b c d の様に順々に入れ替えるのでは無 く,a b,b c,c d,d a の様 に同時に値を入れ替えている. これは Verilog HDL が全ての動作を同時に行うプ ログラム言語だからである. 表 初期値 Table Initial values a a b b c c d d

. 実験システム 本研究の実験システムを図 に示す. パーソナルコンピュータはモータ駆動の処理を行うものではなく, Verilog HDL でプログラムを作成し,FPGA に実装させるものである. 開発ツールとしては Xilinx 社の ISE Web Pack 8.i を用いた. 使用した FPGA と,FPGA が搭載されているボードの仕様を表, 表 に示す.FPGA は Xilinx 社製の Spartan-E ファミリ のうち XCSE である. モータの仕様は表 を示す. 使用したモータは, オリエンタルモーター社製 相ハイブリッド型ステッピングモータ (PK-B) である. 使用した駆動回路を図 に示す. 今回用いた駆動回路は, 電流を一定方向のみに PC PC ;used for programming 流すユニポーラ駆動型の定電圧駆動回路である. 表 FPGA(XCSE) の仕様 Table Specification of FPGA(XCSE) umber of system gate umber of logic cell 6 Embedded memory 5Kbit Core voltage.v I/O voltage.v 表 FPGA 搭載ボードの仕様 Table Specification of FPGA board On-board FPGA XCSE Supply voltage 5V Frequency of clock oscillator MHz 表 ステッピングモータの仕様 Table Specification of a stepping motor Rated voltage 6.V Rated current.8a Step angle.8deg. FPGA FPGA board Drive circuit DC power supply E Stepping motor 図 実験システム Fig. Experimental system 図 駆動回路 Fig. Drive circuit

5. 実験方法 章で述べた FPGA の処理を実装させ, 作成したプログラムが指示通り動作しているか出力された励磁パルスで確認を行う. 本研究では, 図 の様に励磁タイミングを操作した時間を進み時間と定義する. 図 進み時間 () の定義 Fig. Definition of lead time 6. 実験結果 t (a) ms 図 5 に励磁タイミングを操作した励磁パルスを示す. この出力波形の基本励磁時間は ms であり,(a) は進み時間 ms,(b) は進み時間 ms,(c) は進み時間 8ms の波形である. 図 5 を見みると, 励磁するタイミングを操作できている事が分かる. これは 章で述べた flag, を立ち上げるタイミングを変化させる事で実現できた. また, 図 6 にステッピングモータの A 相の巻線電流と励磁パルスを示す.(a) は進み時間 ms,(b) は進み時間 ms,(c) は進み時間 8ms の波形である. 図 5 を見てみると, 励磁タイミングを早めると, 巻線電流が増加しているのが分かる. また,ms 時の巻線電流の値は (a) の波形に比べ (b),(c) の波形の方が高くなっているのが分かる. 7. 考察 (b) ms (c) 8ms 図 5 励磁パルス Fig. 5 図 5 の通り,FPGA を用いてステッピン

[A].8.6.. (a) ms グモータの励磁タイミングを操作する事ができた. これを応用する方法として, 進み角制御が考えられる. 進み角制御とは, モータの回転子がある相の平衡点に到達する前にその次の相も励磁し, 電流の立ち上げを早くする事でモータに十分なトルクを発生させる方式である ). 今回の行った励磁タイミングの操作で, 進み角制御のような閉ループ制御が行えると考えられる. 8. おわりに 本研究では,FPGA を用いてステッピン [A].8.6.. グモータの励磁タイミングを自由に操作する FPGA のプログラムを作成し, 実装させた. 今後の課題として, 今回の結果を進み角制御に応用し, ステッピングモータの閉ループ制御のアルゴリズムを構築して FPGA に実装するといった事が考えられる. [A].8.6.. (b) ms 参考文献 ) 坂本正文 : ステッピングモータの使い方, /7, オーム社 () ) 井倉将実 :FPGA ボードで学ぶ Verilog HDL, 7/,CQ 出版社 (7) ) 見城尚志, 菅原晟 : ステッピングモータとマイコン制御,6/68, 総合電子出版社 (99) (c) 8ms 図 6 巻線電流と励磁パルス Fig. 6 and exciting pulse 5