C_PLD報告書要約_H doc

Size: px
Start display at page:

Download "C_PLD報告書要約_H doc"

Transcription

1 PLD/FPGA PLDProgrammable Logic Device ASIC ROM( ROM) AND-OR PLD PROM AND-OR 1970 Signetics(Philips)MMI(Lattice) PLD MMI PAL TM (Programmable Array Logic)PAL TM OR PROM( ROM) / CMOS ROM FPGA 1980 /CMOS EPROM/EEPROM PLD DRAM IC PLD Lattice (1983 )GAL TM (Generic Array Logic)Altera (1983 ) EPLD(Erasable PLD) PEEL TM EPLPALCE TM GAL TM GAL TM PAL TM OR CMOS EEPROM (E2CMOS ) PAL TM OR GAL TM GAL TM AND-OR PLD LSI GAL TM PLD AND-OR PLD FPGA CPLD FPGA AND-OR FPGA PLD AND-OR PLD PLD FPGA(Field Programmable Gate Array)

2 FPGA Xilinx (1984 )Zilog Ross H. Freeman Bernard V. Vonderschmitt Freenman 4 1LUT(Look Up Table)FF(Flip-Flop) CMOS SRAM FPGA Xilinx William S. Carter Freeman Carter PLD Freeman 552 ( )Carter 290 PLD/FPGA PLD/FPGA Xilinx 4Altera 1 Actel Altera Xilinx Xilinx FPGA(LCA TM )CMOS SRAM / MIT(Massachusetts Institute of Technology) Xilinx FPGA Concurrent Logic(Atmel) FPGA Edinburgh University 1985 FPGA 1989 Algotronix (Xilinx ) FPGA Atmel AT6000Xilinx XC6200 FPGA FPGACPLD 1980 / FPGA FPGA Actel (1985 ) QuickLogic (1988 Peer Research)Crosspoint (1991 ) FPGA PLD AND-OR PLD Altera AMD Lattice PLD PLD CPLD(Complex PLD) CPLD FPGA /EPROM/EEPROM 1990 FPGA PLD 1990 SRAM FPGA PLD

3 2 Lattice Altera Xilinx 80 PLD Xilinx Lattice Altera CMOS Actel TI 1990 FPGA GateField PLD UMCTSMC CMOS DRAM PLD TI National Semiconductor AND-OR PLD CMOS EPROM/EEPROM PLD PLD PLD AMD 1987 MMI CPLD CPU 1996 PLD Vantis 1999 Lattice PLD/FPGA FPGA FPGA 1990 AT&T(PLD Lattice)Motorola ( )Vantis (Lattice )SRAM FPGA NTT SRAM FPGA Xilinx (Freeman Carter ) Altera 1993 SRAM PLD (FLEX TM ) Xilinx (2001 ) 1990 FPGA / GateField (Actel )FPGABiCMOS ECL DynaChip FPGA 1990 FPGA CPLD FPGA PLD FPGA IC FPGA ( ) 1990 PLD/FPGA

4 MPUDSP PLD/FPGA ASIC LSI IP 1 ASIC ASIC

5 Freeman Carter Freeman US RE34363 Xilinx Inc. Ross H.Freeman FPGA US US No No.48 1 No.57

6 1-3 Carter US Xilinx Inc. Willian S. Carter EP B CA DE No.1 CLEConfigurable Logic ElementsCLE CLEs 1 CLEs 1 2 CLE CLE 1-2 Freeman

7 1-3 Carter

8 PLD/FPGA PLD/FPGA LSI LSI PLD/FPGA PLD/FPGA 1 3G 2 PDA 3 4 5DVD G FPGA PDA PDA

9 4, PDA 3 RISCDSP FPGA RISCDSP FPGA

10 2-4 5DVD RISCDSP FPGA 2-5 DVD CPU DSP LSI PLD/FPGA PLD/FPGA ASIC 1/ PLD/FPGA

11 2-6 PLD/FPGA

12 PLD/FPGA 1 4,132 (1) 2,000 (2) (1) (3) PLD/FPGA 3-2 PLD/FPGA

13 PLD/FPGA Xilinx Altera (1) PLD/FPGA 4132 PLD/FPGA Derwent World Patent Index( WPI )

14 ( EP) ( ( ) WPI ) 3-1 3,429 WPI 3-1 PLD/FPGA 3,429 WPI 4,132 PLD/FPGA 4,132 1,007 2, ,000 PLD/FPGA PLD ( ) / HP Programmable Logic Device:PLD

15 3-6 A1. A2. / A3. A4. A5. / A.24 A.25 PLD

16 3-7 B1.PLA/ B2. PLD B3. LSI B4. B5.I/O B6. B7. B8. / B

17 PLD/FPGA PLD/FPGA PLD I/O B31.PLD on ASIC(Programmable ASIC) B33.CPU on PLD(System on Programmable Chip)B36. LSI ASIC PLD/FPGA CPU DSP B19. B44. B72. / B83. / B C1. C2. / C3. C4. C5. / C6. C7. /C8. C EDACAD EDACAD PLD/FPGA ASIC PLD (PLD ) PLD

18 C D1. D2. D3. D4. D PLD/FPGA D41. D42. PLD/FPGA PLD

19 PLD/FPGA (Prior Art) ( ) PLD/FPGA 8 (3-2 ) 1990 PLD/FPGA (3-3 ) Freeman Carter US Altera 241 US RE34363 Xilinx Freeman (US ) 223 US Altera 164 US Altera 149 US Altera 142 US Altera 130 US Myson/Knights 123 US QuickLogic FPGA US Xilinx Freeman (FPGA ) 290 US Xilinx Carter ( ) 276 US Actel FPGA 258 US Xilinx FPGA 237 US Altera EPROM PLD 220 US Altera EPROM PLD

20 PLD/FPGA PLD/FPGA Xilinx Altera PLD/FPGA Xilinx Freeman Carter 90 PLD/FPGA PLD/FPGA PLD/FPGA PLD/FPGA WIN-LOSE WIN-WIN PLD/FPGA DRAM PLD/FPGA LSI FeRAM PLD/FPGA PLD/FPGA CPU PLD/FPGA LSI LSI PLD/FPGA LSI PLD/FPGA LSI PLD/FPGA

21 PLD/FPGA LSI PLD/FPGA PLD/FPGA PLD/FPGA PLD/FPGA PLD/FPGA WIN-WIN PLD/FPGA LSI PLD/FPGA PLD/FPGA PLD/FPGA WIN-WIN PLD/FPGA

22 PLD/FPGA PLD/FPGA PLD/FPGA PLD/FPGA PLD/FPGA PLD/FPGA ASSP/ASIC Adaptive Silicon IC Chameleon Systems COGNIGINE Morphics LSI Triscend PLD/FPGA PLD/FPGA PLD/FPGA PLD/FPGA PLD/FPGA PLD/FPGA DRAM

23 PLD/FPGA PLD/FPGA IP PLD/FPGA IP IP IP IP PLD/FPGA PLD/FPGA PLD/FPGA TEL FAX

PLDとFPGA

PLDとFPGA PLDFPGA 2002/12 PLDFPGA PLD:Programmable Logic Device FPGA:Field Programmable Gate Array Field: Gate Array: LSI MPGA:Mask Programmable Gate Array» FPGA:»» 2 FPGA FPGALSI FPGA FPGA Altera, Xilinx FPGA DVD

More information

プログラマブル論理デバイス

プログラマブル論理デバイス 第 8 章プログラマブル論理デバイス 大阪大学大学院情報科学研究科今井正治 E-mail: imai@ist.osaka-u.ac.jp http://www-ise.ist.osaka-u.ac.jp/~imai/ 26/2/5 26, Masaharu Imai 講義内容 PLDとは何か PLA FPGA Gate Arra 26/2/5 26, Masaharu Imai 2 PLD とは何か

More information

1 osana@eee.u-ryukyu.ac.jp : FPGA : HDL, Xilinx Vivado + Digilent Nexys4 (Artix-7 100T) LSI / PC clock accurate / Artix-7 XC7A100T Kintex-7 XC7K325T : CAD Hands-on: HDL (Verilog) CAD (Vivado HLx) : 28y4

More information

Microsoft PowerPoint - FPGA

Microsoft PowerPoint - FPGA PLD と FPGA VLD 講習会 京都大学小林和淑 1 PLD FPGA って何 PLD: Programmable Logic Device プログラム可能な論理素子 FPGA: Field Programmable Gate Array 野外でプログラム可能な門の隊列? Field: 設計現場 Gate Array: 論理ゲートをアレイ上に敷き詰めたLSI MPGA: Mask Programmable

More information

スライド 1

スライド 1 プログラマブルデバイスのうち FPGA(Field Programmable Gate Array) は 最近のディジタルデバイスの中でももっとも激しく成長を遂げました 簡単に設計ができ 非常に大きなディジタル回路でも搭載して動かすことができます モノによっては安価で使いやすくまさに夢のデバイスといっていいです 1 PLD(Programmable Logic Device) とは ユーザが論理機能を決めることのできる

More information

シリコン超集積化システム第165委員会 プログラマビリティを実現する アーキテクチャとその進化

シリコン超集積化システム第165委員会 プログラマビリティを実現する アーキテクチャとその進化 1 PLD(Programmable Logic Device) とは ユーザが論理機能を決めることのできる IC のことです メモリや CPU ASIC 昔の 74 シリーズのような標準ディジタル IC はその機能が決まっていて これらはプログラマブルデバイスとは言いません CPU はソフトウェアで動作を変えられるので究極のプログラマブルデバイスだ という人も居ますが 一般的には専用目的 IC に分類されます

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション LSI Web Copyright 2005 e-trees.japan, Inc. all rights reserved. 2000 Web Web 300 Copyright 2005 e-trees.japan, Inc. all rights reserved. 2 LSI LSI ASIC Application Specific IC LSI 1 FPGA Field Programmable

More information

untitled

untitled PLD 10M 91 2005 200 40 150 1500 1M 100k 10k PLA EEPROM SPLD FPGA CPLD SRAM FPGA 1980 1990 2000 FPGA(Field Programmable Gate Array) LUT F.F LUT, SRAM IOB FPGA-SRAM PLD(Programmable Logic Device) CPLD(Complex

More information

論理設計の基礎

論理設計の基礎 . ( ) IC (Programmable Logic Device, PLD) VHDL 2. IC PLD 2.. PLD PLD PLD SIC PLD PLD CPLD(Complex PLD) FPG(Field Programmable Gate rray) 2.2. PLD PLD PLD I/O I/O : PLD D PLD Cp D / Q 3. VHDL 3.. HDL (Hardware

More information

FPGAで製作する電子回路

FPGAで製作する電子回路 FPGA で作成する電子回路 生命 情報等教育支援室 ( 電子 情報工学系 ) 小野雅晃 はじめに私は 先生方より電子回路 ( 主に論理回路 ) の作成を請け負うことがある 10 数年前までは 電子回路を作成する場合には 標準 TTL(Transistor-Transistor-Logic) を使用し 配線はラッピングで作成していた その後 電子回路の要求性能も向上し 標準 TTL を使用していては

More information

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM Android Android Java Java Dalvik VM Intel Atom PCI Express DMA 1.25 Gbps Atom Android Java Acceleration with an Accelerator in an Android Mobile Terminal Keisuke Koike, Atsushi Ohta, Kohta Ohshima, Kaori

More information

10 IDM NEC

10 IDM NEC No.29 1 29 SEAJ SEAJ 2 3 63 1 1 2 2002 2003 6 News 9 IEDM 11 13 15 16 17 10 IDM NEC 3 12 3 10 10 2 3 3 20 110 1985 1995 1988 912001 1 1993 95 9798 199010 90 200 2 1950 2 1950 3 1311 10 3 4 4 5 51929 3

More information

Microsoft PowerPoint - lecture rev00.pptx

Microsoft PowerPoint - lecture rev00.pptx ネットワーク機器と FPGA 名古屋大学情報基盤センター情報基盤ネットワーク研究部門嶋田創 ネットワークのハードウェア周りを実装 するには? 1 今までネットワークに関連するL1,L2,(L3) の世界とハードウェアの関係を見てきた 中身のよくわからない部分としてASICで構成されている部分がある 高速化の要となっているようだが中身は細かく分からない 他の企業に真似されると嫌なので 特に最近は公開されない

More information

Taro12-イノベ-ション経営研究会

Taro12-イノベ-ション経営研究会 1 3 4 25. 31 46 54 63 63 71 79 90 BP 101 112 126 135 10 1990 21 1970 80 Made In America 80 90 1987 52 93 98-1 - (1) (2) (3) (4) - 2 - - 3 - ( 1980 1990 1990 10 21 PHP 1998. - 4 - 80 1976~1980 1987 52 1988

More information

2 1 1 http://www.jpo.go.jp/indexj.htm International Patent Classification European Patent Classification JST Online Information System Derwent World Patent Index The Scientific and Technical Information

More information

産業21-66号.indd

産業21-66号.indd 2011.10 66 http://www.rofuku.go.jp/sanpo/sanpo21/ms_sanpo21.html 1. 2. 3. 4. 2 10 4 7 12 14 16 18 20 21 22 23 24 25 26 29 CONTENTS 21 1 2 3 4 211 1 1. 2. 3. 221 213 5. 4. 2 1. 2. 421 215 3. 621 217 3 1.

More information

Microsoft PowerPoint - slide

Microsoft PowerPoint - slide ディジタル回路設計の基礎 京都大学情報学研究科小林和淑 kobayasi@i.kyoto-u.ac.jp 内容 単相クロック完全同期回路 構成要素 D フリップフロップ 同期回路の性能 ハードウエア設計手法 論理設計手法の歴史 ハードウエア記述言語 RTL 設計 LSI の設計フロー セルベース設計とゲートアレイ PLD と FPGA 2 単相クロック完全同期回路 同期回路とは? 時間方向を同期パルス

More information

H1_H4

H1_H4 http://www.cas.go.jp/jp/seisaku/bangoseido/index.html http://www.gov-online.go.jp https://twitter.com/mynumber_pr 0570-20-0178 9 301730 271028320:00 17:30 IP050-3816-9405 0570-20-0291 275 1 2 INDEX 27

More information

    

     The Intelligent Technology Company ALTERA CPLD/FPGA ELS5004_S000_10 2006 4 ALTERA CPLD/FPGA...3...3 - Absolute Maximum Ratings...3 - Recommended Operating Conditions...4 - DCDC Operating Conditions...4

More information

Microsoft PowerPoint - lecture rev00.pptx

Microsoft PowerPoint - lecture rev00.pptx ネットワーク機器と PA 名古屋大学情報基盤センター情報基盤ネットワーク研究部門嶋田創 ネットワークのハードウェア周りを実装するには? 今までネットワークに関連する L,L2,(L) の世界とハードウェアの関係を見てきた 中身のよくわからない部分として ASI で構成されている部分がある 高速化の要となっているようだが中身は細かく分からない 他の企業に真似されると嫌なので 特に最近は公開されない ASI

More information

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA 272 11 05340 26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA skewed L2 FPGA skewed Linux

More information

EP7000取扱説明書

EP7000取扱説明書 EP7000 S0109-3012 3 47 811 1213 1419 2021 53 54 5560 61 6263 66 2223 2427 2830 3133 3436 3740 4142 4344 45 46 4750 5152 2 4 5 6 7 1 3 4 5 6 7 8 9 15 16 17 18 13 EP7000 2 10 11 12 13 14 19 20 21 22 23 24

More information

ごあいさつ

ごあいさつ 2004 11 7 10 00 2004 13:0014:00 16 00 2004 3 5N S24 29 34 39 44 49 54 59H1 6 11. URL 1 7 2005 2 1 1210 121 149 187 149 606 137 134 177 156 604 162 11 1 2004 2 1241 135 126 120 233 614 145 131 131 220 627

More information

SimscapeプラントモデルのFPGAアクセラレーション

SimscapeプラントモデルのFPGAアクセラレーション Simscape TM プラントモデルの FPGA アクセラレーション MathWorks Japan アプリケーションエンジニアリング部 松本充史 2018 The MathWorks, Inc. 1 アジェンダ ユーザ事例 HILS とは? Simscape の電気系ライブラリ Simscape モデルを FPGA 実装する 2 つのアプローチ Simscape HDL Workflow Advisor

More information

056-071共通論題:難波正憲.indd

056-071共通論題:難波正憲.indd Transition of major products in Tsubame Area and Silicon Valley 1. 83,375 2011 IT Bio,Nano GreenTech 110 3,840 2,589,000 (2008) 2. 2011.7 3. 2011.7 4. IT,, 19141919,,,, 31658) 1868 50 2001 2011.7 2011.7

More information

Microsoft PowerPoint - Chap1 [Compatibility Mode]

Microsoft PowerPoint - Chap1 [Compatibility Mode] ディジタル設計 (A1) (Chap. 1) @ F301 http://www.ngc.is.ritsumei.ac.jp/~ger/lectures/digital2012/index.html 情報システム学科次世代コンピューティング研究室山下茂 ger@cs.ritsumei.ac.jp 0 目次 1. デジタル回路設計に関する概要の確認 基本的な用語 LSI 設計の流れ LSIの種類 現代用語の基礎知識ともいえます!

More information

IT IT 20 32 35 IC IC IC IC IC 4 5 CCD 73

IT IT 20 32 35 IC IC IC IC IC 4 5 CCD 73 No.19 1990, 1 NoSide 2 News 6 10 12 16 18 IT IT 20 32 35 IC IC IC IC IC 4 5 CCD 73 IC MOS CCD 3 VHS 8 CCD CCD CCD IC IC MOS CCD CCD MOS MOSIC IC IC MOS MOS IC MOS 82 83 MOSIC MOSIC MOS SRAM CCD CCD SRAM

More information

? FPGA FPGA FPGA : : : ? ( ) (FFT) ( ) (Localization) ? : 0. 1 2 3 0. 4 5 6 7 3 8 6 1 5 4 9 2 0. 0 5 6 0 8 8 ( ) ? : LU Ax = b LU : Ax = 211 410 221 x 1 x 2 x 3 = 1 0 0 21 1 2 1 0 0 1 2 x = LUx = b 1 31

More information

18 (1) US (2) US US US 90 (3) 2 8 1 18 108 2 2,000 3 6,000 4 33 2 17 5 2 3 1 2 8 6 7 7 2 2,000 8 1 8 19 9 10 2 2 7 11 2 12 28 1 2 11 7 1 1 1 1 1 1 3 2 3 33 2 1 3 2 3 2 16 2 8 3 28 8 3 5 13 1 14 15 1 2

More information

Microsoft Word - archip.doc

Microsoft Word - archip.doc 131 71 71 71 7 1 71 71 71 71 71 71 7 1 71 71 71 71 71 71 7-1 71 71 71 71 71 71 7-1 71 71 7 1 71 71 71 71 71 71 71 71 71 71 71 71 71 71 7 1 71 71 71 71 71 71 7 1 71 71 71 71 71 71 71 71 71 71 71 71 71 71

More information

目    次

目    次 1 2 3 t 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 IP 169 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67

More information

VHDL

VHDL VHDL 1030192 15 2 10 1 1 2 2 2.1 2 2.2 5 2.3 11 2.3.1 12 2.3.2 12 2.4 12 2.4.1 12 2.4.2 13 2.5 13 2.5.1 13 2.5.2 14 2.6 15 2.6.1 15 2.6.2 16 3 IC 17 3.1 IC 17 3.2 T T L 17 3.3 C M O S 20 3.4 21 i 3.5 21

More information

01

01 2 0 0 7 0 3 2 2 i n d e x 0 7. 0 2. 0 3. 0 4. 0 8. 0 9. 1 0. 1 1. 0 5. 1 2. 1 3. 1 4. 1 5. 1 6. 1 7. 1 8. 1 9. 2 0. 2 1. 2 3. 2 4. 2 5. 2 6. O k h o t s k H a m a n a s u B e e f 0 2 http://clione-beef.n43.jp

More information

FdData社会地理

FdData社会地理 [ [ 1(3 ) [ 2(3 ) A C [ [ [ 3(2 ) (1) X Y Z (2) X Y Z 3,000m [ 4(3 ) [ [ [ 5(2 ) ( ) 1 [ [ 6( ) (1) A (2) (1) B [ 7(3 ) (1) A (2) A (3) A 2 [ 8(2 ) [ 9(3 ) 2 [ 10(2 ) A H [ [ 11( ) A H 3 3 [ 12(2 ) [ (

More information

消防力適正配置調査報告

消防力適正配置調査報告 8 5 5 20 11 22 4 25 1.1 1 1.2 1 1.3 2 2.1 6 2.2 6 2.3 8 2.4 8 2.5 9 3.1 10 3.2 10 3.3 13 4.1 15 4.2 17 4.3 19 4.4 21 4.5 23 (1) - 1 - (2) (1) ()1 ( ) 8 1 1 143 116 (2) 1-2 - 26 24 19 24 6 21 24 4 19 24

More information

Microsoft Word - 01Ł\”ƒ.doc

Microsoft Word - 01Ł\”ƒ.doc 226821,416* 13,226 22 62,640 46,289 13,226 28.6 * 8,030 4,788 408 13,226 2,249 2,868 55 5,173 2,153 716 93 2,962 3,628 1,204 260 5,092 173 10 361 25.5% 40 220 112 50.9% 4,922 804 16.3% 3040 141 54 38.3%

More information

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h 23 FPGA CUDA Performance Comparison of FPGA Array with CUDA on Poisson Equation (lijiang@sekine-lab.ei.tuat.ac.jp), (kazuki@sekine-lab.ei.tuat.ac.jp), (takahashi@sekine-lab.ei.tuat.ac.jp), (tamukoh@cc.tuat.ac.jp),

More information

102

102 5 102 5 103 q w 104 e r t y 5 u 105 q w e r t y u i 106 o!0 io!1 io q w e r t y 5 u 107 i o 108 q w e q w e r 5 109 q w 110 e r t 5 y 111 q w e r t y u 112 i q w e r 5 113 q w e 114 r t 5 115 q w e 116

More information

001 No.3/12 1 1 2 3 4 5 6 4 8 13 27 33 39 001 No.3/12 4 001 No.3/12 5 001 No.3/12 6 001 No.3/12 7 001 8 No.3/12 001 No.3/12 9 001 10 No.3/12 001 No.3/12 11 Index 1 2 3 14 18 21 001 No.3/12 14 001 No.3/12

More information

TULを用いたVisual ScalerとTDCの開発

TULを用いたVisual ScalerとTDCの開発 TUL を用いた Visual Scaler と TDC の開発 2009/3/23 原子核物理 4 年 永尾翔 目次 目的と内容 開発環境 J-Lab におけるハイパー核分光 Visual Scaler TDC まとめ & 今後 目的と内容 目的 TUL, QuartusⅡ を用いて実験におけるトリガーを組めるようになる Digital Logic を組んでみる 内容 特徴 TUL,QuartusⅡ

More information

計算機ハードウエア

計算機ハードウエア 計算機ハードウエア 209 年度前期 第 5 回 前回の話 (SH745) (32 bit) コンピュータバスの構成 インタフェース (6 bit) I/O (Input/ Output) I/O (22 bit) (22 bit) 割り込み信号リセット信号 コンピュータバスは コンピュータ本体 () と そのコンピュータ本体とデータのやり取りをする複数の相手との間を結ぶ 共用の信号伝送路である クロック用クリスタル

More information

2005 1

2005 1 25 SPARCstation 2 CPU central processor unit 25 2 25 3 25 4 DRAM 25 5 25 6 : DRAM 25 7 2 25 8 2 25 9 2 bit: binary digit V 2V 25 2 2 2 2 4 5 2 6 3 7 25 A B C A B C A B C A B C A C A B 3 25 2 25 3 Co Cin

More information

「FPGAを用いたプロセッサ検証システムの製作」

「FPGAを用いたプロセッサ検証システムの製作」 FPGA 2210010149-5 2005 2 21 RISC Verilog-HDL FPGA (celoxica RC100 ) LSI LSI HDL CAD HDL 3 HDL FPGA MPU i 1. 1 2. 3 2.1 HDL FPGA 3 2.2 5 2.3 6 2.3.1 FPGA 6 2.3.2 Flash Memory 6 2.3.3 Flash Memory 7 2.3.4

More information

untitled

untitled 186 17 100160250 1 10.1 55 2 18.5 6.9 100 38 17 3.2 17 8.4 45 3.9 53 1.6 22 7.3 100 2.3 31 3.4 47 OR OR 3 1.20.76 63.4 2.16 4 38,937101,118 17 17 17 5 1,765 1,424 854 794 108 839 628 173 389 339 57 6 18613

More information

untitled

untitled 1. 3 14 2. 1 12 9 7.1 3. 5 10 17 8 5500 4. 6 11 5. 1 12 101977 1 21 45.31982.9.4 79.71996 / 1997 89.21983 41.01902 6. 7 5 10 2004 30 16.8 37.5 3.3 2004 10.0 7.5 37.0 2004 8. 2 7 9. 6 11 46 37 25 55 10.

More information

研究成果報告書

研究成果報告書 様式 C-19 科学研究費補助金研究成果報告書 平成 23 年 5 月 31 日現在 機関番号 :52601 研究種目 : 基盤研究 (C) 研究期間 :2008~2010 課題番号 :20500765 研究課題名 ( 和文 ) 工業高専におけるシステム LSI 設計技術教育システムの開発 研究課題名 ( 英文 ) HDL Logic Circuit Design Laboratory in Tokyo

More information

Microsoft PowerPoint - 今からでも遅くないFPGA_rev8.ppt

Microsoft PowerPoint - 今からでも遅くないFPGA_rev8.ppt 今からでも遅くない! FPGA 初心者入門講座 December, 2010 Proprietary to PALTEK CORPORATION 1 本日のステップ お持ち帰りいただきたいもの 1. FPGA の歴史 / 進化の流れ 2. FPGAの今 3. FPGAの始めかた 2 宇宙にもザイリンクス! 2004 年 Virtex-2Pro 火星探査機 Rover 2009 年 Virtex-4FXT

More information

総セク報告書(印刷発出版_.PDF

総セク報告書(印刷発出版_.PDF - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - - 10 - - 11 - IP 110 110 IP 110 110 - 12-110 2 IP 3 1 110 2 IP 3 1 - 13 - - 14 - IP - 15 - 17 11-16 - - 17 - - 18 - FAX (*1) http://www.kantei.go.jp/jp/singi/titeki2/kettei/040527f.html

More information

B_SIP報告書要約_H doc

B_SIP報告書要約_H doc 14 4 26 SiP (SiP) 1 SoC( ) SoC SoC SiP SiP LSI SoC LSI IC MCM SiP ICMCM SoC SoC SoC SiP 1-1 SiP 1-1 SiP MCM IC P TSOP MCP SiP 1-2 SiP 1-2 SiP CSP Si+ Si+ EDA BIST . 1-3 SiP MCP 1-3 SiP SOCKET CHIP PLUG

More information

計算機ハードウエア

計算機ハードウエア 計算機ハードウエア 2017 年度前期 第 4 回 前回の話 コンピュータバスの構成 データバス I/O (Input/ Output) CPU メモリ アドレスバス コントロールバス コンピュータバスは コンピュータ本体 (CPU) と そのコンピュータ本体とデータのやり取りをする複数の相手との間を結ぶ 共用の信号伝送路である CPU は バス を制御して 複数のデバイス ( メモリや I/O)

More information

23 25 25 IC LSI 2/14

23 25 25 IC LSI 2/14 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 1/14 23 25 25 IC LSI 2/14 23 3/14 4/14 10 11 5/14 12 13 12 11 12 11 6/14 14 15 16 14 7/14 17 18 8/14 19 20 9/14 21 22 10/14 23 24 16

More information

SSIS SSIS9 8 101 1 SEAJ TVDVD i-pod

SSIS SSIS9 8 101 1 SEAJ TVDVD i-pod Encore SSIS SSIS9 8 101 1 SEAJ TVDVD i-pod 1970 198030 9020 90 80 10 1980 1990PC 2000 10 ABS ETC ECO 102 40 10GIPS 24 MCU 90nm 65nm 1990 21 1990 200mm501000 300mm 3200mm7 3,500 450mm 8,000 200mm16 1990

More information

Cyclone IIIデバイスのI/O機能

Cyclone IIIデバイスのI/O機能 7. Cyclone III I/O CIII51003-1.0 2 Cyclone III I/O 1 I/O 1 I/O Cyclone III I/O FPGA I/O I/O On-Chip Termination OCT Quartus II I/O Cyclone III I/O Cyclone III LAB I/O IOE I/O I/O IOE I/O 5 Cyclone III

More information

untitled

untitled ITRS2005 DFM STRJ : () 1 ITRS STRJ ITRS2005DFM STRJ DFM ITRS: International Technology Roadmap for Semiconductors STRJ: Semiconductor Technology Roadmap committee of Japan 2 ITRS STRJ 1990 1998 2000 2005

More information

Microsoft PowerPoint - slide

Microsoft PowerPoint - slide ディジタル 回 路 設 計 の 基 礎 京 都 大 学 情 報 学 研 究 科 小 林 和 淑 kobayasi@i.kyoto-u.ac.jp 内 容 単 相 クロック 完 全 同 期 回 路 構 成 要 素 Dフリップフロップ 同 期 回 路 の 性 能 ハードウエア 設 計 手 法 論 理 設 計 手 法 の 歴 史 ハードウエア 記 述 言 語 RTL 設 計 LSIの 設 計 フロー セルベース

More information

産業21-67号色校72.indd

産業21-67号色校72.indd http://www.rofuku.go.jp/sanpo/sanpo21/ms_sanpo21.html 2012.1 67 1. 2. 3. 4. 2 10 4 7 12 14 16 18 20 21 22 23 24 25 26 29 CONTENTS 21 1 2 3 4 21 1 2 21 1 1. 2. 21 3 2 1. 2. 4 21 21 5 3. 4. 6 21 21 7 3 3

More information

O157 6/23 7/4 6 25 1000 117,050 6 14:00~15:30 1 2 22 22 14:30~15:30 8 12 1 5 20 6 20 10 11 30 9 10 6 1 30 6 6 0 30 6 19 0 3 27 6 20 0 50 1 2 6 4 61 1 6 5 1 2 1 2 6 19 6 4 15 6 1 6 30 6 24 30 59

More information

strtok-count.eps

strtok-count.eps IoT FPGA 2016/12/1 IoT FPGA 200MHz 32 ASCII PCI Express FPGA OpenCL (Volvox) Volvox CPU 10 1 IoT (Internet of Things) 2020 208 [1] IoT IoT HTTP JSON ( Python Ruby) IoT IoT IoT (Hadoop [2] ) AI (Artificial

More information

untitled

untitled 21 19 12 16 16 13 107 19 21 108 80ha 40 24 109 13 18 10 20 110 111 16 24 112 113 58 63 11 14 14 16 19 16 17 17 21 21 114 3.1 126 3.1 / 126 / 2.8 / 109 / 40 4445 550 360 305 18 18 19 115 200 45 10 116 117

More information

はじめに

はじめに SFC ディスカッションペーパー SFC-DP 2009-005 ARM 社の競争力分析 佐藤淳史 慶應義塾大学大学院政策 メディア研究科修士課程修了 sato726@gmail.com 2009 年 7 月 1 1 2005 2 2 32 RISC 3 SuperHSH ARM ARM 2 ARM RISC 75% 4 5 ARM ARM SH IP 6 IP 7 3 SH ARM SH ARM

More information

2

2 ( ) 1 1 2 3000 2500 2000 1500 1000 500 0-500 -1000-1500 18 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 3 3 1980 ( ) 1980 43 87 33 10 10 2001 80 07 58.6

More information

94.7 H22 H22 140,000 120,000 3.31 3.24 3.02 2.85 116,435 122,529 126,317 3.5 3 100,000 80,000 77,498 93,159 105,099 112,878 2.73 2.62 2.51 2.5 2 60,000 40,000 20,000 23,412 28,790 34,786 39,571

More information

untitled

untitled P125(2) ()()()() ()()() ()()()()()()() 1 - - - - - - - - - - - - - - -1 - - - 105 105 105120 105120 105120 105120 105120 90 90 90 90 90 105 105 105 105 105120 105120 105120 105120 90 90 90 90 85 85 85

More information

Taro12-第4回意見募集結果(改訂

Taro12-第4回意見募集結果(改訂 - - - - - - - - - - - - - - HP - - - - - - - - - - - - - - -

More information