Microsoft PowerPoint - lecture rev00.pptx

Size: px
Start display at page:

Download "Microsoft PowerPoint - lecture rev00.pptx"

Transcription

1 ネットワーク機器と FPGA 名古屋大学情報基盤センター情報基盤ネットワーク研究部門嶋田創

2 ネットワークのハードウェア周りを実装 するには? 1 今までネットワークに関連するL1,L2,(L3) の世界とハードウェアの関係を見てきた 中身のよくわからない部分としてASICで構成されている部分がある 高速化の要となっているようだが中身は細かく分からない 他の企業に真似されると嫌なので 特に最近は公開されない ASICの部分は自分で細かく見たりすることはできない? FPGAで実装することで確認できるかもしれない

3 FPGA: Field Programmable Gate Array 近年多用される再構成可能ハードウェア LUTを使った構成が主流 LUT(Look-Up Table): 任意の 3-8 入力の信号に対して任意の値を出力する論理素子 プロトタイピングで多用される もしくは少量生産 ネットワーク機器ではよくある もしくは ASIC が来るまでのつなぎ 2

4 LUT を使う FPGA の概観 入出力素子 Configurable Logic Block(CLB) in1 in2 in3 in4 LUT FF sel out 配線部分 ( グローバル配線 ) clock 3

5 グローバル配線の構成 接続部分は 2 箇所 グローバル配線とグローバル配線 ( スイッチマトリクス ) グローバル配線とCLB 配線の接続はパストランジスタで制御される パストランジスタに接続されたメモリに接続情報を書き込む G C CLOCK F F F 4 C 4 G 4 Q 2 G Q 1 CLB F 2 C 2 G 2 G C F CLB スイッチマトリクス 1bit メモリ 4

6 LUT(Look-Up Table): 任意の論理値を 出力できる論理素子 RAM ベースの LUT を考えると考えやすい e.g. 4bit 入力アドレスに対して 1bit を出力する RAM LUT はマルチプレクサや ROM などでも実現される 入力 (= アドレス ) A B C D ROM/RAM/ マルチプレクサによる LUT Q データ RAM の値 ABCD Q

7 最近の FPGA は CLB 以外もいろいろ搭 6 載している ブロック SRAM 容量重視 速度重視などバリエーションあり 全加算器 ( 高速キャリー線付き ) 乗算器 組み込みプロセッサ (1-2GHzのARMコアなど) DSPコア ( 可変精度 ) 高速 I/O おおむね 3Gbps 以上 メモリコントローラ (DDR3/4-SDRAMなど) PCI-Express IPコア 暗号化 / 復号処理コア (AES, SHAなど )

8 7 Altera の高速 I/O の物理構造 PMS/PCSなどはUTP 利用イーサネットと似た構成 PMAは光ファイバ利用イーサネットと似た構成

9 8 FPGA メーカ Altera と Xilinx が業界大手 10G 以上を実用的に使おうとすると実質この2 社 高速 IO 付きFPGAでないと利用ピン数が多くなりすぎる Altera(2015/6 に Intel に買収された ) 高速 IO 付きFPGAのバリエーションが多い Intelの14nmプロセスを利用した高性能版あり Intel XeonとのMulti Chip Module 版も発表された (2016/4) Xilinx 10G の MAC IP コアを無料で使える その他 : 1GBASE あたりまでは対応できる Actel: アンチヒューズ型 ( 高速だが書き換え回数 1 回をラインアップ ) Quicklogic: アンチヒューズ型 Lattice

10 9 高速 IO を持つ FPGA(Altera) Stratix( 上位モデル ) Stratix V GT(28nm): 28.05Gbps x4, 12.5Gbps x32( 最大 ) Stratix V GX(28nm): 14.1Gbps x66( 最大 ) Stratix 10 TX(14nm): 56Gbps(PAM4) x60( 最大 ) 普通のトランシーバとして使う場合は30Gbps x120( 最大 ) Arria( 注意モデル ) Arria V GZ(28nm): 12.5Gbps x36( 最大 ) Arria 10 GT(20nm): 17.78Gbps x96( 最大 ) Arria 10 GT(20nm): 25.8Gbps x6( 最大 ) Cyclone( 上位モデル ) Cyclone V GT(28nm): 6.144Gbps x12( 最大 ) Cyclone 10 GX(20nm): 12.5Gbps x12( 最大 ) 1.4GbpsのLVDSも最大 118 本利用可能

11 10 高速 IO を持つ FPGA(Xilinx) Virtex Virtex-7(28nm): 28.05Gbps x16, 12.5Gbps x72( 最大 ) Virtex UltraScale(20nm): 30.5Gbps x60( 最大 ) Virtex UltraScale+(16nm): 32.75Gbps x128( 最大 ) Kintex Kintex-7(28nm): 12.5Gbps x32( 最大 ) Kintex UltraScale(20nm): 16.3Gbpx x64( 最大 ) Kintex UltraScale+(16nm): 32.75Gbpx x32( 最大 ) Artix-7(28nm): 6.6Gbps x16( 最大 ) Spartan-7 (28nm): 1.25GbpsのLVDSを最大 192 本 Spartan-6 LXT(40nm): 3.2Gbps x8( 最大 )

12 11 高速 I/O を使ったイーサネットの MAC 層 通常 FPGAメーカから汎用バスインタフェースを持つMAC 層がIPコアとして提供されている MAC 部は全てFPGA 内に実装可能 Alteraの40G/100G IP Core( 下図 )

13 Altera による高速イーサネット実装例 (1/2) 12 Stratix IV GXを利用した100GbEの実装 10G 用 PHYを使う構成 10Gbps 以上の I/O を持たない FPGA でも対応可能

14 Altera による高速イーサネット実装例 (2/2) 13 Stratix IV GTを利用した100GbEの実装 10Gbps 以上のI/Oを持つFPGA 用 10Gbps ちょい上の I/O は多くの FPGA が備えている

15 FPGA の高速 I/O を利用して実装できる 14 他の高速通信規格 Interlaken: Gbps x n 10GbE XAUI: 3.125Gbps x4 Fibre Channel: , 2.125, 4.25, 8.5Gbps x n OTN(OTN(Optical Transport Network)-4: Gbps 10G FibreChannel: Gbps 40GbE: Gbps x4 100GbE: Gbps x10

16 15 高速通信が使える FPGA ボードの例 Stratix V GX 評価キット SMA x2 SFP+ x4 QSFP x2(4チャネル x2) CFP x1(10チャネル x1) Interlaken 24 チャネル Stratix 10 GV 評価キット QSFP28 が利用可

17 16 Xilinx の 100G x2 実装 Virtex-7を利用 28.05Gbpsトランシーバ x8で片方の100gを実装 12.5Gbpsトランシーバ x10でもう片方の100gを実装

18 17 Altera によるクロスバスイッチの実装例 Stratix V GXを利用 14.1Gbpsのトランシーバ x66 間の通信のスイッチング ルーティングのためのTCAMを併用

19 FPGA による無線ネットワークのデジタ 18 ル信号処理 Arria II GX による無線のデジタル信号処理部実装 DSP ブロックなどを活用 ソフトウェア無線の実装などで活用できそう

20 高頻度トレードに見る高速送受信処理 部における FPGA 利用 19 HFT: High Frequeny Trading アルゴリズムによる ( 株式 ) 取引方法の1つ 取引時のマージンを低くするが 高頻度で取引をすることで ミリ秒単位の高速 ( 株式 ) 取引が重要になる 2005 円で売り と 2010 円で買い が出そうならば 2006 円で買って 2009 円で売る という 最近だとマイクロ秒とかのオーダに このような取引では取引依頼の少しの遅延が大きな損失に FPGAによる取引依頼部ハードウェア化 アルゴリズムの部分は引き続きサーバ部分

21 HFT のネットワークにおける FPGA 利用 (1/3) 20 初期 : FPGA 付き NIC による TCP オフローディング TCPオフローディング : TCP/IPスタックをFPGA 側で実行することでサーバ側の負荷を軽減 サーバで生成した取引発注の通信内容をFPGA 側のTCP/IPスタックにて送信 FIXプロトコル : 金融取引の標準プロトコル サーバ NIC アプリケーションカーネルパケット生成 TCP/IPスタック MAC PHY アプリケーションカーネルパケット生成 FPGA MAC TCP/IPスタック PHY サーバ NIC

22 21 TCP オフローディング 従来 パケットデータのメモリへの読み書きに CPU が介在 TCP オフローディング パケットデータはメモリに書き込まれてから受信通知が来る メモリ上のパケットデータに対して送信依頼ができる

23 HFT のネットワークにおける FPGA 利用 (2/3) 22 中期 : 発注の通信をFPGA 内部で生成 サーバ側は取引発注内容自体のリクエスト処理のみ アプリケーション アプリケーション カーネル サーバ サーバ NIC カーネルパケット生成 FPGA MAC TCP/IPスタック PHY FPGA パケット生成 MAC TCP/IPスタック PHY NIC

24 HFT のネットワークにおける FPGA 利用 23 (3/3) 最終形 : 投機的な取引リクエスト 過去の値動きを元に発注すべき取引内容を予測 最新の値動き結果が来る前に取引内容 ( のイーサネットフレーム ) を送信開始 予定通りの値動き : そのまま送信 予定とは異なる値動き : イーサネットフレームの送信をキャンセル フレーム最後のFCSに誤った値を付与 非常に迷惑な行為なので 当然 証券会社側の確認は取っているはず あまりにもえげつないので HFT は規制される傾向 ヘッダ送信 ペイロード送信 FCS 送信 フレーム送信の時間軸

25 24 高速トレードにおける FPGA( 小ネタ ) J.P.Morgan がポートフォリオのリスクシミュレーションに FPGA アクセラレータ利用 (2011) x86サーバ数千台並列で8-12 時間 アクセラレータ付属サーバ40 台で4 分 (120 倍の高速化!) 途中で GPU で 倍の高速化も行った Arista が FPGA 内蔵ネットワークスイッチを出しているので それを使ったソリューションも出てくるかも?

26 25 FPGA 関連小ネタ IP Core もアップグレードできる ( される ) 例 : Alteraは2013/11に10G/40G/100G Ethernet IP Coreを更新 100Gは55% 小型化 70% 低レイテンシ 40Gは40% 小型化 60% 低レイテンシ 10Gは20% 小型化 24% 低レイテンシ OpenCoresとかでも新しいコアが出ることはある

Microsoft PowerPoint - lecture rev00.pptx

Microsoft PowerPoint - lecture rev00.pptx ネットワーク機器と PA 名古屋大学情報基盤センター情報基盤ネットワーク研究部門嶋田創 ネットワークのハードウェア周りを実装するには? 今までネットワークに関連する L,L2,(L) の世界とハードウェアの関係を見てきた 中身のよくわからない部分として ASI で構成されている部分がある 高速化の要となっているようだが中身は細かく分からない 他の企業に真似されると嫌なので 特に最近は公開されない ASI

More information

プログラマブル論理デバイス

プログラマブル論理デバイス 第 8 章プログラマブル論理デバイス 大阪大学大学院情報科学研究科今井正治 E-mail: imai@ist.osaka-u.ac.jp http://www-ise.ist.osaka-u.ac.jp/~imai/ 26/2/5 26, Masaharu Imai 講義内容 PLDとは何か PLA FPGA Gate Arra 26/2/5 26, Masaharu Imai 2 PLD とは何か

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション SATA Host/Device IP Core HDD や SSD などのストレージを使用した システム開発に最適な FPGA 向けIntelliProp 社製 SATA IP Core IntelliProp 社製 SATA Host / Device IP Coreは SATA Revision 3.0 Specificationに準拠しており 1.5Gbps 3.0Gbps 6.0Gbpsに対応しています

More information

Microsoft PowerPoint - FPGA

Microsoft PowerPoint - FPGA PLD と FPGA VLD 講習会 京都大学小林和淑 1 PLD FPGA って何 PLD: Programmable Logic Device プログラム可能な論理素子 FPGA: Field Programmable Gate Array 野外でプログラム可能な門の隊列? Field: 設計現場 Gate Array: 論理ゲートをアレイ上に敷き詰めたLSI MPGA: Mask Programmable

More information

PLDとFPGA

PLDとFPGA PLDFPGA 2002/12 PLDFPGA PLD:Programmable Logic Device FPGA:Field Programmable Gate Array Field: Gate Array: LSI MPGA:Mask Programmable Gate Array» FPGA:»» 2 FPGA FPGALSI FPGA FPGA Altera, Xilinx FPGA DVD

More information

(Microsoft PowerPoint - E6x5C SDXC Demo Seminar [\214\335\212\267\203\202\201[\203h])

(Microsoft PowerPoint - E6x5C SDXC Demo Seminar [\214\335\212\267\203\202\201[\203h]) Atom プロセッサ E6x5C の紹介と FPGA IP Core 活 例の紹介 アイウェーブ ジャパン株式会社 神奈川県横浜市中区住吉町 3 丁目 29 番住吉関内ビル8 階 B Tel: 045-227-7626 Fax: 045-227-7646 Mail: info@iwavejapan.co.jp Web: www.iwavejapan.co.jp 2011/5/30 1 iwave Japan,

More information

「電子政府推奨暗号の実装」評価報告書

「電子政府推奨暗号の実装」評価報告書 2011 情財第 399 号 情報セキュリティ対策基盤整備事業 電子政府推奨暗号の実装 評価報告書 平成 24 年 12 月 [ 改訂履歴 ] 日付改訂内容 2012 年 12 月 11 日評価報告書初版発行 2012 年 12 月 21 日 2. 評価結果 内のデータを修正 ( 表 1-1 表 1-2 表 2-1 表 2-2 表 3-1 表 3-2 表 4-1 表 4-2 表 5-1 表 5-2

More information

新技術説明会 様式例

新技術説明会 様式例 1 ロボットへの FPGA 導入を 容易化する コンポーネント技術 宇都宮大学大学院工学研究科情報システム科学専攻助教大川猛 2 従来技術とその問題点 FPGA(Field Programmable Gate Array) は 任意のディジタル論理回路をプログラム可能な LSI ソフトウェアでは時間がかかる画像認識処理等を ハードウェア化して 高速化 低消費電力化可能 問題点 FPGA 上の回路設計が難しい

More information

Software-Defined Tester(SDT) を用いた高精度遅延測定による SDN/NFV 品質向上 富士通アドバンストテクノロジ株式会社システム技術統括部大久保克彦 0 Copyright 2017 FUJITSU AD

Software-Defined Tester(SDT) を用いた高精度遅延測定による SDN/NFV 品質向上 富士通アドバンストテクノロジ株式会社システム技術統括部大久保克彦 0 Copyright 2017 FUJITSU AD Software-Defined Tester(SDT) を用いた高精度遅延測定による SDN/NFV 品質向上 富士通アドバンストテクノロジ株式会社システム技術統括部大久保克彦 fatec-ood-2017@dl.jp.fujitsu.com 0 背景 リアルタイム性が必要な分野への適用 5G( 低遅延 ) による新たなサービス展開 ゲーム VoIP 動画医療金融車載 遅延がサービス品質に直結 End-to-End

More information

Microsoft PowerPoint - Lec pptx

Microsoft PowerPoint - Lec pptx Course number: CSC.T34 コンピュータ論理設計 Computer Logic Design 5. リコンフィギャラブルシステム Reconfigurable Systems 吉瀬謙二情報工学系 Kenji Kise, Department of Computer Science kise _at_ c.titech.ac.jp www.arch.cs.titech.ac.jp/lecture/cld/

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション ソフトウェアパケット処理とハードウェアパケット処理 ~ アーキテクチャ ~ JANOG 37 @ 名古屋 海老澤健太郎 ebiken.g@gmail.com Twitter: @ebiken Janog 37 ソフトウェア & ハードウェアパケット処理 Kentaro Ebisawa 2016/01/22 1 自己紹介 : 海老澤健太郎 (Kentaro Ebisawa) ネットワーク系 海外 NW

More information

Microsoft PowerPoint - Chap1 [Compatibility Mode]

Microsoft PowerPoint - Chap1 [Compatibility Mode] ディジタル設計 (A1) (Chap. 1) @ F301 http://www.ngc.is.ritsumei.ac.jp/~ger/lectures/digital2012/index.html 情報システム学科次世代コンピューティング研究室山下茂 ger@cs.ritsumei.ac.jp 0 目次 1. デジタル回路設計に関する概要の確認 基本的な用語 LSI 設計の流れ LSIの種類 現代用語の基礎知識ともいえます!

More information

C_PLD報告書要約_H doc

C_PLD報告書要約_H doc 14 4 26 PLD/FPGA PLDProgrammable Logic Device ASIC 1970 1 ROM( ROM) AND-OR PLD PROM AND-OR 1970 Signetics(Philips)MMI(Lattice) PLD MMI PAL TM (Programmable Array Logic)PAL TM OR PROM( ROM) / 1980 1CMOS

More information

スライド 1

スライド 1 プログラマブルデバイスのうち FPGA(Field Programmable Gate Array) は 最近のディジタルデバイスの中でももっとも激しく成長を遂げました 簡単に設計ができ 非常に大きなディジタル回路でも搭載して動かすことができます モノによっては安価で使いやすくまさに夢のデバイスといっていいです 1 PLD(Programmable Logic Device) とは ユーザが論理機能を決めることのできる

More information

シリコン超集積化システム第165委員会 プログラマビリティを実現する アーキテクチャとその進化

シリコン超集積化システム第165委員会 プログラマビリティを実現する アーキテクチャとその進化 1 PLD(Programmable Logic Device) とは ユーザが論理機能を決めることのできる IC のことです メモリや CPU ASIC 昔の 74 シリーズのような標準ディジタル IC はその機能が決まっていて これらはプログラマブルデバイスとは言いません CPU はソフトウェアで動作を変えられるので究極のプログラマブルデバイスだ という人も居ますが 一般的には専用目的 IC に分類されます

More information

スイッチ ファブリック

スイッチ ファブリック CHAPTER 4 この章では Cisco CRS-1 キャリアルーティングシステムのについて説明します この章の内容は 次のとおりです の概要 の動作 HS123 カード 4-1 の概要 の概要 は Cisco CRS-1 の中核部分です はルーティングシステム内の MSC( および関連する PLIM) と他の MSC( および関連する PLIM) を相互接続し MSC 間の通信を可能にします は

More information

SimscapeプラントモデルのFPGAアクセラレーション

SimscapeプラントモデルのFPGAアクセラレーション Simscape TM プラントモデルの FPGA アクセラレーション MathWorks Japan アプリケーションエンジニアリング部 松本充史 2018 The MathWorks, Inc. 1 アジェンダ ユーザ事例 HILS とは? Simscape の電気系ライブラリ Simscape モデルを FPGA 実装する 2 つのアプローチ Simscape HDL Workflow Advisor

More information

Microsoft Word - r0703.doc

Microsoft Word - r0703.doc 新開発のパケット暗号処理方式により 暗号通信を高速化世界最速の業界標準 (IPsec) 対応暗号通信 (VP) 装置を開発 ( 開発 o.0703) 007 年 月 5 日三菱電機株式会社 三菱電機株式会社 ( 執行役社長 : 下村節宏 ) は パケット 暗号通信の業界標準規格 IPsecv に準拠して あらゆるサイズのパケットを 0Gbit イーサネット 3 の設計上の最大転送速度 ( ワイヤスピード

More information

目次 1 はじめに 登録商標 商標 注意事項 免債事項 SR-IOV の機能概要 性能検証事例 測定環境 測定結果 各方式による共有 NIC 性能比較 ( ポートあ

目次 1 はじめに 登録商標 商標 注意事項 免債事項 SR-IOV の機能概要 性能検証事例 測定環境 測定結果 各方式による共有 NIC 性能比較 ( ポートあ ホワイトペーパー BladeSymphony Virtage SR-IOV のご紹介 2014 年 7 月発行 株式会社日立製作所 1 / 8 Copyright 2014 Hitachi, Ltd. All rights reserved 目次 1 はじめに... 3 1.1 登録商標 商標... 3 1.2 注意事項... 3 1.3 免債事項... 3 2 SR-IOV の機能概要... 4

More information

1 osana@eee.u-ryukyu.ac.jp : FPGA : HDL, Xilinx Vivado + Digilent Nexys4 (Artix-7 100T) LSI / PC clock accurate / Artix-7 XC7A100T Kintex-7 XC7K325T : CAD Hands-on: HDL (Verilog) CAD (Vivado HLx) : 28y4

More information

Microsoft PowerPoint - JANOG19-u10-GigaPcap(NonAnim).ppt

Microsoft PowerPoint - JANOG19-u10-GigaPcap(NonAnim).ppt Non-Sampling Flow Inspection を支える技術 ユーテン ネットワークス株式会新籾晶 アジェンダ Non-Sampling Flow Inspection を支える技術 背景のおさらいトラヒック解析の問題点 (Non-Sampling 観点 ) 解決策ハードウェア作っちゃいました!! 本当に Non-Sampling は実現できたのか? 性能比較による検証 まとめ 1 背景

More information

これさえ知っていれば迷わない -PCI Expressエンドポイント特集- 2006/10 Vol.1

これさえ知っていれば迷わない -PCI Expressエンドポイント特集- 2006/10 Vol.1 これさえ知っていれば迷わない -PCI Express エンドポイント特集 - 2006 年 10 月第 1 回 概要 PALTEK では PCI Express のブリッジ スイッチ エンドポイント 評価ボードなど PCI Express に関係する多くの商品を扱っておりますが ここでは FPGA でエンドポイント (Configuration Header Type00 を実装する I/O 階層の末端デバイス

More information

strtok-count.eps

strtok-count.eps IoT FPGA 2016/12/1 IoT FPGA 200MHz 32 ASCII PCI Express FPGA OpenCL (Volvox) Volvox CPU 10 1 IoT (Internet of Things) 2020 208 [1] IoT IoT HTTP JSON ( Python Ruby) IoT IoT IoT (Hadoop [2] ) AI (Artificial

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション LSI Web Copyright 2005 e-trees.japan, Inc. all rights reserved. 2000 Web Web 300 Copyright 2005 e-trees.japan, Inc. all rights reserved. 2 LSI LSI ASIC Application Specific IC LSI 1 FPGA Field Programmable

More information

ミッシュインターナショナルでは バラエティに富んだ 皆様のご要望にお応えできるよう ボードを取り揃えております アナログ デジタルの入出力に対応 アナログ入出力では 1ch ~ 32ch 8bit ~ 24bit 10MHz ~ 10GHz サンプリングに対応した製品をご用意 デジタル入出力では 最

ミッシュインターナショナルでは バラエティに富んだ 皆様のご要望にお応えできるよう ボードを取り揃えております アナログ デジタルの入出力に対応 アナログ入出力では 1ch ~ 32ch 8bit ~ 24bit 10MHz ~ 10GHz サンプリングに対応した製品をご用意 デジタル入出力では 最 組込みシステム向け ボードカタログ サポート Xilinx : Virtex-6 Kintex-7 Virtex-7 Zynq7000 サポートバス規格 OpenVPX VME/VXS CompactPCI PMC/XMC ATCA/AMC PCI Express 403102 C MISH International Co., Ltd. MISH International Co., Ltd. ミッシュインターナショナルでは

More information

PPTフォーム(white)

PPTフォーム(white) Spartan-6 概要 株式会社 PALTEK Engineering Group Proprietary to PALTEK CORPORATION 1 アジェンダ Spartan-6 導入 概要 Spartan-6 アーキテクチャ CLB ブロック RAM SelectIO クロック DSP メモリコントローラブロック (MCB) GTP 2 概要 ( ファミリ ) Virtex-6 LXT

More information

完成版_セミナー発表資料110928

完成版_セミナー発表資料110928 PROFINET オープンセミナー ASIC を使用した開発 開発セミナー 目次 2 PROFINET の実装 ASIC という選択 PROFINET 機器開発における課題 ASIC による課題の解決 ASIC の特徴ターゲットアプリケーション適用例ラインアップ ASIC 製品紹介 1 PROFINET の実装 3 PROFINET の実装手法 Ethernet ポート付きマイコン FPGA PROFINET

More information

情報通信の基礎

情報通信の基礎 情報通信の基礎 2016 年 5 月 19 日 ( 木 ) 第 4 回授業 1 本日の予定 グローバルIPアドレスとプライベートIPアドレス DHCPサーバ (IPアドレスの自動割り当て等) DNSサーバ ( 名前解決 ) MACアドレス ARP( アドレス解決プロトコル ) ネットワークの階層モデル アプリケーションを識別するポート番号 2 TCP/IP (Transmission Control

More information

Microsoft Word - J_01_02.doc

Microsoft Word - J_01_02.doc 4. 使用機器 / 設定上のテクニック DVTS を使用して遠隔会議を行う方法について説明します (1) 基本システム構成 DVTSでの遠隔会議は 表 4-1に示すように たいへん単純な機器構成で行うことができます 表 4-1 基本構成における必要機器機器名称外観特徴 要件 デジタルビデオカメラ - IEEE1394 インターフェース (FireWire, i.linkという名称の端子でも可能 )

More information

PNopenseminar_2011_開発stack

PNopenseminar_2011_開発stack PROFINET Open Seminar 開発セミナー Software Stack FPGA IP core PROFINET 対応製品の開発 2 ユーザ要求要求は多種多様 複雑な規格の仕様を一から勉強するのはちょっと.. できるだけ短期間で 柔軟なスケジュールで進めたい既存のハードウェアを変更することなく PN を対応させたい将来的な仕様拡張に対してシームレスに統合したい同じハードウェアで複数の

More information

ネットワーク高速化装置「日立WANアクセラレータ」のラインアップを強化し、国内外の小規模拠点向けに「オフィスモデル」を新たに追加

ネットワーク高速化装置「日立WANアクセラレータ」のラインアップを強化し、国内外の小規模拠点向けに「オフィスモデル」を新たに追加 6 月 12 日 株式会社日立製作所 ネットワーク高速化装置 日立 WAN アクセラレータ のラインアップを強化し 国内外の小規模拠点向けに オフィスモデル を新たに追加あわせて 国内外のデータセンター向けに リモートバックアップモデル の新タイプを販売開始 日立 WAN アクセラレータオフィスモデル 株式会社日立製作所 ( 執行役社長 : 中西宏明 / 以下 日立 ) は このたび 企業の複数拠点間のデータ通信速度を大幅に向上するネットワーク高速化装置

More information

HD View Single Windowsセットアップガイド

HD View Single Windowsセットアップガイド Smart-telecaster HD View Single Windows セットアップガイド Smart-telecaster HD View single Rev2.0 Smart-telecaster HD View Single とは Smart-telecaster HD View Single( 以下 HD View Single) は Windows パソコンにインストールして使用するアプリケーションです

More information

MW100 Modbusプロトコルによるデータ通信の設定について

MW100 Modbusプロトコルによるデータ通信の設定について Modbus プロトコルによるデータ通信の設定について 概要 設定の手順 DAQMASTER の Modbus 通信について 設定の手順を説明します このマニュアルでは イーサネットを使った Modbus 通信 (Modbus/TCP) で 2 台の を接続し データの送受信をするまでの手順を取り上げます なお Modbus クライアント機能を使うには 演算機能 (/M1 オプション ) が必要です

More information

(最終)Xena_M1QFP28SFP28_

(最終)Xena_M1QFP28SFP28_ Xena M1QFP28SFP28 M1QFP28SFP28 は 5 種の異なる Ethernet ネットワーク (100G/50G/40G/25G/10GE) を提供する汎用性の高いテストソリューションです このユニークなモジュールにより 異なる物理トランシーバーケージとフォームファクターの間をダイナミックに選択できます 本モジュールは QSFP28/QSFP+ ケージを 1 つ SFP28/SFP+

More information

ワークステーション推奨スペック Avid Avid Nitris Mojo SDI Fibre 及び Adrenaline MC ソフトウェア 3.5 以降のバージョンが必要です Dual 2.26 GHz Quad Core Intel 構成のに関しては Configuration Guideli

ワークステーション推奨スペック Avid Avid Nitris Mojo SDI Fibre 及び Adrenaline MC ソフトウェア 3.5 以降のバージョンが必要です Dual 2.26 GHz Quad Core Intel 構成のに関しては Configuration Guideli ワークステーション推奨スペック Avid Avid Nitris Mojo SDI Fibre 及び Adrenaline MC/Symphony ソフトウェア 5.0.3 以降のバージョンが必要です Two 2.66 GHz 6-Core *Mojo SDI 及び Adrenaline サポート Intel Xeon (12 コア ) 32-bit カーネルで実 して下さい 64-bit カーネルは対応していません

More information

リファレンスアプリケーション RefApp7

リファレンスアプリケーション RefApp7 リファレンスアプリケーション RefApp7 導入ガイド 概要 RefApp7.exe リファレンス制御アプリケーションは Windows 7 以降の 32bit 版と 64bit 版の両方の環境で動作します RefApp7 を運用する場合には マイクロソフト社提供の WinUSB 汎用デバイス ドライバが必要です このため 従来の制御ソフトウエア RefApp2 や RefApp3 が動作する環境でそのまま実行できません

More information

HP Z200 Intel i5 CPU 3.33GHz Low Profile 仕様 380 LP Assist 2.2 Instinct v3.0 以降 いいえいいえはいいいえ 4GB および 8GB DDR ECC (2 枚構成の DIMM) ISIS へ接続するにはオンボードの

HP Z200 Intel i5 CPU 3.33GHz Low Profile 仕様 380 LP Assist 2.2 Instinct v3.0 以降 いいえいいえはいいいえ 4GB および 8GB DDR ECC (2 枚構成の DIMM) ISIS へ接続するにはオンボードの Composer 6, Symphony 6, NewsCutter 10, Assist 2.5, Instinct 3.5 認定 PC システム システム PC デスクトップ HP Z800 DUal 6- core 2.66GHz (X5650) 3800 5.0.3/9.0.3 はいいいえはいはいはいはい (3 枚構成の DIMM) HP Z800 Dual Quad core 2.93GHz

More information

スライド 1

スライド 1 1 コンピュータの運用形態の移り変わり バッチ処理 TSS 処理 1 コンピュータ分散処理 インターネット処理 3 4 ネットワーク処理 2 リング型 ネットワークを構成する各種機器 バス型 スター型 3 LAN 構築に必要な基本パーツ ネットワーク OS はネットワークで接続されたコンピュータ同士の情報交換などを可能とします コンピュータを LAN に接続するためには LAN カード / ボードが必須です

More information

[公開OK][空閑さん資料]kuga-ovs-fpga.pptx

[公開OK][空閑さん資料]kuga-ovs-fpga.pptx FPGA を使って Open vswitch の データプレーンを作る 慶應義塾 大学空閑洋平, 松 谷健史 SDN Japan 2012/12/7 Open vswitch を使った DIY 設計スイッチの発表です 5000 円前後の FPGA を想定 1000BASE- T マルチポート NIC Offloading 機能 CPU+SW 部と転送 HW 部の分離離

More information

Virtex-6 Clocking

Virtex-6 Clocking Spartan-6 クロックリソース Proprietary to PALTEK CORPORATION 1 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 2 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 3 高速なクロッキング 新型アプリケーションには複雑なクロック要件が必要 : 高速クロック信号

More information

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM Android Android Java Java Dalvik VM Intel Atom PCI Express DMA 1.25 Gbps Atom Android Java Acceleration with an Accelerator in an Android Mobile Terminal Keisuke Koike, Atsushi Ohta, Kohta Ohshima, Kaori

More information

TFTP serverの実装

TFTP serverの実装 TFTP サーバーの実装 デジタルビジョンソリューション 佐藤史明 1 1 プレゼンのテーマ組み込みソフトのファイル転送を容易に 2 3 4 5 基礎知識 TFTP とは 実践 1 実際に作ってみよう 実践 2 組み込みソフトでの実装案 最後におさらい 2 プレゼンのテーマ 組み込みソフトのファイル転送を容易に テーマ選択の理由 現在従事しているプロジェクトで お客様からファームウェアなどのファイル転送を独自方式からTFTPに変更したいと要望があった

More information

TopSE並行システム はじめに

TopSE並行システム はじめに はじめに 平成 23 年 9 月 1 日 トップエスイープロジェクト 磯部祥尚 ( 産業技術総合研究所 ) 2 本講座の背景と目標 背景 : マルチコア CPU やクラウドコンピューティング等 並列 / 分散処理環境が身近なものになっている 複数のプロセス ( プログラム ) を同時に実行可能 通信等により複数のプロセスが協調可能 並行システムの構築 並行システム 通信 Proc2 プロセス ( プログラム

More information

matrox0

matrox0 Image processing products Hardware/Software Software Hardware INDEX 4 3 2 12 13 15 18 14 11 10 21 26 20 9 8 7 6 5 Hardware 2 MatroxRadient 3 MatroxSolios MatroxMorphis MatroxVio 10 MatroxOrionHD 11 MatroxConcord

More information

Microsoft Word - PCI-X_PCIeバスのデータ転送-ver1.0.docx

Microsoft Word - PCI-X_PCIeバスのデータ転送-ver1.0.docx データ転送時におけるエラー / ボード認識不具合に関する資料 2012/06/20 目次 画像データ転送時に発生する問題 ( 過去の事例 )... 3 不具合の発生したチップセットの例... 7 Intel 社製チップセット... 8 テレダインダルサが推奨するチップセットの例... 9 トランザクション層の機能... 11 PCI Express のレーン順序と差動信号の特性... 12 レーン0とレーン1で送信側と受信側で速度差を吸収する機能...

More information

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ arduino プログラミング課題集 ( Ver.5.0 2017/06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイコンから伝える 外部装置の状態をマイコンで確認する 信号の授受は 入出力ポート 経由で行う (2) 入出力ポートとは?

More information

システム imac 21.5 インチディスプレイ 3.6GHz i5 Dual core / HT 2.8GHz i7 Quad core / HT ATI Radeon 4850 ATI Radeon HD はいいいえいいえはいいいえ ATI はいいいえ

システム imac 21.5 インチディスプレイ 3.6GHz i5 Dual core / HT 2.8GHz i7 Quad core / HT ATI Radeon 4850 ATI Radeon HD はいいいえいいえはいいいえ ATI はいいいえ Composer 6 および Symphony 6 認定 Apple Mac システム システム Mac デスクトップ Mac Pro dual 6-Core 2.66GHz "Westmere" Core 2.66GHz および 2.93GHz "Nehalem" Core 2.26GHz "Nehalem" Core 3.0GHz および 3.2GHz "Harpertown" Geforce

More information

WLAR-L11G-L/WLS-L11GS-L/WLS-L11GSU-L セットアップガイド

WLAR-L11G-L/WLS-L11GS-L/WLS-L11GSU-L セットアップガイド の特長や 動作環境を説明します プロバイダ契約について の特長 動作環境 各部の名称とはたらき 設定ユーティリティについて 第 章 はじめにお読みください 動作確認プロバイダや最新の情報は のホームページからご覧いただけます は次の通りです を使ってインターネットをご利用になるためには 以下の条件を満たしたプロバイダと契約してください ルータを使用して 複数台のパソコンをインターネットに接続できるプロバイダ

More information

Microsoft Word _最終.doc

Microsoft Word _最終.doc 添付資料 : ProCurve Switch 5400zl および 3500yl 製品概要 ProCurve Switch 5400zl/3500ylシリーズは ProCurve Networking 製品ラインの最新のインテリジェント エッジ スイッチで構成されています 5400zlには 6スロットおよび12スロットの2つのタイプのシャーシがあり 関連するzlモジュールやバンドル製品が用意されています

More information

暗号実装委員会報告(CRYPTRECシンポジウム2012)

暗号実装委員会報告(CRYPTRECシンポジウム2012) 暗号実装委員会報告 応募暗号と現リスト掲載暗号に対する実装性能評価の進行状況 1 目次 1. リスト作成の基本的な流れ 2. 評価対象 3. 体制 4. スケジュール 5. 評価方針 6. 評価内容 7. 評価結果の位置づけ ( 精度 ) 8. ソフトウェア実装性能評価 9. ハードウェア実装性能評価 10. まとめ 2 1. リスト作成までの基本的な流れ 事務局選出暗号 公募暗号技術 現リスト掲載暗号

More information

履歴 修正日 内容 2011/01/18 第 1 版制定 2012/10/ 版 内容 Bee Beans Technologies 社から配布されているネットワーク プロセッサ (SiTCP) のライブラリ使用方法を解説した文書です SiTCP の概要や各信号意味などは別文書 SiTCP

履歴 修正日 内容 2011/01/18 第 1 版制定 2012/10/ 版 内容 Bee Beans Technologies 社から配布されているネットワーク プロセッサ (SiTCP) のライブラリ使用方法を解説した文書です SiTCP の概要や各信号意味などは別文書 SiTCP SiTCP ライブラリ 第 1.1 版 2012 年 10 月 24 日 内田智久 Electronics system group, IPNS, KEK 1 / 12 履歴 修正日 内容 2011/01/18 第 1 版制定 2012/10/24 1.1 版 内容 Bee Beans Technologies 社から配布されているネットワーク プロセッサ (SiTCP) のライブラリ使用方法を解説した文書です

More information

Microsoft PowerPoint - lecture rev01.pptx

Microsoft PowerPoint - lecture rev01.pptx L2 における通信の復習 1 ネットワークスイッチの構成と動作 アドレスで宛先を決定 IP アドレスから アドレスへの変換 送信者が ARP で解決 宛先 IP アドレス入り ARP req を送り 当該 IP アドレスのホストが ARP reply 宛先 アドレスが当該 L2 スイッチの下に無い ブロードキャスト 名古屋大学情報基盤センター情報基盤ネットワーク研究部門嶋田創 L2 スイッチ L2

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション LAN 1. LAN,. NAT,., LAN. NTMobile Network Traversal with Mobilty [1]. NTMobile. OS TUN/TAP, LAN. 2. NTMobile NTMobile NAT, IPv4/IPv6,,. NTMobile. DC Direction Coordinator. NTMobile. DC,. NTMobile NTMfw.

More information

Stratix 10 MX Devices Solve the Memory Bandwidth Challenge

Stratix 10 MX Devices Solve the Memory Bandwidth Challenge メモリ帯域幅の課題を解決する Stratix 10 MX デバイスの実力 Manish Deo, Senior Product Marketing Manager, Altera, now part of Intel Jeffrey Schulz, In-Package I/O Implementation Lead, Altera, now part of Intel Lance Brown, Senior

More information

White Paper 高速部分画像検索キット(FPGA アクセラレーション)

White Paper 高速部分画像検索キット(FPGA アクセラレーション) White Paper 高速部分画像検索キット (FPGA アクセラレーション ) White Paper 高速部分画像検索キット (FPGA アクセラレーション ) Page 1 of 7 http://www.fujitsu.com/primergy Content はじめに 3 部分画像検索とは 4 高速部分画像検索システム 5 高速部分画像検索の適用時の改善効果 6 検索結果 ( 一例 )

More information

目次 はじめに 背景 時刻同期の種類 / 方法 IEEE1588 とは 概要 適用分野 Linux の IEEE1588 対応 Linux の対応状況 PTPd による時刻同期 PTPdの概要 PTPdの実施例 まとめ わかったこと 今後 1

目次 はじめに 背景 時刻同期の種類 / 方法 IEEE1588 とは 概要 適用分野 Linux の IEEE1588 対応 Linux の対応状況 PTPd による時刻同期 PTPdの概要 PTPdの実施例 まとめ わかったこと 今後 1 Evaluation of IEEE1588 on Linux Ethernet Driver 2011.12.9 富士通コンピュータテクノロジーズ森暁生 管理番号 1135ka1 目次 はじめに 背景 時刻同期の種類 / 方法 IEEE1588 とは 概要 適用分野 Linux の IEEE1588 対応 Linux の対応状況 PTPd による時刻同期 PTPdの概要 PTPdの実施例 まとめ

More information

1 K1L-Z-10135 D (1/22) PowerAct Pro Ver4.x ( ) インストールガイド オムロン株式会社 電子機器統轄事業部 K1L-Z-10135 D (2/22) 目次 1. POWERACT PRO ( スレーブエージェント FOR MAC) の動作環境... 3 2. UPS とコンピュータを接続する... 4 3. インストールを始める前に... 7 4. インストール手順...

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2018 年度クラス C3 D1 D2 D3 情報科学基礎 I 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x n ), i

More information

Microsoft PowerPoint - Ppt ppt[読み取り専用]

Microsoft PowerPoint - Ppt ppt[読み取り専用] 28nm FPGA の 最新メモリ インタフェース技術 2011 年 9 月 6 日日本アルテラ株式会社シニア プロダクト マーケティング マネージャ橋詰英治 アジェンダ 28nm FPGA 製品の概要 28nm FPGA 製品における外部メモリ インタフェース ソリューション 2 28nm FPGA 製品の概要 業界で最も広範な 28nm 製品ポートフォリオ 28nm 製品ポートフォリオ E, GX,

More information

Microsoft PowerPoint - 7.Arithmetic.ppt

Microsoft PowerPoint - 7.Arithmetic.ppt 第 7 章デジタル演算回路 1 デジタル信号処理音声, 音楽, 通信信号 信号 = 符号付き 2 進データ 負の数値の表現方法 2 2 進数 n ビット n-1 =Σb i 2 i 0 2 の補数 +=2 n n-1 n-1 2 n =1+Σb i 2 i +Σb i 2 i 0 0 n-1 =2 n ー =1+Σb i 2 i 0 3 2 進数の補数 2 の補数 各桁のビットを反転した後で最下位に

More information

VNXe3300 ハードウェア情報ガイド

VNXe3300 ハードウェア情報ガイド EMC VNXe VNXe3300 P/N 300-12-290 03 Copyright 2012 EMC Corporation. All rights reserved. 2012 10 EMC Corporation EMC Corporation EMC EMC 2 EMC EMC EMC Corporation EMC Powerlink Technical Documentation

More information

Microsoft PowerPoint - about_stack_ ppt [互換モード]

Microsoft PowerPoint - about_stack_ ppt [互換モード] 6LoWPAN ECHONET Lite で求められる通信規格とソフトウェアについて 株式会社スカイリー ネットワークス梅田英和 2013, Skyley Networks,Inc. 1 プロトコル スタック とは スタック = 積み重ね 階層構造 アプリケーション トランスポート層 (TCP, UDP) IPv6 層 6LowPAN MAC 層 (802.15.4/e) 物理層 (802.15.4g)

More information

目次 1. はじめに SSL 通信を使用する上での課題 SSL アクセラレーターによる解決 SSL アクセラレーターの導入例 SSL アクセラレーターの効果... 6 富士通の SSL アクセラレーター装置のラインナップ... 8

目次 1. はじめに SSL 通信を使用する上での課題 SSL アクセラレーターによる解決 SSL アクセラレーターの導入例 SSL アクセラレーターの効果... 6 富士通の SSL アクセラレーター装置のラインナップ... 8 IPCOM 目次 1. はじめに... 1 2.SSL 通信を使用する上での課題... 2 3.SSL アクセラレーターによる解決... 3 4.SSL アクセラレーターの導入例... 4 5.SSL アクセラレーターの効果... 6 富士通の SSL アクセラレーター装置のラインナップ... 8 1. はじめに SSL は インターネット上で最も良く使われている暗号技術です SSL は 通信内容を暗号化して盗聴を防ぐ機能のほかに

More information

Microsoft PowerPoint - Altera_DDR3_Oct2009_ダウンロード用.ppt

Microsoft PowerPoint - Altera_DDR3_Oct2009_ダウンロード用.ppt 40nm FPGA が実現する最先端メモリ インタフェース 日本アルテラ株式会社プロダクト マーケティング マネージャ橋詰英治 アルテラが提供する完全なソリューション CPLD 低コスト FPGA ミッド レンジ FPGA 高集積 高性能 多機能 FPGA ASIC エンベデッド プロセッサ IP コア製品群 開発ソフトウェア 開発キット 2 最新 40nm FPGA & ASIC 製品 11.3Gbps

More information

機能 SB-2000 は無線機とコンピュータを接続するインターフェースです CAT, CI/V を経由したリグ制御 CAT や CI/V のリグ制御のインターフェースを持っています この接続でリグを制御できます RTTY, PSK31, SSTV, FAX, その他のデジタルモードが運用できます広く

機能 SB-2000 は無線機とコンピュータを接続するインターフェースです CAT, CI/V を経由したリグ制御 CAT や CI/V のリグ制御のインターフェースを持っています この接続でリグを制御できます RTTY, PSK31, SSTV, FAX, その他のデジタルモードが運用できます広く CG アンテナ SB-2000 USB 無線インターフェース 操作マニュアル CG Antenna Co. Ltd. Shanghai, China 日本語版 Copyright エレクトロデザイン株式会社 特徴 USB ポートを接続するだけ シリアルやパラレルの接続は不要です 多くの無線用ソフトウエアは制御に RS232C の COM ポートを使いますが 最近のパソコンには COM ポートがありません

More information

本仕様はプロダクトバージョン Ver 以降に準じています

本仕様はプロダクトバージョン Ver 以降に準じています 本仕様はプロダクトバージョン Ver.1.0.0.5 以降に準じています 本仕様はプロダクトバージョン Ver.1.0.0.5 以降に準じています 商品概要 本ソフトは 携帯電話通話録音システムサーバとして使用するサーバにインストールし 楽天コミュニケーションズ ( 1) が提供しているキャリアサービス ( 2) を利用して サービス契約ユーザーの通話の音声に加え 電話番号情報を取得してハードディスクに保存します

More information

GTR Board

GTR Board TB-FMCH-12GSDI ご購入に際してのご注意 1 変更履歴版数 日付 内容 担当者 Rev.1.00 2015/06/25 初版 天野 Rev.1.01 2015/07/22 2 章 Pre-production 品に関する記載を削除 天野 2016/09/16 3 章評価環境を更新 4 章ボードの機能評価状況を更新 6 章リファレンスデザインのダウンロード先を追加 森田 2 目次 1. 概要と関連書類...

More information

Microsoft Word - gori_web原稿:TrusSPSにおけるNAS OSのパフォーマンス評価.docx

Microsoft Word - gori_web原稿:TrusSPSにおけるNAS OSのパフォーマンス評価.docx 本レポート内記載の数値は 当社ラボでの検証結果であり 実稼働環境では異なる場合があります また この数値を保証するものではありません 概要 TrusSPS ( 型番 :SPS-xx00SS12ES/A2US) と以下 NAS OS において パフォーマンス評価を実施し 下記にてレポート作成 NAS OS 1. NexsanStor (Solaris ベース ) NexentaStor-Community-3.0.0-1.iso

More information

Microsoft PowerPoint - lecture rev00.pptx

Microsoft PowerPoint - lecture rev00.pptx ネットワークスイッチの構成と動作 名古屋大学情報基盤センター情報基盤ネットワーク研究部門嶋田創 1 L2 における通信の復習 MACアドレスで宛先を決定 IPアドレスからMACアドレスへの変換 送信者がARPで解決 宛先 IPアドレス入りARP reqを送り 当該 IPアドレスのホストがARP reply 宛先 MACアドレスが当該 L2スイッチの下に無い ブロードキャスト L2 スイッチ L2 スイッチ

More information

CommCheckerManual_Ver.1.0_.doc

CommCheckerManual_Ver.1.0_.doc 通信チェックツール (CommChecker) 取扱説明書 (Ver.1.0) 2009 ESPEC Corp. 目次 1. 使用条件 4 2. ダウンロード & インストール 5 3. 環境設定 6 3-1.RS-485 通信 6 3-2.RS-232C 通信 7 3-3.GPIB 通信 8 4. ソフトウェアの使用方法 9 4-1. 起動 9 4-2. 通信設定 10 (1)RS485 通信 10

More information

計算機ハードウエア

計算機ハードウエア 計算機ハードウエア 209 年度前期 第 5 回 前回の話 (SH745) (32 bit) コンピュータバスの構成 インタフェース (6 bit) I/O (Input/ Output) I/O (22 bit) (22 bit) 割り込み信号リセット信号 コンピュータバスは コンピュータ本体 () と そのコンピュータ本体とデータのやり取りをする複数の相手との間を結ぶ 共用の信号伝送路である クロック用クリスタル

More information

? FPGA FPGA FPGA : : : ? ( ) (FFT) ( ) (Localization) ? : 0. 1 2 3 0. 4 5 6 7 3 8 6 1 5 4 9 2 0. 0 5 6 0 8 8 ( ) ? : LU Ax = b LU : Ax = 211 410 221 x 1 x 2 x 3 = 1 0 0 21 1 2 1 0 0 1 2 x = LUx = b 1 31

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 1 SSLWatcher: SSL/TLS 通信を監視し警告するハイパバイザ 平井成海 電気通信大学 目次 1 研究背景 目的と方針 2 SSL/TLSの概要 3 システムの設計 4 システムの実装 5 デモと実験 6 関連研究 7 まとめと今後の課題 2 目次 1 研究背景 目的と方針 2 SSL/TLSの概要 3 システムの設計 4 システムの実装 5 デモと実験 6 関連研究 7 まとめと今後の課題

More information

Ethernet Roadmap

Ethernet Roadmap 400G 時代を見据えたモジュール / ケージ / コネクタシステム QSFP-DD Kazuhiro Kureishi Cisco Systems G.K. July 27, 2017 ETHERNET ROADMAP 10Mbps からスタートして 2010 年までに 6 つの Ethernet Speed が登場 10M, 100M, 1G, 10G, 40G, 100Gbps 2016 年

More information

(Microsoft PowerPoint - Mirapoint\220\273\225i\221\316\224\344\225\\\(6\203V\203\212\201[\203Y_7\203V\203\212\201[\203Y\).ppt)

(Microsoft PowerPoint - Mirapoint\220\273\225i\221\316\224\344\225\\\(6\203V\203\212\201[\203Y_7\203V\203\212\201[\203Y\).ppt) Mirapoint 製品対比表 (6 シリーズ /7 シリーズ ) シーティーシー エスピー株式会社 (C) Copyright 2010 CTCSP Corporation All rights reserved. 1 7-Series ハードウェア 7Series ハードウェアプラットフォーム 新世代 CPU 搭載 : Intel Quad-core Xeon based Nahalem architecture

More information

外出先でもインターネット環境があればデジタルノード局の運用ができる WIRES-X ポータブルデジタルノード機能 ポータブルデジタルノード機能によって 旅行先の宿泊施設 空港 車両 Wi-Fi アクセスポイントなどからワイヤーズエックスのノード局やルームへの接続が可能となり 従来の HRI-200

外出先でもインターネット環境があればデジタルノード局の運用ができる WIRES-X ポータブルデジタルノード機能 ポータブルデジタルノード機能によって 旅行先の宿泊施設 空港 車両 Wi-Fi アクセスポイントなどからワイヤーズエックスのノード局やルームへの接続が可能となり 従来の HRI-200 外出先でもインターネット環境があればデジタルノード局の運用ができる WIRES-X ポータブルデジタルノード機能 ポータブルデジタルノード機能によって 旅行先の宿泊施設 空港 車両 Wi-Fi アクセスポイントなどからワイヤーズエックスのノード局やルームへの接続が可能となり 従来の HRI-200 を接続したフルスペックの楽しみ方に加え より簡易的で機動性の高いノード局の運用を行うことができます ポータブルデジタルノード機能には

More information

PowerPoint Presentation

PowerPoint Presentation コンピュータ科学 III 担当 : 武田敦志 http://takeda.cs.tohoku-gakuin.ac.jp/ IP ネットワーク (1) コンピュータ間の通信 to : x Data to : x y Data to : y z Data 宛先 B のパケットは z に渡す A 宛先 B のパケットは y に渡す ルーティング情報

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2016 年度 5 セメスター クラス C3 D1 D2 D3 計算機工学 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x

More information

IPsec徹底入門

IPsec徹底入門 本資料について 本資料は下記書籍を基にして作成されたものです 文章の内容の正確さは保障できないため 正確な知識を求める方は原文を参照してください 書籍名 :IPsec 徹底入門著者 : 小早川知明発行日 :2002 年 8 月 6 日発売元 : 翔泳社 1 IPsec 徹底入門 名城大学理工学部渡邊研究室村橋孝謙 2 目次 第 1 章 IPsec アーキテクチャ 第 2 章 IPsec Security

More information

Microsoft Word - Si Multi Digitalカード.docx

Microsoft Word - Si Multi Digitalカード.docx Si Multi Digital カード クイックスタートガイド Si Multi Digital カード 主な特徴 Si Multi Digital card は Soundcraft のデジタルミキサー Si Performer Si Expression 用のオプションカードで 背面の拡張スロットに装着して使用します FireWire(IEEE1394) 端子を備えた Mac PC または FireWire(IEEE1394

More information

日本物理学会草稿

日本物理学会草稿 ATLAS 実験アップグレードに向けたレベル 1 ミューオントリガーにおける読み出し系の開発 日本物理学会 2012 年秋季大会於 : 京都産業大学 大谷育生, 坂本宏, 結束晃平, 二ノ宮陽一, 佐々木修 A, 石野雅也 B, 田代拓也 B, 池野正弘 A,C, 内田智久 A,C 他 ATLAS 日本 TGCグループ東大素セ 高エ研 A 京都大 B Open-It C 目次 研究の背景となる LHC

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 計算機基礎第 7 回 ノイマン型計算機 (2) 1 スタックの練習問題 逆ポーランド表記 ( 後置記法 : postfix notation) に変換してみよ 1+2*3+4 1 2 3 * + 4 + (1+2)*3+4 1 2 + 3 * 4 + 1+2*(3+4) 下の 3 番目と同じ 中置記法 (infix notation) に変換してみよ 1 2 + 3 * 4 + (1 + 2) *

More information

Stratix V デバイス・ファミリの概要

Stratix V デバイス・ファミリの概要 June 2011 SV51001-1.8 SV51001-1.8 この章では Stratix V デバイスの概要および機能を説明します これらのデバイスと機能の多くは Quartus II ソフトウェア バージョン 11.0 で有効になっています 残りのデバイスと機能は Quartus II ソフトウェアの今後のバージョンで有効になります f 今度の Stratix V デバイスおよび機能について詳しくは

More information

第5回 ネットワークプランニング18 (CS・荒井)

第5回 ネットワークプランニング18 (CS・荒井) 第 5 回 5/14 (CS 荒井 ) ネットワークプランニング スイッチ ルータによるネットワーク構成と IP アドレス 本資料は授業後 ( 数日以内 ) に WEB で閲覧できるようにします 2018/05/14 第 5 回ネットワークプランニング ( 荒井 )18 1 今日の予定 2 進数と 16 進数 ( 1-5) イーサネットの種類と LAN ケーブル ( 復習 )( 2-1,1-3) TCP/IP

More information

インターネット,LAN,ネットワークに関する知識

インターネット,LAN,ネットワークに関する知識 第 9 回インターネット,LAN, ネットワークに関する知識 大学のキャンパス内にはネットワークが敷設されており, 教室, 図書館, 学生ラウンジなどで利用することができる LAN(Local Area Network) とは, 大学のネットワークなどのように, 比較的狭い範囲のネットワークを指す これに対して,LAN 同士を結んで, 遠隔地とデーターを交換することを目的としたネットワークを WAN(Wide

More information

2) では, 図 2 に示すように, 端末が周囲の AP を認識し, 認識した AP との間に接続関係を確立する機能が必要である. 端末が周囲の AP を認識する方法は, パッシブスキャンとアクティブスキャンの 2 種類がある. パッシブスキャンは,AP が定期的かつ一方的にビーコンを端末へ送信する

2) では, 図 2 に示すように, 端末が周囲の AP を認識し, 認識した AP との間に接続関係を確立する機能が必要である. 端末が周囲の AP を認識する方法は, パッシブスキャンとアクティブスキャンの 2 種類がある. パッシブスキャンは,AP が定期的かつ一方的にビーコンを端末へ送信する ns-2 による無線 LAN インフラストラクチャモードのシミュレーション 樋口豊章 伊藤将志 渡邊晃 名城大学理工学部 名城大学大学院理工学研究科 1. はじめに大規模で複雑なネットワーク上で発生するトラヒックを解析するために, シミュレーションは有効な手段である. ns-2(network Simulator - 2) はオープンソースのネットワークシミュレータであり, 多くの研究機関で利用されている.

More information

ポート拡張オプション(10GBASE-T×2)

ポート拡張オプション(10GBASE-T×2) ポート拡張オプション (10GBASE-T 2) 更新日 2017 年 01 月 PY-LA3A2U2 ポート拡張オプション (10GBASE-T 2) PYBLA3A2U2 ポート拡張オプション (10GBASE-T 2) [ カスタムメイド対応 ] 1. 概要本製品は RX2530 M2 / RX2540 M2 / RX2560 M2 / TX2560 M2, RX2530 M1 / RX2540

More information

N 型番名称詳細対応可否留意事項 ( 制限事項 ) Express5800/50 シリーズ本体装置 Express5800/Y55Xa N Y N Y N Y N Y N Y N Y Express580

N 型番名称詳細対応可否留意事項 ( 制限事項 ) Express5800/50 シリーズ本体装置 Express5800/Y55Xa N Y N Y N Y N Y N Y N Y Express580 Express5800/50 シリーズ本体装置 Express5800/Y55Xa N80006505Y N80006504Y N80006503Y N80006405Y N80006404Y N80006403Y Express5800/55Xa Express5800/Y55Xa(W864/2.5) Express5800/Y55Xa(W764D/2.5) Express5800/Y55Xa(W732D/2.5)

More information

038_h01.pdf

038_h01.pdf 04 12Gb/ & PCIe Gen3 RAID P.09 P.16 P.12 P.13 P.10 P.14 P.12 P.12 P.16 P.08 P.09 P.10 P.14 P.16 P.09 12Gb/ & PCIe Gen3 RAID 05 12Gb/秒 & PCIe Gen3 6Gb/秒 & PCIe Gen3 6Gb/秒 & PCIe Gen3 Adaptec 7シリーズRAIDアダプタファミリ

More information

novas HOME+CA WEB 設定画面アクセス方法 novas HOME+CA の WEB 設定画面接続方法 本製品の設定は WEB 設定画面から変更できます WEB 設定画面のアクセス方法は以下のとおりです 1 本製品と有線または無線 LAN で接続した端末で WEB ブラウザを起動します

novas HOME+CA WEB 設定画面アクセス方法 novas HOME+CA の WEB 設定画面接続方法 本製品の設定は WEB 設定画面から変更できます WEB 設定画面のアクセス方法は以下のとおりです 1 本製品と有線または無線 LAN で接続した端末で WEB ブラウザを起動します novas HOME+CA WEB 設定ガイド WEB 設定ガイドの内容は 製品の機能向上及びその他の理由により 予告なく変更される可能性がございます novas HOME+CA WEB 設定画面アクセス方法 novas HOME+CA の WEB 設定画面接続方法 本製品の設定は WEB 設定画面から変更できます WEB 設定画面のアクセス方法は以下のとおりです 1 本製品と有線または無線 LAN

More information

PassSureExam Best Exam Questions & Valid Exam Torrent & Pass for Sure

PassSureExam   Best Exam Questions & Valid Exam Torrent & Pass for Sure PassSureExam http://www.passsureexam.com Best Exam Questions & Valid Exam Torrent & Pass for Sure Exam : 1z0-950-JPN Title : Oracle Data Management Cloud Service 2018 Associate Vendor : Oracle Version

More information

Microsoft PowerPoint - IScompendium0424.pptx

Microsoft PowerPoint - IScompendium0424.pptx 概要 1 高速化する通信における情報セキュリティのためのデータ処理方法 名古屋大学情報基盤センター情報基盤ネットワーク研究部門嶋田創 背景 : 近年の情報セキュリティ問題 ( サイバー攻撃対策 ) 近年の高度化したサイバー攻撃の例 サイバー攻撃の防御とその課題 サイバー攻撃防御側の希望 高速かつ大量な通信データの処理によるセキュリティ向上 アノマリ検知 通信データ処理のハードウェア化 Q: なぜサイバー攻撃が行われるのか

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx コンピュータネットワーク 第 6 回 2013 年 5 31 ( ) 授業 の Web ページを 意しました http://www.klab.is.sci.tohou.ac.jp/classes/ 2013/5/31 コンピュータネットワーク 2 先週までのおさらい 通信の階層 リンク層 イーサネット (Ethernet) CSMA/CD インターネット層 IP(Internet Protocol)

More information

ローカルエリアネットワーク(LAN)の構築について

ローカルエリアネットワーク(LAN)の構築について ローカルエリアネットワーク (LAN) 構築のための基礎知識 2017.5.26 高取祐介 今回の講義資料 http://www.ele.kanagawa-it.ac.jp/~takatori/lan_intro.pdf Pdf Pass :0513lan ( すべて半角 ) 7 月中に削除します 1 ネットワークとは ネットワークとは コンピュータやプリンタなどの機器同士でデータをやり取りする仕組み

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション D vs E Equalizer GX LX 製品 比較資料 D の主な特徴 / 機能 大規模サイトにも対応可能なハードウェア ( 全 7 機種 ) と仮想アプライアンス (CPU コア数 [1,2,4,8 コア ] 全 4 種類 ) の選択肢があります マルチギガを超える 1.5 Gbps から 60 Gbps のスループット パフォーマンスに対応したハードウェアでは 中位モデル (400D) 以上で

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Camera inspection -IF -IF Jig SerDes FPDLinkⅢ GMSL GVIF V-by-One HDMI solution 1080P-60fps 720P-60fps Computer-Graphics 1 株式会社ネットビジョン 目 次 ネットビジョン会社案内 SV シリーズ応用分野 SV シリーズポートフォリオ SVM シリーズ SVO シリーズ SVI シリーズ

More information

2014 年電子情報通信学会総合大会ネットワークシステム B DNS ラウンドロビンと OpenFlow スイッチを用いた省電力法 Electric Power Reduc8on by DNS round- robin with OpenFlow switches 池田賢斗, 後藤滋樹

2014 年電子情報通信学会総合大会ネットワークシステム B DNS ラウンドロビンと OpenFlow スイッチを用いた省電力法 Electric Power Reduc8on by DNS round- robin with OpenFlow switches 池田賢斗, 後藤滋樹 ネットワークシステム B- 6-164 DNS ラウンドロビンと OpenFlow スイッチを用いた省電力法 Electric Power Reduc8on by DNS round- robin with OpenFlow switches 池田賢斗, 後藤滋樹 早稲田大学基幹理工学研究科情報理工学専攻 1 研究の背景 n インターネットトラフィックが増大 世界の IP トラフィックは 2012

More information

本仕様はプロダクトバージョン Ver 以降に準じています

本仕様はプロダクトバージョン Ver 以降に準じています 本仕様はプロダクトバージョン Ver.1.0.0.5 以降に準じています 本仕様はプロダクトバージョン Ver.1.0.0.5 以降に準じています 商品概要 本ソフトは 携帯電話通話録音システムサーバとして使用するサーバにインストールし ソフトバンク ( 1) が提供しているキャリアサービス ( 2) を利用して サービス契約ユーザーの通話の音声に加え 電話番号情報を取得してハードディスクに保存します

More information