26 FPGA FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1

Size: px
Start display at page:

Download "26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1"

Transcription

1 FPGA

2 26 FPGA FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA skewed L2 FPGA skewed Linux skewed

3 skewed FPGA skewed FPGA i

4 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA skewed L2 Linux 1

5 1.2 2 skewed FPGA

6 IC Intel i4004[1] 2.1 Intel [2] Gulftown Core i7-980x 6 2.1: Intel Pentium 4 core i7-980x (6 ) () kHz 1MHz 10MHz 20MHz 1GHz 3GHz 4.5K 64K 16M 4G 4G 24G 3

7 2.1.2 Core i L1 ( 1) L2 ( 2) [3] Core i7-980x L1 L1 32KBL2 256KB MB L

8 valid valid dirty 5

9 2.1:

10 2.2: n n-way 2 LRU (Least Recently Used) LRU LRU 7

11 2.3: 2.4: n-way 2.3 skewed skewed [4][5] skewed 2-way way A B 2 2-way way skewed 2.6 8

12 d d 2.5: 2-way 2.6: 2-way skewed skewed A B way 2-way skewed skewed 2 n 1 4-way A A A 0 A 3 4 A = {A 3 A 2 A 1 A 0 } A 0 2 A 1 A 2 n A 3 A 1 {A 3 A 2 } skewed A 1 A 2 σϕϕ 0 3 index 0 index 1 index 2 index 3 9

13 index 0 = {ϕ(a 1 ) ϕ (A 2 )} index 1 = {σ(ϕ(a 1 )) ϕ (A 2 )} index 2 = {σ 2 (ϕ(a 1 )) ϕ (A 2 )} index 3 = {σ 3 (ϕ(a 1 )) ϕ (A 2 )} σ ϕ ϕ n ϕ ϕ σ n σ 2 n 2 σ 3 n way A = A 0 A 3 A 0 = {00}A 1 = {1011}A 2 = {0101}A 3 = {101} σ 1 σ 2 2 σ 3 3 ϕ ϕ index 0 index 1 index 2 index 3 index 0 = {A 1 A 2 } = {1110} index 1 = {σ(a 1 ) A 2 } = {0010} index 2 = {σ 2 (A 1 ) A 2 } = {1011} index 3 = {σ 3 (A 1 ) A 2 } = {1000} 4 way skewed 2.4 FPGA [6] ISA x86 OS FPGA Altera DE2-115 FPGA Linux 10

14 2.7: ao486 SoC (Tiny Core 5.3) FreeDOS ao486 SoC x86 OS FPGA ao486[7] ao486 Verilog HDL Intel 80486SX ao486 VGA PS2 ao486 SoC 2.7 ao486 SoC ao486 SoC Terasic Altera DE2-115 FPGA Linux 3.13 Windows ao486 SoC ao486 SoC Altera Nios II Nios II BIOS Nios II BIOS Verilog HDL FPGA

15 2.8: FPGA ao486 16KB I/O DRAMVGAPS/2SD Card 4 PIT (Programmable Interval Timer)RTC (Real Time Clock)PIC (Programmable Interrupt Controller)HDDBIOS loader FPGA HDD SD contoller SD SD OS BIOS BIOS loader SD controller SD BIOS DRAM BIOS loader ao486 reset high BIOS BIOS loader ao486 reset low SD OS OS 2.9 Tiny Core FreeDOS 1.1 DOOM[8] 12

16 2.9: FPGA Linux (Tiny Core 5.3) 2.10: FPGA FreeDOS 1.1 DOOM 13

17 FPGA Cyclone IV EP4CE115F29C7 Altera DE2-115 FPGA [10] Linux (Tiny Core 5.3) SPEC CPU92 [9] C Intel GCC DRAM read write 3.1 sort mm go L1 DRAM read/write L1 read write 14

18 dddl ddl edl yœµµ dl µœ µœ fœe 3.1: 30% FPGA L2 L FPGA L Verilog HDL RAM 3 RAM RAM 15

19 3.1: skewed 1word Direct mapped Direct mapped 1 word 2 14 no 4word Direct mapped Direct mapped 4 word 2 12 no 1word 2-way set associative 2-way set associative 1 word 2 13 no 4word 2-way set associative 2-way set associative 4 word 2 11 no 4word 2-way skewed associative 2-way set associative 4 word 2 11 yes 1word 4-way set associative 4-way set associative 1 word 2 12 no 4word 4-way set associative 4-way set associative 4 word 2 10 no 4word 4-way skewed associative 4-way set associative 4 word 2 10 yes DRAM IDLE read/write IDLE read/write COMP COMP hit/modify/miss 3 hit hit hit read/write 1 IDLE modify modify WB DRAM FETCH 16

20 3.2: L2 miss miss miss miss DRAM FETCH 1word write 32bit writedata 4bit byte enable byte enable 1word 1 2 write 4 write writedata modify miss FETCH IDLE 4word 4 FETCH 4word 4word DRAM 4word DRAM DRAM 4word 1word 17

21 3.3: LRU Control Memory LRU 2-way 4-way LRU LRU 2-way 1bit 4-way 8bit LRU Control Memory LRU Control Memory LRU LRU Control Memory 2bit 0123 bit bit 0 LRU Control Memory {00} 0 LRU Control Memory 2bit 3.3 skewed 4word 2-way 4-way skewed 2.3 A A = {A 3 A 2 A 1 A 0 } index 0 18

22 index 3 index 0 = {ϕ(a 1 ) ϕ (A 2 )} index 1 = {σ(ϕ(a 1 )) ϕ (A 2 )} index 2 = {σ 2 (ϕ(a 1 )) ϕ (A 2 )} index 3 = {σ 3 (ϕ(a 1 )) ϕ (A 2 )} σ 1bit ϕ ϕ 1 σ 2 2bit σ 3 3bit index 1 A 1 index 1 = {σ(a 1 ) A 2 } A 1 = {σ 1 (index 1 A 2 )} {A 3 A 2 } FPGA L2 2.4 FPGA DRAM L2 3.4 SD BIOS DRAM SD controller write read/write L2 3.5 readdata writedata 32bit read/write 1 4 0x00 4 read 0x000x040x08 0x0C 4 read Burst Controller 32bit

23 3.4: L2 FPGA 3.5: L2 L2 64KB Cyclone IV EP4CE115F29C7 Altera DE2-115 FPGA Cyclone IV EP4CE115F29C7 20

24 Cyclone IV EP4CE115F29C7 Altera DE2-115 FPGA 3 FPGA Linux (Tiny Core 5.3) 4.1 Altera DE2-115 FPGA GPIO 34 UART PC PC TeraTerm FPGA read/write # PC # VGA writedata # Xorshift 21

25 4.1: Xorshift 2 12 OS 3 C Intel GCC Tiny Core FPGA Tiny Core Cyclone IV EP4CE115F29C7 ao486 processor LC Util per CPU ao486 processor Logic Cells 64KB 22

26 4.1: cache Logic Cells Memory Bits LC Util per CPU 1word Direct mapped , % 4word Direct mapped , % 1word 2-way set associative , % 4word 2-way set associative , % 4word 2-way skewed associative , % 1word 4-way set associative , % 4word 4-way set associative 1, , % 4word 4-way skewed associative 1, , % ao486 processor 36, , % 2-way 4-way LRU LRU Control Memory skewed skewed read/write 4.2 L2 mm sort go sort&mm mm&go go&sort sort&mm&go 3 2-way 4-way 1word 23

27 ddd dd d dddd d dd dd 4.2: L2 4word skewed skewed 2 3 1word 42.5% 4word 4-way skewed 6.51% 4.4 L2 3 24

28 4.3: L2 4.3 L2 L2 L2 4word 4-way skewed sort word L2 skewed skewed 2-way 4-way 1word 4word 25

29 skewed skewed 2-way 2-way skewed 4-way 4-way skewed 3 1word 171.3(sec) 4word 4-way skewed 136.9(sec) word 4word DRAM read/write 4word 4word DRAM read/write read/write 4word read 4 read 4word 4 read 3 1word 26

30 4.2: app read request write request (million) (million) sort mm go read/write 1word OS read/write 4.2 read/write skewed int xorshift a b b b[0] 0x000 b[1]b[2] b b[0] b[256] 0x400 skewed 27

31 4.4: skewed skewed way skewed 4-way 28

32 ddd ded dd ed d d d d d d d d d d d d d d d 4.5: 3 skewed 4-way 2-way skewed 2-way skewed 4-way 2-way skewed LRU Control Memory 4-way 2-way 29

33 ddd ded dd ed d dd dd 4.6: 2-way skewed 4-way ddd ddd dd dd dd ed ed dd dd 4.7: 2-way skewed 4-way 30

34 5 OS FPGA FPGA Linux skewed skewed 3 4word 4-way skewed 1word 1.25 L skewed FPGA skewed 31

35 Thiem Van Chu 32

36 [1] Intel... [2].. 2,, 2011, 13p [3] David A.Patterson/John L.Hennessy. &.. 4, BP, 2011 [4] André Seznec. A Case for Two-Way Skewed-Associative Caches. ISCA 93 Proceedings of the 20th annual international symposium on computer architecture, pp [5] André Seznec. A New Case for Skewed-Associativity. IRISA-INRIA, Campus de Beaulieu Rennes Cedex, FRANCE, 1997 [6],,,,,. MieruSys FPGA. RECONF CPSY VLD IPSJ-SLDM,, vol. 114, no. 428, RECONF , pp , 2015 [7] ao [8] idsoftware. [9] spec. SPEC CPU92 Benchmarks. Standard Performance Evaluation Corporation. [10] ALTERA. DE2-115 Development and Education Board. 33

DRAM SRAM SDRAM (Synchronous DRAM) DDR SDRAM (Double Data Rate SDRAM) DRAM 4 C Wikipedia 1.8 SRAM DRAM DRAM SRAM DRAM SRAM (256M 1G bit) (32 64M bit)

DRAM SRAM SDRAM (Synchronous DRAM) DDR SDRAM (Double Data Rate SDRAM) DRAM 4 C Wikipedia 1.8 SRAM DRAM DRAM SRAM DRAM SRAM (256M 1G bit) (32 64M bit) 2016.4.1 II ( ) 1 1.1 DRAM RAM DRAM DRAM SRAM RAM SRAM SRAM SRAM SRAM DRAM SRAM SRAM DRAM SRAM 1.2 (DRAM, Dynamic RAM) (SRAM, Static RAM) (RAM Random Access Memory ) DRAM 1 1 1 1 SRAM 4 1 2 DRAM 4 DRAM

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション LSI Web Copyright 2005 e-trees.japan, Inc. all rights reserved. 2000 Web Web 300 Copyright 2005 e-trees.japan, Inc. all rights reserved. 2 LSI LSI ASIC Application Specific IC LSI 1 FPGA Field Programmable

More information

imai@eng.kagawa-u.ac.jp No1 No2 OS Wintel Intel x86 CPU No3 No4 8bit=2 8 =256(Byte) 16bit=2 16 =65,536(Byte)=64KB= 6 5 32bit=2 32 =4,294,967,296(Byte)=4GB= 43 64bit=2 64 =18,446,744,073,709,551,615(Byte)=16EB

More information

プロセッサ・アーキテクチャ

プロセッサ・アーキテクチャ 2. NII51002-8.0.0 Nios II Nios II Nios II 2-3 2-4 2-4 2-6 2-7 2-9 I/O 2-18 JTAG Nios II ISA ISA Nios II Nios II Nios II 2 1 Nios II Altera Corporation 2 1 2 1. Nios II Nios II Processor Core JTAG interface

More information

単位、情報量、デジタルデータ、CPUと高速化 ~ICT用語集~

単位、情報量、デジタルデータ、CPUと高速化  ~ICT用語集~ CPU ICT mizutani@ic.daito.ac.jp 2014 SI: Systèm International d Unités SI SI 10 1 da 10 1 d 10 2 h 10 2 c 10 3 k 10 3 m 10 6 M 10 6 µ 10 9 G 10 9 n 10 12 T 10 12 p 10 15 P 10 15 f 10 18 E 10 18 a 10 21

More information

FabHetero FabHetero FabHetero FabCache FabCache SPEC2000INT IPC FabCache 0.076%

FabHetero FabHetero FabHetero FabCache FabCache SPEC2000INT IPC FabCache 0.076% 2013 (409812) FabHetero FabHetero FabHetero FabCache FabCache SPEC2000INT 6 1000 IPC FabCache 0.076% Abstract Single-ISA heterogeneous multi-core processors are increasing importance in the processor architecture.

More information

A Responsive Processor for Parallel/Distributed Real-time Processing

A Responsive Processor for Parallel/Distributed Real-time Processing E-mail: yamasaki@{ics.keio.ac.jp, etl.go.jp} http://www.ny.ics.keio.ac.jp etc. CPU) I/O I/O or Home Automation, Factory Automation, (SPARC) (SDRAM I/F, DMAC, PCI, USB, Timers/Counters, SIO, PIO, )

More information

untitled

untitled 4 1 1 7 7 10 10 HDD 15 CD-ROM 15 FDD 16 16 17 18 BIOS 18 BIOS 18 OSWindowsXP 22 22 30 33 IC CPU ICAND,OR NOT IC CPU IC IC 1-1 (Hard Disk Drive) CD-ROM (Floppy Disk Drive) 1 Input Output CPU CPU CPU CPU

More information

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM Android Android Java Java Dalvik VM Intel Atom PCI Express DMA 1.25 Gbps Atom Android Java Acceleration with an Accelerator in an Android Mobile Terminal Keisuke Koike, Atsushi Ohta, Kohta Ohshima, Kaori

More information

「FPGAを用いたプロセッサ検証システムの製作」

「FPGAを用いたプロセッサ検証システムの製作」 FPGA 2210010149-5 2005 2 21 RISC Verilog-HDL FPGA (celoxica RC100 ) LSI LSI HDL CAD HDL 3 HDL FPGA MPU i 1. 1 2. 3 2.1 HDL FPGA 3 2.2 5 2.3 6 2.3.1 FPGA 6 2.3.2 Flash Memory 6 2.3.3 Flash Memory 7 2.3.4

More information

16.16%

16.16% 2017 (411824) 16.16% Abstract Multi-core processor is common technique for high computing performance. In many multi-core processor architectures, all processors share L2 and last level cache memory. Thus,

More information

テストコスト抑制のための技術課題-DFTとATEの観点から

テストコスト抑制のための技術課題-DFTとATEの観点から 2 -at -talk -talk -drop 3 4 5 6 7 Year of Production 2003 2004 2005 2006 2007 2008 Embedded Cores Standardization of core Standard format Standard format Standard format Extension to Extension to test

More information

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h 23 FPGA CUDA Performance Comparison of FPGA Array with CUDA on Poisson Equation (lijiang@sekine-lab.ei.tuat.ac.jp), (kazuki@sekine-lab.ei.tuat.ac.jp), (takahashi@sekine-lab.ei.tuat.ac.jp), (tamukoh@cc.tuat.ac.jp),

More information

スライド 1

スライド 1 swk(at)ic.is.tohoku.ac.jp 2 Outline 3 ? 4 S/N CCD 5 Q Q V 6 CMOS 1 7 1 2 N 1 2 N 8 CCD: CMOS: 9 : / 10 A-D A D C A D C A D C A D C A D C A D C ADC 11 A-D ADC ADC ADC ADC ADC ADC ADC ADC ADC A-D 12 ADC

More information

untitled

untitled PC murakami@cc.kyushu-u.ac.jp muscle server blade server PC PC + EHPC/Eric (Embedded HPC with Eric) 1216 Compact PCI Compact PCIPC Compact PCISH-4 Compact PCISH-4 Eric Eric EHPC/Eric EHPC/Eric Gigabit

More information

2 1997 1M SRAM 1 25 ns 1 100 250 1,000 DRAM 60 120 ns 50 5 10 50 10 20 ms 5,000,000 0.1 0.2 1

2 1997 1M SRAM 1 25 ns 1 100 250 1,000 DRAM 60 120 ns 50 5 10 50 10 20 ms 5,000,000 0.1 0.2 1 1 2 1997 1M SRAM 1 25 ns 1 100 250 1,000 DRAM 60 120 ns 50 5 10 50 10 20 ms 5,000,000 0.1 0.2 1 CPU 1 1 2 2 n CPU SRAM DRAM CPU 3 4 5 6 7 N+ N+ P SRAM DRAM 8 Computer Architecture 9 DRAM 3 4 10 11 Ta 2

More information

tutorial_lc.dvi

tutorial_lc.dvi 00 Linux v.s. RT Linux v.s. ART-Linux Linux RT-Linux ART-Linux Linux kumagai@emura.mech.tohoku.ac.jp 1 1.1 Linux Yes, No.,. OS., Yes. Linux,.,, Linux., Linux.,, Linux. Linux.,,. Linux,.,, 0..,. RT-Linux

More information

HP ProLiant 500シリーズ

HP ProLiant 500シリーズ HPProLiant5 DL58/585 HPProLiant5 4 HPProLiant5 HPProLiant5 64 HPProLiant5 TPC-H@1GB 4, 34,99 SAP SD Benchmark Users QphH@1GB 3, 2, 1, 4, 3, 2, 1, DL58 G5, Xeon X735 DL585 G5, AMD Opteron 836SE 17,12 DL58

More information

cpu2007lectureno2.ppt

cpu2007lectureno2.ppt Cache Cache Cache cache cache 17.10.2007 1 17.10.2007 2 Cache Register:FF circuits Cache:Bipolar,CMOS SRAM Main Storage:SRAM,DRAM Disk Cache:DRAM 17.10.2007 3 SRAM Cell Structure (1 bit) 17.10.2007 4 temporal

More information

Chip Size and Performance Evaluations of Shared Cache for On-chip Multiprocessor Takahiro SASAKI, Tomohiro INOUE, Nobuhiko OMORI, Tetsuo HIRONAKA, Han

Chip Size and Performance Evaluations of Shared Cache for On-chip Multiprocessor Takahiro SASAKI, Tomohiro INOUE, Nobuhiko OMORI, Tetsuo HIRONAKA, Han Chip Size and Performance Evaluations of Shared Cache for On-chip Multiprocessor Takahiro SASAKI, Tomohiro INOUE, Nobuhiko OMORI, Tetsuo HIRONAKA, Hans J. MATTAUSCH, and Tetsushi KOIDE 1 1 2 0.5 µm CMOS

More information

Nios II ハードウェア・チュートリアル

Nios II ハードウェア・チュートリアル Nios II ver. 7.1 2007 8 1. Nios II FPGA Nios II Quaruts II 7.1 Nios II 7.1 Nios II Cyclone II count_binary 2. 2-1. http://www.altera.com/literature/lit-nio2.jsp 2-2. Nios II Quartus II FEATURE Nios II

More information

Avalon Memory-Mappedブリッジ

Avalon Memory-Mappedブリッジ 11. Avalon emory-apped QII54020-8.0.0 Avalon emory-apped Avalon- OPC Builder Avalon- OPC Builder Avalon- OPC Builder Avalon-11 9 Avalon- Avalon- 11 12 Avalon- 11 19 OPC Builder Avalon emory-apped Design

More information

論理設計の基礎

論理設計の基礎 . ( ) IC (Programmable Logic Device, PLD) VHDL 2. IC PLD 2.. PLD PLD PLD SIC PLD PLD CPLD(Complex PLD) FPG(Field Programmable Gate rray) 2.2. PLD PLD PLD I/O I/O : PLD D PLD Cp D / Q 3. VHDL 3.. HDL (Hardware

More information

strtok-count.eps

strtok-count.eps IoT FPGA 2016/12/1 IoT FPGA 200MHz 32 ASCII PCI Express FPGA OpenCL (Volvox) Volvox CPU 10 1 IoT (Internet of Things) 2020 208 [1] IoT IoT HTTP JSON ( Python Ruby) IoT IoT IoT (Hadoop [2] ) AI (Artificial

More information

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」 ALTIMA Company, MACNICA, Inc Nios II HAL API Modular Scatter-Gather DMA Core Ver.17.1 2018 8 Rev.1 Nios II HAL API Modular Scatter-Gather DMA Core...3...3...4... 4... 5 3-2-1. msgdma... 6 3-2-2. On-Chip

More information

IO Linux Vyatta PC

IO Linux Vyatta PC IO syuu@openbsd.org IO Linux Vyatta PC socket queue Process(User) Process(Kernel) input queue SW Intr Handler HW Intr Handler NIC NIC:1GbE 10GbE CPU:1GHz 3.2GHz:CPU 1/10 CPU 1CPU NIC NIC socket queue Process(User)

More information

1 osana@eee.u-ryukyu.ac.jp : FPGA : HDL, Xilinx Vivado + Digilent Nexys4 (Artix-7 100T) LSI / PC clock accurate / Artix-7 XC7A100T Kintex-7 XC7K325T : CAD Hands-on: HDL (Verilog) CAD (Vivado HLx) : 28y4

More information

untitled

untitled 13 Verilog HDL 16 CPU CPU IP 16 1023 2 reg[ msb: lsb] [ ]; reg [15:0] MEM [0:1023]; //16 1024 16 1 16 2 FF 1 address 8 64 `resetall `timescale 1ns/10ps module mem8(address, readdata,writedata, write, read);

More information

Express5800/120Lc

Express5800/120Lc Workgroup/Department 1. N8500-371 CPU L1 L2 CD-ROM LAN OS OS (/450(512)) N8500-372 N8500-373 N8500-400 (/450(512)-25AWS) (/500(512)) (/450(512)-25AWE) StarOffice Exchange Pentium450MHz1 2 ( 72GB) 32KB

More information

Express5800/120Ed

Express5800/120Ed Pentium 60% 1. N8500-570A N8500-662 N8500-663 N8500-664 ( /800EB(256)) ( /800EB(256)-9W) ( /800EB(256)-9W2) ( /1BG(256)) Windows NT Server 4.0 Windows 2000 HDD HDD CPU Pentium 800EBMHz1 Pentium 1BGHz1

More information

21 20 20413525 22 2 4 i 1 1 2 4 2.1.................................. 4 2.1.1 LinuxOS....................... 7 2.1.2....................... 10 2.2........................ 15 3 17 3.1.................................

More information

チュートリアル XP Embedded 入門編

チュートリアル XP Embedded 入門編 TUT-0057 Ver. 1.0 www.interface.co.jp Ver 1.0 2005 6 (,), Web site () / () 2004 Interface Corporation. All rights reserved. ...1...1 1. XP Embedded...2 2....3 2.1....3 2.2....4 2.2.1. SLD...4 2.3....5

More information

IPSJ SIG Technical Report Vol.2017-ARC-225 No.12 Vol.2017-SLDM-179 No.12 Vol.2017-EMB-44 No /3/9 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS

IPSJ SIG Technical Report Vol.2017-ARC-225 No.12 Vol.2017-SLDM-179 No.12 Vol.2017-EMB-44 No /3/9 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS RTOS OS Lightweight partitioning architecture for automotive systems Suzuki Takehito 1 Honda Shinya 1 Abstract: Partitioning using protection RTOS has high

More information

Express5800/140Ma

Express5800/140Ma Pentium Xeon Express 1. N8500-324 N8500-325 N8500-326 N8500-327 (X/450(512)) (X/450(512)-25AW) (X/450(1)) (X/450(1)-25AW) Windows NT Server 4.0 CPU Pentium Xeon 450MHz1 4 L1 32KB L2 512KB 1MB CD-ROM LAN

More information

IPSJ SIG Technical Report Vol.2016-ARC-221 No /8/9 GC 1 1 GC GC GC GC DalvikVM GC 12.4% 5.7% 1. Garbage Collection: GC GC Java GC GC GC GC Dalv

IPSJ SIG Technical Report Vol.2016-ARC-221 No /8/9 GC 1 1 GC GC GC GC DalvikVM GC 12.4% 5.7% 1. Garbage Collection: GC GC Java GC GC GC GC Dalv GC 1 1 GC GC GC GC DalvikVM GC 12.4% 5.7% 1. Garbage Collection: GC GC Java GC GC GC GC DalvikVM[1] GC 1 Nagoya Institute of Technology GC GC 2. GC GC 2.1 GC 1 c 2016 Information Processing Society of

More information

ADZBT1 Hardware User Manual Hardware User Manual Version 1.0 1/13 アドバンスデザインテクノロジー株式会社

ADZBT1 Hardware User Manual Hardware User Manual Version 1.0 1/13 アドバンスデザインテクノロジー株式会社 Hardware User Manual Version 1.0 1/13 アドバンスデザインテクノロジー株式会社 Revision History Version Date Comment 1.0 2019/4/25 新規作成 2/13 アドバンスデザインテクノロジー株式会社 目次 1 Overview... 4 2 Block Diagram... 5 3 機能説明... 6 3.1 Power

More information

26102 (1/2) LSISoC: (1) (*) (*) GPU SIMD MIMD FPGA DES, AES (2/2) (2) FPGA(8bit) (ISS: Instruction Set Simulator) (3) (4) LSI ECU110100ECU1 ECU ECU ECU ECU FPGA ECU main() { int i, j, k for { } 1 GP-GPU

More information

Express5800/140Ma

Express5800/140Ma Pentium Xeon Express 1. N8500-479 N8500-480 N8500-489,-490 N8500-491,-492 (-X/550(512)-25AWS) (-X/550(1)-25AWS) (-X/550(512)) (-X/550(1)) (-X/550(512)-25AWE) (-X/550(1)-25AWE) CPU L1 Pentium Xeon 550MHz1

More information

(Microsoft PowerPoint - E6x5C SDXC Demo Seminar [\214\335\212\267\203\202\201[\203h])

(Microsoft PowerPoint - E6x5C SDXC Demo Seminar [\214\335\212\267\203\202\201[\203h]) Atom プロセッサ E6x5C の紹介と FPGA IP Core 活 例の紹介 アイウェーブ ジャパン株式会社 神奈川県横浜市中区住吉町 3 丁目 29 番住吉関内ビル8 階 B Tel: 045-227-7626 Fax: 045-227-7646 Mail: info@iwavejapan.co.jp Web: www.iwavejapan.co.jp 2011/5/30 1 iwave Japan,

More information

IPSJ SIG Technical Report Vol.2013-ARC-203 No /2/1 SMYLE OpenCL (NEDO) IT FPGA SMYLEref SMYLE OpenCL SMYLE OpenCL FPGA 1

IPSJ SIG Technical Report Vol.2013-ARC-203 No /2/1 SMYLE OpenCL (NEDO) IT FPGA SMYLEref SMYLE OpenCL SMYLE OpenCL FPGA 1 SMYLE OpenCL 128 1 1 1 1 1 2 2 3 3 3 (NEDO) IT FPGA SMYLEref SMYLE OpenCL SMYLE OpenCL FPGA 128 SMYLEref SMYLE OpenCL SMYLE OpenCL Implementation and Evaluations on 128 Cores Takuji Hieda 1 Noriko Etani

More information

IPSJ SIG Technical Report Vol.2013-ARC-206 No /8/1 Android Dominic Hillenbrand ODROID-X2 GPIO Android OSCAR WFI 500[us] GPIO GP

IPSJ SIG Technical Report Vol.2013-ARC-206 No /8/1 Android Dominic Hillenbrand ODROID-X2 GPIO Android OSCAR WFI 500[us] GPIO GP Android 1 1 1 1 1 Dominic Hillenbrand 1 1 1 ODROID-X2 GPIO Android OSCAR WFI 500[us] GPIO GPIO API GPIO API GPIO MPEG2 Optical Flow MPEG2 1PE 0.97[W] 0.63[W] 2PE 1.88[w] 0.46[W] 3PE 2.79[W] 0.37[W] Optical

More information

Express5800/120Mc

Express5800/120Mc Pentium Xeon 1. N8500-436 CPU L1 L2 CD-ROM LAN OS OS (-X/600(256)) N8500-437 N8500-509 N8500-443 N8500-438 N8500-488 (-X /600(256)-25AWS) (-X /600(256)-25AWE) StarOffice Exchange (-X/733(256)) (-X /733(256)-25AWS)

More information

Shonan Institute of Technology MEMOIRS OF SHONAN INSTITUTE OF TECHNOLOGY Vol. 41, No. 1, 2007 Ships1 * ** ** ** Development of a Small-Mid Range Paral

Shonan Institute of Technology MEMOIRS OF SHONAN INSTITUTE OF TECHNOLOGY Vol. 41, No. 1, 2007 Ships1 * ** ** ** Development of a Small-Mid Range Paral MEMOIRS OF SHONAN INSTITUTE OF TECHNOLOGY Vol. 41, No. 1, 2007 Ships1 * ** ** ** Development of a Small-Mid Range Parallel Computer Ships1 Makoto OYA*, Hiroto MATSUBARA**, Kazuyoshi SAKURAI** and Yu KATO**

More information

Express5800/120Rb-1 (2002/01/22)

Express5800/120Rb-1 (2002/01/22) (2002/01/22) 1. N8100-764 N8100-765 N8100-783 ( /1BG(256)) ( /1.26G(512)) ( /1.40G(512)) CPU Pentium Pentium -S Pentium -S (1BGHz) 1( 2 ) (1.26GHz) 1( 2 ) (1.40GHz) 1( 2 ) L1 32KB L2 256KB 512KB 256MB(

More information

HP xw9400 Workstation

HP xw9400 Workstation HP xw9400 Workstation HP xw9400 Workstation AMD Opteron TM PCI Express x16 64 PCI Express x16 2 USB2.0 8 IEEE1394 2 8DIMM HP HP xw9400 Workstation HP CPU HP CPU 240W CPU HP xw9400 HP CPU CPU CPU CPU Sound

More information

HP ProLiant DL360 Gen9 ベンチマーク検証 結果報告書

HP ProLiant DL360 Gen9 ベンチマーク検証 結果報告書 HP ProLiant DL360 Gen9 2015 7 1 1)... 3 2) HP ProLiant DL360 Gen9... 4 3)... 4... 5... 5 4)... 5 OS... 5... 5 Windows... 6 5)... 7... 7 HP ProLiant DL360 Gen9... 7 HP ProLiant DL380 G5... 8 HP ProLiant

More information

untitled

untitled EPX-64S Rev 1.2 1.. 3 1.1.......... 3 1.2....... 3 1.3....... 4 1.4... 4 1.5... 4 2........ 5 2.1.... 5 EPX64S_GetNumberOfDevices........ 5 EPX64S_GetSerialNumber........ 6 EPX64S_Open....... 7 EPX64S_OpenBySerialNumber

More information

Microsoft PowerPoint - Lec pptx

Microsoft PowerPoint - Lec pptx Course number: CSC.T34 コンピュータ論理設計 Computer Logic Design 5. リコンフィギャラブルシステム Reconfigurable Systems 吉瀬謙二情報工学系 Kenji Kise, Department of Computer Science kise _at_ c.titech.ac.jp www.arch.cs.titech.ac.jp/lecture/cld/

More information

Microsoft Word - PowerEdge_M-Series_Competitive_Power_Study_-_August_2010[1]_j.docx

Microsoft Word - PowerEdge_M-Series_Competitive_Power_Study_-_August_2010[1]_j.docx : John Beckett Robert Bradfield 2010 Dell Inc. 2010 All rights reserved. Dell DELL DELL PowerEdge Dell Inc. Microsoft Windows Windows Server Microsoft Corporation SPEC SPECpower_ssj Standard Performance

More information

P2P P2P peer peer P2P peer P2P peer P2P i

P2P P2P peer peer P2P peer P2P peer P2P i 26 P2P Proposed a system for the purpose of idle resource utilization of the computer using the P2P 1150373 2015 2 27 P2P P2P peer peer P2P peer P2P peer P2P i Abstract Proposed a system for the purpose

More information

1 M32R Single-Chip Multiprocessor [2] [3] [4] [5] Linux/M32R UP(Uni-processor) SMP(Symmetric Multi-processor) MMU CPU nommu Linux/M32R Linux/M32R 2. M

1 M32R Single-Chip Multiprocessor [2] [3] [4] [5] Linux/M32R UP(Uni-processor) SMP(Symmetric Multi-processor) MMU CPU nommu Linux/M32R Linux/M32R 2. M M32R Linux SMP a) Implementation of Linux SMP kernel for M32R multiprocessor Hayato FUJIWARA a), Hitoshi YAMAMOTO, Hirokazu TAKATA, Kei SAKAMOTO, Mamoru SAKUGAWA, and Hiroyuki KONDO CPU OS 32 RISC M32R

More information

00-COVER.P65

00-COVER.P65 AHA-2910C R AHA-2910C PCI-to-Fast SCSI 1998 Adaptec, Inc. All rights reserved. Adaptec, Inc., 691 South Milpitas Blvd., Milpitas, CA 95035 Adaptec Adaptec Adaptec AHA PhaseEngine SCSISelect Adaptec Adaptec

More information

Microsoft Word - archip.doc

Microsoft Word - archip.doc 131 71 71 71 7 1 71 71 71 71 71 71 7 1 71 71 71 71 71 71 7-1 71 71 71 71 71 71 7-1 71 71 7 1 71 71 71 71 71 71 71 71 71 71 71 71 71 71 7 1 71 71 71 71 71 71 7 1 71 71 71 71 71 71 71 71 71 71 71 71 71 71

More information

橡EN1165.PDF

橡EN1165.PDF G780(7ZMMP-KK F1C) BIOS Setup 1 G780(7ZMMP-KK F1C) 2 G780(7ZMMP-KK F1C) 3 G780(7ZMMP-KK F1C) 4 G780(7ZMMP-KK F1C) 1st Boot Device 2nd Boot Device 3rd Boot Device S.M.A.R.T. for Hard Disks BootUp Num-Lock

More information

indd

indd Windows Vista 2 Service pack 1 SP1 Windows Vista Windows Xp Windows Vista Windows Vista CPU Windows OS Windows Xp Windows Vista Windows 7 15 20 Windows Vista Windows Vista Windows Xp Windows Vista Windows

More information

活用ガイド (ソフトウェア編)

活用ガイド (ソフトウェア編) (Windows 95 ) ii iii iv NEC Corporation 1999 v P A R T 1 vi P A R T 2 vii P A R T 3 P A R T 4 viii P A R T 5 ix x P A R T 1 2 3 1 1 2 4 1 2 3 4 5 1 1 2 3 4 6 5 6 7 7 1 1 2 8 1 9 1 1 2 3 4 5 6 1 2 3 4

More information

卒業論文

卒業論文 PC OpenMP SCore PC OpenMP PC PC PC Myrinet PC PC 1 OpenMP 2 1 3 3 PC 8 OpenMP 11 15 15 16 16 18 19 19 19 20 20 21 21 23 26 29 30 31 32 33 4 5 6 7 SCore 9 PC 10 OpenMP 14 16 17 10 17 11 19 12 19 13 20 1421

More information

......1201-P1.`5

......1201-P1.`5 2009. No356 2/ 5 6 a b b 7 d d 6 ca b dd a c b b d d c c a c b - a b G A bb - c a - d b c b c c d b F F G & 7 B C C E D B C F C B E B a ca b b c c d d c c d b c c d b c c d b d d d d - d d d b b c c b

More information

AV 1000 BASE-T LAN 90 IEEE ac USB (3 ) LAN (IEEE 802.1X ) LAN AWS (Amazon Web Services) AP 3 USB wget iperf3 wget 40 MBytes 2 wget 40 MByt

AV 1000 BASE-T LAN 90 IEEE ac USB (3 ) LAN (IEEE 802.1X ) LAN AWS (Amazon Web Services) AP 3 USB wget iperf3 wget 40 MBytes 2 wget 40 MByt 1 BYOD LAN 1 2 3 4 1 BYOD 1 Gb/s LAN BYOD LAN LAN Access Point (AP) IEEE 802.11n BYOD LAN AP wget iperf3 1 AP [2] 2 IEEE 802.11ac [3] AP 4 AV (207 m 2 ) ( 1 2 )[4, 5] AP Wave2 Aruba AP-335 Aruba LAN 7210

More information

untitled

untitled --1 -- - 2 - --2--17 CirrusLogic EP9307 ARM920T ARM9TDMI CPU 16kByte 16kByte Thumb code(16bit ) CPU Core 200MHz BUS 100MHz 14.7456MHz SDRAM32MByte(16bit ) FLASH8MByte(16bit ) NAND (256MB) LAN 10BASE-T/100BASE-TX

More information

Express5800/120Lf 1. Express5800/120Lf N N N Express5800/120Lf Express5800/120Lf Express5800/120Lf ( /1BG(256)) ( /1BG(256)) (

Express5800/120Lf 1. Express5800/120Lf N N N Express5800/120Lf Express5800/120Lf Express5800/120Lf ( /1BG(256)) ( /1BG(256)) ( (2001/11/13) Express5800/120Lf 1. Express5800/120Lf N8100-748 N8100-751 N8100-754 Express5800/120Lf Express5800/120Lf Express5800/120Lf ( /1BG(256)) ( /1BG(256)) ( /1.26G(512)) CPU Hot-Plug Pentium (1.0BGHz)

More information

/ / SeamlessCVE

/ / SeamlessCVE / / SeamlessCVE From ASIC to SoC Original Hardware Content CPU Core Memory DSP Core Glue Logic PCI Controller Original Hardware Content USB Controller USART Slide 2 SoC SoC ASIC System Architecture ASIC

More information

Express5800/120Rb-2

Express5800/120Rb-2 Workgroup/Department 1. N8500-478 N8500-486 (/533EB(256)) (/667EB(256)) CPU Pentium Pentium 533EBMHz1 2 667EBMHz1 2 L1 32KB L2 256KB 128MB 4GB ( 72.6GB) CD-ROM 24 LAN 100BASE-TX 6404801024768 OS OS 2.

More information

4.1 % 7.5 %

4.1 % 7.5 % 2018 (412837) 4.1 % 7.5 % Abstract Recently, various methods for improving computial performance have been proposed. One of these various methods is Multi-core. Multi-core can execute processes in parallel

More information

Stratix IIIデバイスの外部メモリ・インタフェース

Stratix IIIデバイスの外部メモリ・インタフェース 8. Stratix III SIII51008-1.1 Stratix III I/O R3 SRAM R2 SRAM R SRAM RII+ SRAM RII SRAM RLRAM II 400 MHz R Stratix III I/O On-Chip Termination OCT / HR 4 36 R ouble ata RateStratix III FPGA Stratix III

More information

橡Webcamユーザーガイド03.PDF

橡Webcamユーザーガイド03.PDF Desktop On-Call Version 4 Webcam extension Pak for Windows Webcam extension Pak Desktop On-Call Version 4 Web PC i Desktop On-Call Version 4 PC PC Desktop On-Call Version 4 PC Windows 98 Windows 98SE Windows

More information

[1] [2] [3] (RTT) 2. Android OS Android OS Google OS 69.7% [4] 1 Android Linux [5] Linux OS Android Runtime Dalvik Dalvik UI Application(Home,T

[1] [2] [3] (RTT) 2. Android OS Android OS Google OS 69.7% [4] 1 Android Linux [5] Linux OS Android Runtime Dalvik Dalvik UI Application(Home,T LAN Android Transmission-Control Middleware on multiple Android Terminals in a WLAN Environment with consideration of Round Trip Time Ai HAYAKAWA, Saneyasu YAMAGUCHI, and Masato OGUCHI Ochanomizu University

More information

HP Workstation 総合カタログ

HP Workstation 総合カタログ HP Workstation Z HP 6 Z HP HP Z840 Workstation P.9 HP Z640 Workstation & CPU P.10 HP Z440 Workstation P.11 17.3in WIDE HP ZBook 17 G2 Mobile Workstation P.15 15.6in WIDE HP ZBook 15 G2 Mobile Workstation

More information

Express5800/120Ra-1

Express5800/120Ra-1 1. CPU L1 L2 CD-ROM LAN OS OS N8100-661A ( /1BG(256)) Pentium 1.0BGHz 1 2 32KB 256KB 128MB 4GB (73.2GB 2) 10 24 100BASE-TX 10BASE-T 2 640 480 1280 1024* 2. DISK LINK/ACT(LAN1) STATUS LINK/ACT(LAN2) POWER/SLEEP

More information

PC Development of Distributed PC Grid System,,,, Junji Umemoto, Hiroyuki Ebara, Katsumi Onishi, Hiroaki Morikawa, and Bunryu U PC WAN PC PC WAN PC 1 P

PC Development of Distributed PC Grid System,,,, Junji Umemoto, Hiroyuki Ebara, Katsumi Onishi, Hiroaki Morikawa, and Bunryu U PC WAN PC PC WAN PC 1 P PC Development of Distributed PC Grid System,,,, Junji Umemoto, Hiroyuki Ebara, Katsumi Onishi, Hiroaki Morikawa, and Bunryu U PC WAN PC PC WAN PC 1 PC PC PC PC PC Key Words:Grid, PC Cluster, Distributed

More information

システムLSIとアーキテクチャ技術  (part II:オンチップ並列            アーキテクチャ)

システムLSIとアーキテクチャ技術  (part II:オンチップ並列            アーキテクチャ) コンピュータ基礎記憶階層とキャッシュその2 テキスト第 10 章 天野英晴 hunga@am.ics.keio.ac.jp 記憶システム 膨大な容量を持ち アクセス時間 ( 読み出し 書き込み ) が短いメモリが欲しい! しかし 容量の大きい ( ビット単価が安い ) メモリは遅い 高速なメモリは容量が小さいお金にモノを言わせて高速なメモリをたくさん揃えても大容量化の段階で遅くなってしまう そこでアクセスの局所性

More information

&A : A = k j 1: 4-way., A set x, way y, way y LRU y, way., A (x,y).,,, L1( 1) L2, L3 3. L1., L2,L3., TLB(Translation Lookaside Buffer). OS,. TLB, ( ),

&A : A = k j 1: 4-way., A set x, way y, way y LRU y, way., A (x,y).,,, L1( 1) L2, L3 3. L1., L2,L3., TLB(Translation Lookaside Buffer). OS,. TLB, ( ), 1?,. 1,.,,. n-way (n ). 1, 4-way, n-way n (way).,., 1., ( set x ) (x), n., 2, 2 s, 2 l (, s, l )., s + l s., s,., n s. n. s + l way, (set,way)., way,. way, LRU(Least Recently Used, ). way. way, (,...).

More information

,4) 1 P% P%P=2.5 5%!%! (1) = (2) l l Figure 1 A compilation flow of the proposing sampling based architecture simulation

,4) 1 P% P%P=2.5 5%!%! (1) = (2) l l Figure 1 A compilation flow of the proposing sampling based architecture simulation 1 1 1 1 SPEC CPU 2000 EQUAKE 1.6 50 500 A Parallelizing Compiler Cooperative Multicore Architecture Simulator with Changeover Mechanism of Simulation Modes GAKUHO TAGUCHI 1 YOUICHI ABE 1 KEIJI KIMURA 1

More information

xi21-x.dvi

xi21-x.dvi 8 7 1 1 1 2018 12 21 2018 (2) : 50, 67, 60 ( ),, (8 7 1 1),, WWW ( ) (ID ) : WWW :, 1 11 ( ) MIPS x86 Mem[a,b], a b MIPS lw Rt,Imm(Rs) Rt = Mem[ Rs + sx(imm),4] sw Rt,Imm(Rs) Mem[ Rs + sx(imm),4] = Rt

More information

HardCopy IIIデバイスの外部メモリ・インタフェース

HardCopy IIIデバイスの外部メモリ・インタフェース 7. HardCopy III HIII51007-1.0 Stratix III I/O HardCopy III I/O R3 R2 R SRAM RII+ RII SRAM RLRAM II R HardCopy III Stratix III LL elay- Locked Loop PLL Phase-Locked Loop On-Chip Termination HR 4 36 HardCopy

More information

2005 1

2005 1 25 SPARCstation 2 CPU central processor unit 25 2 25 3 25 4 DRAM 25 5 25 6 : DRAM 25 7 2 25 8 2 25 9 2 bit: binary digit V 2V 25 2 2 2 2 4 5 2 6 3 7 25 A B C A B C A B C A B C A C A B 3 25 2 25 3 Co Cin

More information

Express5800/140Hb (2002/01/22)

Express5800/140Hb (2002/01/22) (2002/01/22) 1. N8100-592B N8100-594B N8100-681 ( -X/700(1)) ( -X/700(2)) ( -X/900(2)) CPU L1 Pentium Xeon (700MHz) 1 4 Pentium Xeon (700MHz) 1 4 32KB Pentium Xeon (900MHz) 1 4 L2 1MB 2MB 2MB CD-ROM LAN

More information

P3PC

P3PC P3PC-2412-05 ScanSnap S1500 S1500MScanSnap ScanSnap ScanSnap Setup DVD- ROM PDFScanSnap Microsoft Windows Windows Vista Microsoft Excel PowerPoint Microsoft Corporation Apple Apple Mac Mac OS iphoto Apple

More information

HP Compaq Business Desktop dc7700シリーズ

HP Compaq Business Desktop dc7700シリーズ 本カタログは 旧製品もしくはすでに販売終了した製品のカタログです 最新版のカタログ 現在販売している製品のカタログは下記サイトにございます www.hp.com/jp/catalog その他ご不明な点は下記お問い合わせ窓口までご連絡ください HP Directplus 9 00 19 00 5/1 10 00 17 00 03-6416-6222 HP 9 00 19 00 10 00 17 00

More information

(1) IC お買い上げいただきありがとうございます 電気製品は安全のための注意事項を守らないと 火災や人身事故になることがあります この取扱説明書には 事故を防ぐための重要な注意事項と製品の取り扱いかたを示しています この取扱説明書をよくお読みのうえ 製品を安全にお使いくだ

(1) IC お買い上げいただきありがとうございます 電気製品は安全のための注意事項を守らないと 火災や人身事故になることがあります この取扱説明書には 事故を防ぐための重要な注意事項と製品の取り扱いかたを示しています この取扱説明書をよくお読みのうえ 製品を安全にお使いくだ 4-196-712-03(1) IC お買い上げいただきありがとうございます 電気製品は安全のための注意事項を守らないと 火災や人身事故になることがあります この取扱説明書には 事故を防ぐための重要な注意事項と製品の取り扱いかたを示しています この取扱説明書をよくお読みのうえ 製品を安全にお使いください お読みになったあとは いつでも見られるところに必ず保管してください 2010 Sony Corporation

More information

HPE Moonshot System ~ビッグデータ分析&モバイルワークプレイスを新たなステージへ~

HPE Moonshot System ~ビッグデータ分析&モバイルワークプレイスを新たなステージへ~ Brochure HPE Moonshot System HPE Moonshot System 4.3U 45 HPE Moonshot System Xeon & HPE Moonshot System HPE Moonshot System HPE HPE Moonshot System &IoT & SoC Xeon D-1500 Broadwell-DE HPE ProLiant m510

More information

エミフィルによるノイズ対策 アプリケーション編

エミフィルによるノイズ対策 アプリケーション編 .pdf Noise Suppression by EMIFIL Application Guide Application Manual Cat.No.C35-2 .pdf .pdf .pdf 2 .pdf CD-ROM Power Supply CPU Gate Array RAM ROM Driver Driver Driver USB Chip Set Mouse Keyboard Display

More information

活用ガイド (ソフトウェア編)

活用ガイド (ソフトウェア編) (Windows 98 ) ii iii iv v NEC Corporation 1999 vi P A R T 1 P A R T 2 vii P A R T 3 viii P A R T 4 ix P A R T 5 x P A R T 1 2 3 1 1 2 4 1 2 3 4 5 1 1 2 3 4 5 6 6 7 7 1 1 2 8 1 9 1 1 2 3 4 5 6 1 2 3 10

More information

Express5800/110Ee Pentium 1. Express5800/110Ee N N Express5800/110Ee Express5800/110Ee ( /800EB(256)) ( /800EB(256) 20W) CPU L1 L2 CD-

Express5800/110Ee Pentium 1. Express5800/110Ee N N Express5800/110Ee Express5800/110Ee ( /800EB(256)) ( /800EB(256) 20W) CPU L1 L2 CD- Express5800/110Ee Pentium 1. Express5800/110Ee N8500-654 N8500-655 Express5800/110Ee Express5800/110Ee ( /800EB(256)) ( /800EB(256) 20W) CPU L1 L2 CD-ROM LAN Windows NT Server 4.0 Pentium 800EBMHz 1 (

More information

untitled

untitled ALTIRIS DEPLOYMENT SOLUTION 6.9 Quick Startup Guide Rev. 1.2 2008 7 11 1.... 2 DEPLOYMENT SERVER... 2 DEPLOYMENT CONSOLE... 2 DEPLOYMENT DATABASE... 2 DEPLOYMENT SHARE... 3 PXE SERVER... 3 DEPLOYMENT AGENT...

More information

nakayama15icm01_l7filter.pptx

nakayama15icm01_l7filter.pptx Layer-7 SDN SDN NFV 50 % 3 MVNO 1 2 ICM @ 2015/01/16 2 1 1 2 2 1 2 2 ICM @ 2015/01/16 3 2 Service Dependent Management (SDM) SDM Simple Management of Access-Restriction Translator Gateway (SMART-GW) ICM

More information

Express5800/120Le

Express5800/120Le Workgroup/Department 1. N8500-579A N8500-671 N8500-672 (/800EB (256)-27AWS) (/800EB (256)-27AW2S) (/800EB(256)) Windows NT Server 4.0 Windows 2000 Server CPU Pentium800EBMHz1 2 L1 32KB L2 256KB 128MB 4GB

More information

137 6 1 2 3 4 5 6 138 6 139 1 2 3 4 5 6 140 6 141 1 2 1 2 142 6 3 143 1 2 144 6 145 1 2 3 4 5 146 6 147 1 1 148 6 1 2 149 1 2 1 2 150 6 151 152 6 1 2 153 1 2 3 154 1 2 6 3 155 156 6 157 158 1 6 2 159 1

More information

Express5800/120Rc-2 Workgroup/Department 1. Express5800/120Rc-2 N N N Express5800/120Rc-2 Express5800/120Rc-2 Express5800/120R

Express5800/120Rc-2 Workgroup/Department 1. Express5800/120Rc-2 N N N Express5800/120Rc-2 Express5800/120Rc-2 Express5800/120R Express5800/120Rc-2 Workgroup/Department 1. Express5800/120Rc-2 N8500-572 N8500-573 N8500-574 Express5800/120Rc-2 Express5800/120Rc-2 Express5800/120Rc-2 (/667(256)) (/800EB(256)) (/933(256)) CPU L1 L2

More information

MINI2440マニュアル

MINI2440マニュアル ARM Cortex-M3 STM32F103 (GCC TOPPERS/ASP ) http://www.nissin-tech.com info@nissin-tech.com 2009/10/15 copyright@2009 1 STM32F103...3 STM32...4...8 3.1...8 3.2...9 3.3...13 KEIL...19 4.1 KEIL...19 4.2...22

More information

HDR-SR7/SR8

HDR-SR7/SR8 3-210-378-01(1) HDR-SR7/SR8 PDF CD-ROM 2007 Sony Corporation t37 39 11 v b 1 2 3 2 1 2 3 4 32 / 8* AC / / / VCCI B DVD-R * DVD/HDD * * 30 3,000 / * * HDR-SR7 Windows XPOS * PDF Picture Motion Browser 3

More information

XMOS プログラミング入門 サンプルページ この本の定価 判型などは, 以下の URL からご覧いただけます. このサンプルページの内容は, 初版 1 刷発行時のものです.

XMOS プログラミング入門 サンプルページ この本の定価 判型などは, 以下の URL からご覧いただけます.   このサンプルページの内容は, 初版 1 刷発行時のものです. XMOS プログラミング入門 サンプルページ この本の定価 判型などは, 以下の URL からご覧いただけます. http://www.morikita.co.jp/books/mid/085251 このサンプルページの内容は, 初版 1 刷発行時のものです. i 40 LED OS OS XMOS 32 XMOS OS XMOS XMOS XMOS OS FPGA ASIC ii XMOS Chapter

More information

Source: Intel.Config: Pentium III Processor-Intel Seattle SE440BX-2, 128MB PC100 CL2 SDRAM Intel 440BX-2 Chipset Platform- Diamond Viper 550 /

Source: Intel.Config: Pentium III Processor-Intel Seattle SE440BX-2, 128MB PC100 CL2 SDRAM Intel 440BX-2 Chipset Platform- Diamond Viper 550 / 2002.1 4 1 2 3 Source: Intel.Config: Pentium III Processor-Intel Seattle SE440BX-2, 128MB PC100 CL2 SDRAM Intel 440BX-2 Chipset Platform- Diamond Viper 550 / nvidia TNT 2x AGP with 16MB memory, nvidia

More information

, m 1 1 m m b

, m 1 1 m m b 4-155-700-06(1) Q&AOS http://support.d-imaging.sony.co.jp/www/s-frame/ index.html http://support.d-imaging.sony.co.jp/www/printer/ index.html http://www.sony.co.jp/mstaiou/ DPP-F700 WEB http://www.sony.co.jp/dpp-regi/

More information

IT 1. IT 2. 2.1. IT 2.2. SKYSEA Client View Government License Light Edition Sky 1500 28 2 15 12 3. 4. 28 3 25 1 5. 5.1. (1) 28 4 1 (2) (3) (4) ISO27001 P (5) ISO/IEC20000 (6) USB 1 (7) OS (8) 1 CPU 4

More information

Ironman_CMKK.fm

Ironman_CMKK.fm USB Sound Core3D / PC/Mac THX TruStudio Pro CrystalVoice Dolby Digital Dolby Digital 3D / Xbox 360 PS3 : USB 1.1 2.0 PC Mac : 1GB 600MB USB 1.1 2.0 Windows Microsoft Windows 7 32-bit 64-bit Windows Vista

More information

HP Workstation 総合カタログ

HP Workstation 総合カタログ HP Workstation E5 v2 Z Z SFF E5 v2 2 HP Windows Z 3 Performance Innovation Reliability 3 HPZ HP HP Z820 Workstation P.11 HP Z620 Workstation & CPU P.12 HP Z420 Workstation P.13 17.3in WIDE HP ZBook 17

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

1 FabScalar FabCache FabBus FabHetero FabCache FabCache FabCache FabCache FabCache ns 0.1

1 FabScalar FabCache FabBus FabHetero FabCache FabCache FabCache FabCache FabCache ns 0.1 2015 (413M505) 1 FabScalar FabCache FabBus FabHetero FabCache FabCache FabCache FabCache FabCache 3.5 0.1ns 0.1 Abstract Single-ISA heterogeneous multi-core architecture which consists of diverse superscalar

More information