Avalon Memory-Mappedブリッジ

Size: px
Start display at page:

Download "Avalon Memory-Mappedブリッジ"

Transcription

1 11. Avalon emory-apped QII Avalon emory-apped Avalon- OPC Builder Avalon- OPC Builder Avalon- OPC Builder Avalon-11 9 Avalon- Avalon Avalon OPC Builder Avalon emory-apped Design Optimizations Avalon- 1 Avalon- OPC Builder Altera Corporation 11 1

2 Quartus II Volume OPC Builder Avalon Arbiter & Write Data Control ignal ultiplexing Avalon- Bridge Chipelect & Read Data ultiplexing Avalon- aster Avalon- lave Avalon- Avalon Interface pecifications 11 2 Altera Corporation

3 Avalon- / OPC Builder OPC 3 CPU 1 DDR DRA 1 RA 1 utex 1 RA OPC Builder Altera Corporation 11 3

4 Quartus II Volume CPU1 CPU2 CPU3 ystem Interconnect Fabric CPU_elect_ux1 CPU3 Addr, Data, BurstReq CPU2 Addr, Data, BurstReq CPU1 Addr, Data, BurstReq CPU_elect_ux2 CPU1 Addr, Data, BurstReq CPU2 Addr, Data, BurstReq CPU3 Addr, Data, BurstReq CPU_elect_ux3 CPU1 Addr, Data, BurstReq CPU2 Addr, Data, BurstReq CPU3 Addr, Data, BurstReq CPU1 Addr, Data, BurstReq CPU2 Addr, Data, BurstReq CPU3 Addr, Data, BurstReq CPU_elect_ux4 rddata_cpu1 rddata_cpu2 rddata_cpu3 rddata_cpu1 rddata_cpu2 rddata_cpu3 rddata_cpu1 rddata_cpu2 rddata_cpu3 rddata_cpu1 rddata_cpu2 rddata_cpu3 DDR DRA Controller essage Buffer RA essage Buffer utex Tristate Bridge to External RA Avalon- aster Port Avalon- lave Port DDR DRA 166 Hz CPU 120 Hz CPU DDR DRA Avalon- CPU DDR DDR DRA 11 4 Altera Corporation

5 f AX 11 4 RA utex CPU CPU Avalon- RA utex f AX OPC Builder Altera Corporation 11 5

6 Quartus II Volume 4 CPU JTAG Avalon JTAG OPC Builder CPU1 CPU2 CPU3 ystem Interconnect Fabric CPU1 Addr, Data, BurstReq CPU2 Addr, Data, BurstReq CPU3 Addr, Data, BurstReq CPU1 Addr, Data, BurstReq CPU2 Addr, Data, BurstReq CPU3 Addr, Data, BurstReq rddata_cpu1 rddata_cpu2 rddata_cpu3 CPU1 Addr, Data, BurstReq CPU2 Addr, Data, BurstReq CPU3 Addr, Data, BurstReq Avalon- Clock Crossing Bridge Avalon- Pipeline Bridge 4 Tristate Bridge to External RA ystem Interconnect Fabric DDR DRA Cntl essage Buffer RA essage Buffer utex JTAG Debug CPU1 JTAG Debug CPU2 JTAG Debug CPU3 Avalon- aster Port Avalon- lave Port 11 6 Altera Corporation

7 Avalon- Avalon- Avalon- 2 Avalon- + 1 (1) range = [base_address.. (base_address + (span - 1)]; OPC Builder Avalon- 1. Avalon Avalon Avalon- Avalon- aster1 sees lave1 at Addr = 0x1100 Avalon- aster1 sees lave2 at Addr = 0x1400 Addr = 0x100 lave1 aster1 Addr = 0x1000 Avalon- Bridge Avalon- aster Port Avalon- lave Port Addr = 0x400 lave Avalon Altera Corporation 11 7

8 Quartus II Volume Avalon- Addr = 0x7FF Avalon- Bridge span = 0x800 = 0x (0x x7FF) = 0x x17FF Addr = 0x5FF Addr = 0x400 lave 2: span = 0x200 range = 0x400-0x5FF Addr = 0x1FF Addr = 0x100 Addr = 0x000 lave 1: span = 0x100 range = 0x100-0x1FF ystem Contents Base Address Avalon- Avalon- ystem Contents Address ap Avalon- Avalon- Avalon- Avalon- Quartus II Volume 4 OPC Builder emory ubsystem Development Walkthrough 11 8 Altera Corporation

9 Avalon- Avalon- Avalon- Avalon- OPC Builder f AX address write data control / Read data / waitrequest Avalon- Pipeline Options 11 5 CPU utex RA readdatavalid Avalon- OPC Builder Altera Corporation 11 9

10 Quartus II Volume Avalon Avalon- Avalon- Pipeline Bridge aster-to-lave Pipeline aster-to-lave ignals lave I/F D Q D ENA Q aster I/F aster-to-lave ignals Connects to an Avalon- lave Interface waitrequest waitrequest Pipeline Wait Request Logic waitrequest Connects to an Avalon- aster Interface lave-to-aster ignals Q D lave-to-aster ignals lave-to-aster Pipeline Avalon- Avalon- OPC Builder Avalon Altera Corporation

11 Avalon- / : address writedata write read byteenable chipselect burstcount / : readdata readdatavalid waitrequest 1 Avalon- waitrequest waitrequest / 1 / 1 / Avalon Avalon- 1 DDR2 2 Avalon- Altera Corporation 11 11

12 Quartus II Volume Avalon- 7 Avalon- CPU1 CPU2 CPU3 DA Read DA Write External Processor External Processor Avalon- Pipeline Bridge Avalon- Pipeline Bridge Avalon- aster Port Avalon- lave Port DDR2 emory Controller Avalon- Avalon- Avalon- OPC Builder CDC / / FIFO Altera Corporation

13 Avalon- OPC Builder OPC Builder OPC Builder DRA / / Avalon- Altera Corporation 11 13

14 Quartus II Volume Avalon- Avalon- Clock-Crossing Bridge aster-to-lave ignals in aster-to-lave FIFO out aster-to-lave ignals lave I/F aster I/F Connects to Avalon- aster Interface lave-to-aster ignals out lave-to-aster FIFO in lave-to-aster ignals Connects to Avalon- lave Interface waitrequest Wait Request Logic waitrequest slave_clk master_clk Avalon- Avalon- OPC Builder Avalon- 1 FIFO 2 FIFO 1 FIFO FIFO Altera Corporation

15 FIFO CDC FIFO / FIFO writedata address read write byteenable burstcount / FIFO readdata readdatavalid endofpacket FIFO / / FIFO FIFO FIFO readdatavalid waitrequest / FIFO / / Avalon- / / Altera Corporation 11 15

16 Quartus II Volume 4 / 1 / / FIFO / FIFO / FIFO / FIFO = (( / FIFO ) * ( )) + / Avalon Avalon- 2 2 Quartus II Altera Corporation

17 Avalon- 2 Avalon- CPU Avalon- Clock-Crossing Bridge Avalon- Clock-Crossing Bridge Avalon Tristate Bridge JTAG Debug odule UART ystem ID even egment PIO LCD Display Flash emory DDR DRA Avalon Tristate Bridge Avalon- aster Port Avalon- lave Port External RA Altera Corporation 11 17

18 Quartus II Volume 4 OPC Builder Avalon- egawizard Parameter ettings aster-to-slave FIFO / FIFO FIFO depth FIFO Construct FIFO from registers FIFO f AX lave-to-master FIFO / FIFO FIFO depth FIFO Construct FIFO from registers FIFO Data width FIFO Data width Allow bursts / FIFO aximum burst size Allow bursts Altera Corporation

19 OPC Builder CDC Avalon- CDC Avalon F read request write request master wait-request Altera Corporation 11 19

20 Quartus II Volume Receiver Clock Domain ender Clock Domain CDC Logic control waitrequest Receiver Handshake F transfer request ynchronizer ynchronizer acknowledge ender Handshake F control waitrequest Receiver Port address ender Port readdata readdata writedata & byte enable F CDC CDC CDC F F Altera Corporation

21 3. F F F 6. F F F OPC Builder CDC OPC Builder CDC OPC Builder / CDC CDC Altera Corporation 11 21

22 Quartus II Volume 4 CDC CDC Avalon- 4 Quartus II Volume 4 Avalon emory- apped Design Optimizations OPC Builder OPC Builder ystem Contents Clock ettings OPC Builder OPC Builder PLL Altera Corporation

23 Avalon- Avalon- Arria GX tratix III tratix II GX tratix II tratix Cyclone III Cyclone II Cyclone HardCopy II AX AX II Altera Corporation 11 23

24 Quartus II Volume 4 Quartus II egacore IP Library egacore IP Library OPC Builder OPC Builder OPC Builder Avalon Interface pecifications Avalon emory- apped Design Optimizations Quartus II Volume 4 OPC Builder emory ubsystem Development Walkthrough Quartus II Volume 4 ystem Interconnect Fabric for treaming Interfaces Altera Corporation

25 v CPU JTAG Avalon emory-apped and treaming Interface pecifications Avalon Interface pecifications v v7.1.0 Avalon- Avalon- Quartus II v7.1 Altera Corporation 11 25

26 Quartus II Volume Altera Corporation

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」 ALTIMA Company, MACNICA, Inc Nios II HAL API Modular Scatter-Gather DMA Core Ver.17.1 2018 8 Rev.1 Nios II HAL API Modular Scatter-Gather DMA Core...3...3...4... 4... 5 3-2-1. msgdma... 6 3-2-2. On-Chip

More information

プロセッサ・アーキテクチャ

プロセッサ・アーキテクチャ 2. NII51002-8.0.0 Nios II Nios II Nios II 2-3 2-4 2-4 2-6 2-7 2-9 I/O 2-18 JTAG Nios II ISA ISA Nios II Nios II Nios II 2 1 Nios II Altera Corporation 2 1 2 1. Nios II Nios II Processor Core JTAG interface

More information

FPGAメモリおよび定数のインシステム・アップデート

FPGAメモリおよび定数のインシステム・アップデート QII53012-7.2.0 15. FPGA FPGA Quartus II Joint Test Action Group JTAG FPGA FPGA FPGA Quartus II In-System Memory Content Editor FPGA 15 2 15 3 15 3 15 4 In-System Memory Content Editor Quartus II In-System

More information

Cyclone IIIデバイスのI/O機能

Cyclone IIIデバイスのI/O機能 7. Cyclone III I/O CIII51003-1.0 2 Cyclone III I/O 1 I/O 1 I/O Cyclone III I/O FPGA I/O I/O On-Chip Termination OCT Quartus II I/O Cyclone III I/O Cyclone III LAB I/O IOE I/O I/O IOE I/O 5 Cyclone III

More information

Nios II ハードウェア・チュートリアル

Nios II ハードウェア・チュートリアル Nios II ver. 7.1 2007 8 1. Nios II FPGA Nios II Quaruts II 7.1 Nios II 7.1 Nios II Cyclone II count_binary 2. 2-1. http://www.altera.com/literature/lit-nio2.jsp 2-2. Nios II Quartus II FEATURE Nios II

More information

ネットリストおよびフィジカル・シンセシスの最適化

ネットリストおよびフィジカル・シンセシスの最適化 11. QII52007-7.1.0 Quartus II Quartus II atom atom Electronic Design Interchange Format (.edf) Verilog Quartus (.vqm) Quartus II Quartus II Quartus II Quartus II 1 Quartus II Quartus II 11 3 11 12 Altera

More information

Quartus II ハンドブック Volume 5、セクションIV. マルチプロセッサの調整

Quartus II ハンドブック  Volume 5、セクションIV. マルチプロセッサの調整 IV. SOPC Builder Nios II 9 Avalon Mutex 10 Avalon Mailbox 9 10 / 9 v5.1.0 2005 5 v5.0.0 Nios II 2004 12 v1.0 10 v5.1.0 2005 5 v5.0.0 Altera Corporation IV 1 Quartus II Volume 5 IV 2 Altera Corporation

More information

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法 ver. 8.1 2009 年 3 月 1. はじめに Nios II 開発ボードに実装されているメモリ用のコンポーネントは SOPC Builder の中にあらかじめ用意されています しかし 実際に基板を作成した場合には Nios II 開発ボードに実装されているメモリと同じ仕様の製品でない限り SOPC Builder であらかじめ用意されたメモリ用のコンポーネントを使用することはできません この場合

More information

コンポーネント・インタフェースTclリファレンス

コンポーネント・インタフェースTclリファレンス QII54022-8.0.0 7. Tcl SOPC Builder Tcl _hw.tcl SOPC Builder _hw.tcl 1 SOPC Builder SOPC Builder 4 HDL _hw.tcl SOPC Builder C Tcl _sw.tcl 7 1 Tcl 7 2 7 2 Tcl 7 8 7 13 Tcl Tcl Tcl Basic component information

More information

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration 5. Stratix IV SIV52005-2.0 Stratix IV GX PMA BER FPGA PMA CMU PLL Pphased-Locked Loop CDR 5 1 5 3 5 5 Quartus II MegaWizard Plug-In Manager 5 42 5 47 rx_tx_duplex_sel[1:0] 5 49 logical_channel_address

More information

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA 272 11 05340 26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA skewed L2 FPGA skewed Linux

More information

HardCopy IIIデバイスの外部メモリ・インタフェース

HardCopy IIIデバイスの外部メモリ・インタフェース 7. HardCopy III HIII51007-1.0 Stratix III I/O HardCopy III I/O R3 R2 R SRAM RII+ RII SRAM RLRAM II R HardCopy III Stratix III LL elay- Locked Loop PLL Phase-Locked Loop On-Chip Termination HR 4 36 HardCopy

More information

1 (1) (2)

1 (1) (2) 1 2 (1) (2) (3) 3-78 - 1 (1) (2) - 79 - i) ii) iii) (3) (4) (5) (6) - 80 - (7) (8) (9) (10) 2 (1) (2) (3) (4) i) - 81 - ii) (a) (b) 3 (1) (2) - 82 - - 83 - - 84 - - 85 - - 86 - (1) (2) (3) (4) (5) (6)

More information

- 2 -

- 2 - - 2 - - 3 - (1) (2) (3) (1) - 4 - ~ - 5 - (2) - 6 - (1) (1) - 7 - - 8 - (i) (ii) (iii) (ii) (iii) (ii) 10 - 9 - (3) - 10 - (3) - 11 - - 12 - (1) - 13 - - 14 - (2) - 15 - - 16 - (3) - 17 - - 18 - (4) -

More information

2 1980 8 4 4 4 4 4 3 4 2 4 4 2 4 6 0 0 6 4 2 4 1 2 2 1 4 4 4 2 3 3 3 4 3 4 4 4 4 2 5 5 2 4 4 4 0 3 3 0 9 10 10 9 1 1

2 1980 8 4 4 4 4 4 3 4 2 4 4 2 4 6 0 0 6 4 2 4 1 2 2 1 4 4 4 2 3 3 3 4 3 4 4 4 4 2 5 5 2 4 4 4 0 3 3 0 9 10 10 9 1 1 1 1979 6 24 3 4 4 4 4 3 4 4 2 3 4 4 6 0 0 6 2 4 4 4 3 0 0 3 3 3 4 3 2 4 3? 4 3 4 3 4 4 4 4 3 3 4 4 4 4 2 1 1 2 15 4 4 15 0 1 2 1980 8 4 4 4 4 4 3 4 2 4 4 2 4 6 0 0 6 4 2 4 1 2 2 1 4 4 4 2 3 3 3 4 3 4 4

More information

20 15 14.6 15.3 14.9 15.7 16.0 15.7 13.4 14.5 13.7 14.2 10 10 13 16 19 22 1 70,000 60,000 50,000 40,000 30,000 20,000 10,000 0 2,500 59,862 56,384 2,000 42,662 44,211 40,639 37,323 1,500 33,408 34,472

More information

I? 3 1 3 1.1?................................. 3 1.2?............................... 3 1.3!................................... 3 2 4 2.1........................................ 4 2.2.......................................

More information

HardCopy IIデバイスのタイミング制約

HardCopy IIデバイスのタイミング制約 7. HardCopy II H51028-2.1 Stratix II FPGA FPGA ASIC HardCopy II ASIC NRE Quartus II HardCopy Design Center HCDC Quartus II TimeQuest HardCopy II 2 DR2 TimeQuest TimeQuest FPGA ASIC FPGA ASIC Quartus II

More information

Stratix IIIデバイスの外部メモリ・インタフェース

Stratix IIIデバイスの外部メモリ・インタフェース 8. Stratix III SIII51008-1.1 Stratix III I/O R3 SRAM R2 SRAM R SRAM RII+ SRAM RII SRAM RLRAM II 400 MHz R Stratix III I/O On-Chip Termination OCT / HR 4 36 R ouble ata RateStratix III FPGA Stratix III

More information

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices XAPP858 (v1.1) 2007 1 9 : Virtex-5 FPGA Virtex-5 DDR2 SDRAM : Karthi Palanisamy Maria George (v1.1) DDR2 SDRAM Virtex -5 I/O ISERDES (Input Serializer/Deserializer) ODDR (Output Double Data Rate) DDR2

More information

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト 3. MAX II IEEE 49. JTAG MII54-.6 PCB PCB Bed-of-nails PCB 98 Joint Test Action Group JTAG IEEE Std. 49. BST PCB BST 3 3. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin Signal Serial Data Out Core

More information

Arria GXデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

Arria GXデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト 3. Arria GX IEEE 49. (JTAG) AGX523-. PCB PCB Bed-of-nails PCB 98 Joint Test Action Group (JTAG) IEEE Std. 49. (BST) PCB BST 3 3. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin Signal Serial Data

More information

matrox0

matrox0 Image processing products Hardware/Software Software Hardware INDEX 4 3 2 12 13 15 18 14 11 10 21 26 20 9 8 7 6 5 Hardware 2 MatroxRadient 3 MatroxSolios MatroxMorphis MatroxVio 10 MatroxOrionHD 11 MatroxConcord

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

Chip PlannerによるECO

Chip PlannerによるECO 13. Chip Planner ECO QII52017-8.0.0 ECO Engineering Change Orders Chip Planner ECO Chip Planner FPGA LAB LE ALM ECO ECO ECO ECO Chip Planner Chip Planner ECO LogicLock Chip Planner Quartus II Volume 2

More information

SCV in User Forum Japan 2003

SCV in User Forum Japan 2003 Open SystemC Initiative (OSCI) SystemC - The SystemC Verification Standard (SCV) - Stuart Swan & Cadence Design Systems, Inc. Q0 Q1 Q2 Q3 Q4 Q5 2 SystemC Q0 Q1 Q2 Q3 Q4 Q5 3 Verification Working Group

More information

デザイン・スペース・エクスプローラ

デザイン・スペース・エクスプローラ 12. QII52008-6.1.0 Quartus II Quartus II FPGA Tcl/Tk DSEDSE DSE DSE DSE DSE Quartus II Synthesis Fitter 1 DSE Quartus II Fitter Quartus II Altera Corporation 12 1 2006 11 Quartus II Volume 2 DSE DSE 1

More information

PRECISION COMPACT DISC PLAYER DP-75V

PRECISION COMPACT DISC PLAYER DP-75V PRECISION COMPACT DISC PLAYER DP-75V Accuphase warranty is valid only in Japan. 7 6 8 9 10 1 2 3 5 4 11 13 14 15 12 16 = CD/PROC PLAY PROGRAM REPEAT ALLONE A B LEVEL khz INDEX TRACK EXT M S db PROCESSOR

More information

IEEE (JTAG) Boundary-Scan Testing for Stratix II & Stratix II GX Devices

IEEE (JTAG) Boundary-Scan Testing for Stratix II & Stratix II GX Devices 4. Stratix II Stratix II GX IEEE 49. (JTAG) SII529-3. PCB PCB Bed-of-nails PCB 98 Joint Test Action Group (JTAG) IEEE Std. 49. (BST) PCB BST 4-4-. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin

More information

untitled

untitled 13 Verilog HDL 16 CPU CPU IP 16 1023 2 reg[ msb: lsb] [ ]; reg [15:0] MEM [0:1023]; //16 1024 16 1 16 2 FF 1 address 8 64 `resetall `timescale 1ns/10ps module mem8(address, readdata,writedata, write, read);

More information

Quartus II Handbook Volume 1: Qsys System Design Components

Quartus II Handbook Volume 1: Qsys System Design Components 10 更新情報 Qsys IP コンポーネントを使用すれば Qsys システムが作成可能となります Qsys インタフェースには 高速データのストリーミング レジスタおよびメモリのリードとライト オフチップ デバイスの制御 コンポーネント間でのデータ転送に適したコンポーネントが含まれます Qsys は Avalon AMBA AXI3 ( バージョン 1.0) AMBA AXI4 ( バージョン 2.0)

More information

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

if clear = 1 then Q <=  ; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst = VHDL 2 1 VHDL 1 VHDL FPGA VHDL 2 HDL VHDL 2.1 D 1 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; regs.vhdl entity regs is clk, rst : in std_logic; clear : in std_logic; we

More information

コンフィギュレーション & テスト

コンフィギュレーション & テスト SIIGX51005-1.0 5. & IEEE Std. 1149.1 (JTAG) Stratix II GX IEEE Std. 1149.1 JTAG BST JTAG Stratix II GX Quartus II Jam (.jam) Jam Byte-Code (.jbc) JTAG Stratix II GX JTAG BST IOE I/O JTAG CONFIG_IO I/O

More information

Stratix IIデバイス・ハンドブック Volume 1

Stratix IIデバイス・ハンドブック Volume 1 3. & SII51003-4.0 IEEE Std. 1149.1 JTAG Stratix II IEEE Std. 1149.1 JTAG BST JTAG Stratix II Quartus II Jam.jam Jam Byte-Code.jbc JTAG Stratix II JTAG BST IOE I/O JTAG CONFIG_IO I/O Stratix II JTAG Stratix

More information

AccessflÌfl—−ÇŠš1

AccessflÌfl—−ÇŠš1 ACCESS ACCESS i ii ACCESS iii iv ACCESS v vi ACCESS CONTENTS ACCESS CONTENTS ACCESS 1 ACCESS 1 2 ACCESS 3 1 4 ACCESS 5 1 6 ACCESS 7 1 8 9 ACCESS 10 1 ACCESS 11 1 12 ACCESS 13 1 14 ACCESS 15 1 v 16 ACCESS

More information

D-Link DWL-3500AP/DWL-8500AP 設定ガイド

D-Link DWL-3500AP/DWL-8500AP 設定ガイド 2 2001-2009 D-Link Corporation. All Rights Reserved. 3 4 2001-2009 D-Link Corporation. All Rights Reserved. 5 NOTE: 6 2001-2009 D-Link Corporation. All Rights Reserved. 7 8 2001-2009 D-Link Corporation.

More information

HLS はじめてガイド - 簡易チュートリアル

HLS はじめてガイド - 簡易チュートリアル ALTIMA Company, MACNICA, Inc. HLS はじめてガイド簡易チュートリアル Ver.17.1 2018 年 9 月 Rev.2 HLS はじめてガイド簡易チュートリアル 目次 はじめに...3 評価環境...3 インテル HLS コンパイラ...5 インテル HLS コンパイラの概要... 5 インテル HLS コンパイラ使用時に必要なソフトウェア... 6 事前準備 (

More information

PRIMERGY BX900 S1 シャーシ 環境設定シート

PRIMERGY BX900 S1 シャーシ 環境設定シート PRIMERGY BX900 1 シャーシ環境設定シート 本書の読み方 A B ハードウェア構成シート本サーバにおけるハードウェアの構成と各種セットアップのを記録します 障害連絡シート障害が発生した場合にサーバの状態を記入します 製品の呼び方 本文中の製品名称を 次のように略して表記します 製品名称本文中の表記本サーバ またはサーバ PRIMERGY BX900 ( ブレードサーバ全体を指します )

More information

strtok-count.eps

strtok-count.eps IoT FPGA 2016/12/1 IoT FPGA 200MHz 32 ASCII PCI Express FPGA OpenCL (Volvox) Volvox CPU 10 1 IoT (Internet of Things) 2020 208 [1] IoT IoT HTTP JSON ( Python Ruby) IoT IoT IoT (Hadoop [2] ) AI (Artificial

More information

I TCP 1/2 1

I TCP 1/2 1 I TCP 1/2 1 Transport layer: a birds-eye view Hosts maintain state for each transport endpoint Routers don t maintain perhost state H R R R R H Transport IP IP IP IP IP Copyright(C)2011 Youki Kadobayashi.

More information

tutorial_lc.dvi

tutorial_lc.dvi 00 Linux v.s. RT Linux v.s. ART-Linux Linux RT-Linux ART-Linux Linux kumagai@emura.mech.tohoku.ac.jp 1 1.1 Linux Yes, No.,. OS., Yes. Linux,.,, Linux., Linux.,, Linux. Linux.,,. Linux,.,, 0..,. RT-Linux

More information

AN 100: ISPを使用するためのガイドライン

AN 100: ISPを使用するためのガイドライン ISP AN 100: In-System Programmability Guidelines 1998 8 ver.1.01 Application Note 100 ISP Altera Corporation Page 1 A-AN-100-01.01/J VCCINT VCCINT VCCINT Page 2 Altera Corporation IEEE Std. 1149.1 TCK

More information

untitled

untitled FutureNet Microsoft Corporation Microsoft Windows Windows 95 Windows 98 Windows NT4.0 Windows 2000, Windows XP, Microsoft Internet Exproler (1) (2) (3) COM. (4) (5) ii ... 1 1.1... 1 1.2... 3 1.3... 6...

More information

thesis.dvi

thesis.dvi H8 e041220 2009 2 Copyright c 2009 by Kentarou Nagashima c 2009 Kentarou Nagashima All rights reserved , H8.,,,..,.,., AKI-H8/3052LAN. OS. OS H8 Write Turbo. H8 C, Cygwin.,., windows. UDP., (TA7279P).,.

More information

44 4 I (1) ( ) (10 15 ) ( 17 ) ( 3 1 ) (2)

44 4 I (1) ( ) (10 15 ) ( 17 ) ( 3 1 ) (2) (1) I 44 II 45 III 47 IV 52 44 4 I (1) ( ) 1945 8 9 (10 15 ) ( 17 ) ( 3 1 ) (2) 45 II 1 (3) 511 ( 451 1 ) ( ) 365 1 2 512 1 2 365 1 2 363 2 ( ) 3 ( ) ( 451 2 ( 314 1 ) ( 339 1 4 ) 337 2 3 ) 363 (4) 46

More information

Quartus II Web Edition インストール・ガイド

Quartus II Web Edition インストール・ガイド ver. 9.01 2009 年 9 月 1. はじめに Quartus II Web Edition ソフトウェアは アルテラの低コスト FPGA および CPLD ファミリの開発に必要な環境一式が含まれた無償パッケージです 回路図とテキスト形式によるデザイン入力 統合された VHDL と Verilog HDL 合成 サードパーティ ソフトウェアへのサポート SOPC Builder システム生成ソフトウェア

More information

SystemC 2.0を用いた簡易CPUバスモデルの設計

SystemC 2.0を用いた簡易CPUバスモデルの設計 SystemC 2.0 CPU CPU CTD&SW CT-PF 2002/1/23 1 CPU BCA UTF GenericCPU IO (sc_main) 2002/1/23 2 CPU CPU CQ 1997 11 Page 207 4 Perl Verilog-HDL CPU / Verilog-HDL SystemC 2.0 (asm) ROM (test.hex) 2002/1/23

More information

「FPGAを用いたプロセッサ検証システムの製作」

「FPGAを用いたプロセッサ検証システムの製作」 FPGA 2210010149-5 2005 2 21 RISC Verilog-HDL FPGA (celoxica RC100 ) LSI LSI HDL CAD HDL 3 HDL FPGA MPU i 1. 1 2. 3 2.1 HDL FPGA 3 2.2 5 2.3 6 2.3.1 FPGA 6 2.3.2 Flash Memory 6 2.3.3 Flash Memory 7 2.3.4

More information

Nios II カスタム・インストラクションによるキャスト(型変換)の高速化

Nios II カスタム・インストラクションによるキャスト(型変換)の高速化 ver. 9.1 2009 年 12 月 1. はじめに Nios II にオプションで実装できる浮動小数演算カスタム インストラクションは 浮動小数四則演算はサポートしているものの 整数から浮動小数にキャスト ( 型変換 ) する機能やその逆の機能は備えていません この資料では 単精度浮動小数型と整数型の変換を簡単に Nios II のカスタム インストラクションに実装する方法を紹介しています なお

More information

入門ガイド

入門ガイド ii iii iv NEC Corporation 1998 v P A R 1 P A R 2 P A R 3 T T T vi P A R T 4 P A R T 5 P A R T 6 P A R T 7 vii 1P A R T 1 2 2 1 3 1 4 1 1 5 2 3 6 4 1 7 1 2 3 8 1 1 2 3 9 1 2 10 1 1 2 11 3 12 1 2 1 3 4 13

More information

i ii i iii iv 1 3 3 10 14 17 17 18 22 23 28 29 31 36 37 39 40 43 48 59 70 75 75 77 90 95 102 107 109 110 118 125 128 130 132 134 48 43 43 51 52 61 61 64 62 124 70 58 3 10 17 29 78 82 85 102 95 109 iii

More information

PCI-Express ハード IP を使用した DMA 転送の実現 for Cyclone V GT FPGA 開発キット(ハードウェア編)

PCI-Express ハード IP を使用した DMA 転送の実現 for Cyclone V GT FPGA 開発キット(ハードウェア編) ALTIMA Corp. PCI-Express ハード IP を使用した DMA 転送の実現 for Cyclone V GT FPGA 開発キット ( ハードウェア編 ) ver.1 2015 年 4 月 Rev.3 ELSENA,Inc. PCI-Express ハード IP を使用した DMA 転送の実現 for Cyclone V GT FPGA 開発キット ( ハードウェア編 ) 目次

More information

cpu2007lectureno2.ppt

cpu2007lectureno2.ppt Cache Cache Cache cache cache 17.10.2007 1 17.10.2007 2 Cache Register:FF circuits Cache:Bipolar,CMOS SRAM Main Storage:SRAM,DRAM Disk Cache:DRAM 17.10.2007 3 SRAM Cell Structure (1 bit) 17.10.2007 4 temporal

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ社ツール Qsys を利用した Smart-USB Plus 製品用リファレンス回路 GPIF-AVALON ブリッジ回路 1. QuartusII の Qsys システム統合ツールで利用できる GPIF-AVALON ブリッジとは? GPIF-AVALON ブリッジとは 当社製 USB2.0 システムコアである Smart-USB Plus 製品 の外部インターフェース GPIF と ALTERA

More information

PRECISION DIGITAL PROCESSOR DC-101

PRECISION DIGITAL PROCESSOR DC-101 PRECISION DIGITAL PROCESSOR Accuphase warranty is valid only in Japan. 2 3 1 4 5 IN 6 10 11 7 8 9 12 3 INPUT LEVEL(dB) 2 4 5 PRECISION DIGITAL PROCESSOR STEREO MHZ SELECTIVITY METER NORMAL SIGNAL MEMORY

More information

i TCP/IP NIC Intel 3com NIC TCP/IP *1 20 IPv4 IPv6 IPv6 TCP/IP TCP/IP *1 3

i TCP/IP NIC Intel 3com NIC TCP/IP *1 20 IPv4 IPv6 IPv6 TCP/IP TCP/IP *1 3 i TCP/IP NIC Intel 3com NIC TCP/IP 78 90 500 *1 20 IPv4 IPv6 IPv6 TCP/IP TCP/IP 79 80 *1 3 ii IPv4 IPv4 *2 *3 IPv6 5 IPv6 UDP UDP IP UDP IP TCP/IP IPv6 IPv4 TCP/IP IPv6 TCP/IP TCP/IP TCP/IP TCP/IP IPv6

More information

~~~~~~~~~~~~~~~~~~ wait Call CPU time 1, latch: library cache 7, latch: library cache lock 4, job scheduler co

~~~~~~~~~~~~~~~~~~ wait Call CPU time 1, latch: library cache 7, latch: library cache lock 4, job scheduler co 072 DB Magazine 2007 September ~~~~~~~~~~~~~~~~~~ wait Call CPU time 1,055 34.7 latch: library cache 7,278 750 103 24.7 latch: library cache lock 4,194 465 111 15.3 job scheduler coordinator slave wait

More information

エミフィルによるノイズ対策 アプリケーション編

エミフィルによるノイズ対策 アプリケーション編 .pdf Noise Suppression by EMIFIL Application Guide Application Manual Cat.No.C35-2 .pdf .pdf .pdf 2 .pdf CD-ROM Power Supply CPU Gate Array RAM ROM Driver Driver Driver USB Chip Set Mouse Keyboard Display

More information

論理設計の基礎

論理設計の基礎 . ( ) IC (Programmable Logic Device, PLD) VHDL 2. IC PLD 2.. PLD PLD PLD SIC PLD PLD CPLD(Complex PLD) FPG(Field Programmable Gate rray) 2.2. PLD PLD PLD I/O I/O : PLD D PLD Cp D / Q 3. VHDL 3.. HDL (Hardware

More information

No Slide Title

No Slide Title 1 2 3 4 5 6 7 8 9 60 50 40 30 20 10 0 10 Computer 4-Port Hub PoE Clock PDA or Tablet VoIP Phone Wireless Access Point IP Security Camera Laptop Watts Power Consumption (W atts) Range of Operation 11 Switching

More information

Revision

Revision Revision 0 Lattice Mico32 222-8561 1-6-3 1 045-470-9841 FAX 045-470-9844 ... 1... 1... 1 LatticeMico32... 2 Mico32... 3... 3... 4 Microprocessor Platform isplever Project... 5 MSB(MicoSystemBuilder)...

More information

Architecture Device Speciication Transceiver Coniguration Select Options in the Dynamic Reconiguration Controller (i required) Clocking Imp

Architecture Device Speciication Transceiver Coniguration Select Options in the Dynamic Reconiguration Controller (i required) Clocking Imp 2. SIV53002-3.0 Stratix IV GX 2 3 2 7 2 9 2 10 2 11 2 13 2 1 2009 3 Altera Corporation Stratix IV Device Handbook Volume 3 2 2 2 2 1. Architecture Device Speciication Transceiver Coniguration Select Options

More information

スライド 1

スライド 1 RX62N 周辺機能紹介データフラッシュ データ格納用フラッシュメモリ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ データフラッシュの概要 プログラムサンプル 消去方法 書き込み方法 読み出し方法 FCUのリセット プログラムサンプルのカスタマイズ 2 データフラッシュの概要 3 データフラッシュとは フラッシュメモリ

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

IP.dvi

IP.dvi ... 3... 3... 3... 4... 6 VLAN... 6... 6 DHCP... 7... 7... 9... 9... 10... 12 R... 15... 15... 15 ARP... 18... 18 ARP... 18 DNS... 20... 20 DHCP/BOOTP... 21... 21 DHCP... 22 UDP... 23... 23... 23... 26...

More information

1 10 1113 14 1516 1719 20 21 22 2324 25 2627 i 2829 30 31 32 33 3437 38 3941 42 4344 4547 48 4950 5152 53 5455 ii 56 5758 59 6061 iii 1 2 3 4 5 6 7 8 9 10 PFI 30 20 10 PFI 11 12 13 14 15 10 11 16 (1) 17

More information

FUJITSU ULTRA LVD SCSI Host Bus Adapter Driver 3.0 説明書

FUJITSU ULTRA LVD SCSI Host Bus Adapter Driver 3.0 説明書 C120-E285-10Z2 FUJITSU ULTRA LVD SCSI Host Bus Adapter Driver 3.0 - for Oracle Solaris - () FUJITSU ULTRA LVD SCSI Host Bus Adapter 3.0 SCSI/SAS SCSI/SAS HBA(Host Bus Adapter) WARNING:

More information

main.dvi

main.dvi ASIC, LIBRARY&TOOLS ASIC PCI 1998/12/18 (Revision 1.6) Revision 1.6 PCI Revision 1.2 Revision 1.3 1. BusError. 2. AD, nc BE, PAR, ADenb, C BEenb, PARenb.,,,. Revision 1.3 Revision 1.4 1. PCI RST# input

More information

自動シャットタ<3099>ウンクイックインストールカ<3099>イト<3099>.indb

自動シャットタ<3099>ウンクイックインストールカ<3099>イト<3099>.indb OMRON Corporation. 2011 All Rights Reserved. 2 3 4 5 6 7 8 9 10 11 12 13 14 15 title Red Hat Enterprise Linux Server (2.6.18-8.el5xen serial) root (hd0,1) kernel /xen.gz-2.6.18-8.el5 console=vga xencons=ttys16

More information

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用 WP-01034-1.0/JP DLL (PVT compensation) 90 PLL PVT compensated FPGA fabric 90 Stratix III I/O block Read Dynamic OC T FPGA Write Memory Run Time Configurable Run Time Configurable Set at Compile dq0 dq1

More information

SoC はじめてガイド - HPS-FPGA 間のアクセス方法(Arria® V SoC / Cyclone® V SoC 編)

SoC はじめてガイド - HPS-FPGA 間のアクセス方法(Arria® V SoC / Cyclone® V SoC 編) ALTIMA Company, MACNICA, Inc. SoC はじめてガイド HPS-FPGA 間のアクセス方法 (Arria V SoC / Cyclone V SoC 編 ) Ver.17 2017 年 7 月 Rev.1 ELSENA,Inc. SoC はじめてガイド HPS-FPGA 間のアクセス方法 (Arria V SoC / Cyclone V SoC 編 ) 目次 はじめに...3

More information

これわかWord2010_第1部_100710.indd

これわかWord2010_第1部_100710.indd i 1 1 2 3 6 6 7 8 10 10 11 12 12 12 13 2 15 15 16 17 17 18 19 20 20 21 ii CONTENTS 25 26 26 28 28 29 30 30 31 32 35 35 35 36 37 40 42 44 44 45 46 49 50 50 51 iii 52 52 52 53 55 56 56 57 58 58 60 60 iv

More information

パワポカバー入稿用.indd

パワポカバー入稿用.indd i 1 1 2 2 3 3 4 4 4 5 7 8 8 9 9 10 11 13 14 15 16 17 19 ii CONTENTS 2 21 21 22 25 26 32 37 38 39 39 41 41 43 43 43 44 45 46 47 47 49 52 54 56 56 iii 57 59 62 64 64 66 67 68 71 72 72 73 74 74 77 79 81 84

More information

これでわかるAccess2010

これでわかるAccess2010 i 1 1 1 2 2 2 3 4 4 5 6 7 7 9 10 11 12 13 14 15 17 ii CONTENTS 2 19 19 20 23 24 25 25 26 29 29 31 31 33 35 36 36 39 39 41 44 45 46 48 iii 50 50 52 54 55 57 57 59 61 63 64 66 66 67 70 70 73 74 74 77 77

More information

Microsoft PowerPoint - Ppt ppt[読み取り専用]

Microsoft PowerPoint - Ppt ppt[読み取り専用] 28nm FPGA の 最新メモリ インタフェース技術 2011 年 9 月 6 日日本アルテラ株式会社シニア プロダクト マーケティング マネージャ橋詰英治 アジェンダ 28nm FPGA 製品の概要 28nm FPGA 製品における外部メモリ インタフェース ソリューション 2 28nm FPGA 製品の概要 業界で最も広範な 28nm 製品ポートフォリオ 28nm 製品ポートフォリオ E, GX,

More information

untitled

untitled + From Tradeoffs of Receive and Transmit Equalization Architectures, ICC006,Bryan Casper, Intel Labs Transmitter Receiver 0 magnitude (db) 0 0 30 40 50 60 0 4 frequency (GHz). Receiver Transmitter FFE

More information

卓球の試合への興味度に関する確率論的分析

卓球の試合への興味度に関する確率論的分析 17 i 1 1 1.1..................................... 1 1.2....................................... 1 1.3..................................... 2 2 5 2.1................................ 5 2.2 (1).........................

More information

PJta_h1h4_0329.ai

PJta_h1h4_0329.ai 0120-119-110 1-2-1 100-8050 http://www.tokiomarine-nichido.co.jp/ 24365 0120-691-300 98 http://www.tokiomarine-nichido.co.jp/ 201071 19 P.37 P.816 P.17 P.1819 contents 1 2 3 4 5 6 1 2 3 4 http://www.tokiomarine-nichido.co.jp/

More information

−ÈŁÛ05/‚æ4‘Í

−ÈŁÛ05/‚æ4‘Í CONTENTS 1. 50 2. 51 3. 52 52. 6 1 6 2 6 3 65 65 5. 66 6. 67 1 67 2 67 3 68 7. 69 8. 69 1 69 2 69 3 70 70 9. 71 9 1 50 2 51 52 3 53 5 55 56 57 58 59 60 61 62 63 1 2 6 3 65 5 66 6 1 2 67 3 68 7 8 1 2 69

More information