4-3-1 デバイス 作 製 デバイス 特 性 AlGaN/GaN HEMT バッファリークの 光 応 答 実 験 方 法 実 験 結 果 ディスカッション まとめ

Size: px
Start display at page:

Download "4-3-1 デバイス 作 製... 52 4-3-2 デバイス 特 性... 52 4-4 AlGaN/GaN HEMT バッファリークの 光 応 答... 53 4-4-1 実 験 方 法... 53 4-4-2 実 験 結 果... 54 4-4-3 ディスカッション... 55 4-5 まとめ"

Transcription

1 第 1 章 序 論 本 研 究 の 背 景 本 研 究 の 目 的 本 論 文 の 構 成 第 1 章 の 参 考 文 献... 9 第 2 章 本 研 究 に 関 する 諸 原 理 はじめに 窒 化 ガリウム(GaN) 結 晶 AlGaN/GaN HEMT 構 造 GaN on GaN Schottky バリアダイオード 構 造 MOCVD 成 長 DLTS 測 定 第 2 章 の 参 考 文 献 第 3 章 炭 素 ドープ AlGaN/GaN HEMT on SiC はじめに 低 濃 度 炭 素 ドープ GaN の 電 気 特 性 GaN エピタキシャル 層 構 造 GaN エピタキシャル 成 長 電 極 形 成 と 測 定 測 定 結 果 Schottky I-V HEMT デバイスの 挙 動 DLTS 測 定 フォトキャパシタンス 測 定 ディスカッション まとめ 第 3 章 の 参 考 文 献 第 4 章 AlGaN/GaN HEMT デバイス 実 証 例 に 関 する 報 告 はじめに リセスゲートによる E-mode AlGaN/GaN HEMT (1) デバイス 作 製 デバイス 特 性 リセスゲートによる E-mode AlGaN/GaN HEMT (2)

2 4-3-1 デバイス 作 製 デバイス 特 性 AlGaN/GaN HEMT バッファリークの 光 応 答 実 験 方 法 実 験 結 果 ディスカッション まとめ 第 4 章 の 参 考 文 献 第 5 章 n-gan SBD 構 造 中 の 炭 素 はじめに 実 験 方 法 結 果 と 考 察 SIMS および PL 測 定 シリコンドープ 濃 度 と 炭 素 ドープ 濃 度 及 びフリーキャリア 濃 度 の 相 関 I-V 特 性 炭 素 による 補 償 モデル まとめ 第 5 章 の 参 考 文 献 第 6 章 GaN on GaN 中 の 電 子 トラップ 密 度 に 関 する 検 討 はじめに 実 験 方 法 結 果 と 考 察 まとめ 第 6 章 の 参 考 文 献 第 7 章 結 論 謝 辞

3 第 1 章 序 論 1-1 本 研 究 の 背 景 窒 化 ガリウムを 主 材 料 とする AlGaN/GaN HEMT(high electron mobility transistor) の 高 周 波 パワーアンプ 用 途 での 実 用 化 が 進 んでいる[1-6] 典 型 的 な 用 途 の 一 つは 携 帯 電 話 など 移 動 端 末 用 の 基 地 局 (BTS: base transmission station)である BTS は L 帯 や S 帯 の 周 波 数 帯 において 変 調 された 高 周 波 信 号 を 53 dbm 約 200 W 以 上 の 出 力 で 放 出 する 従 来 BTS 用 の 高 周 波 パワーアンプには Si-LDMOS(laterally diffused metal oxide semiconductor)が 使 われていた しかし Si-LDMOS はデバイス 単 体 での 効 率 が 低 いことに 加 えて 単 位 面 積 当 たりのパワー 密 度 が 低 く またアンプ 動 作 における 整 合 損 失 も 大 きくなる 冷 却 系 統 も 複 雑 にならざるを 得 ないなど 課 題 が 多 かった このため Si-LDMOS を 用 いた BTS ではシステム 全 体 が 大 容 量 大 規 模 化 するとともに 激 しく 電 力 を 消 費 するという 問 題 点 があった スマートフォンを 中 心 とする 携 帯 端 末 が 発 展 途 上 国 を 含 めて 全 世 界 で 普 及 するようになって 久 しいが データトラフィックが 急 速 に 増 大 するなか S 帯 から C 帯 といった 更 に 高 い 周 波 数 領 域 の 応 用 が 検 討 されている 一 般 に 高 周 波 デバイスの 動 作 周 波 数 と 効 率 にはトレードオフの 関 係 があり Si-LDMOS を 用 いた 場 合 では 更 に 効 率 低 下 と 消 費 電 力 の 増 大 が 懸 念 されていた GaN HEMT デバイスの 登 場 は このような BTS の 設 計 環 境 を 一 変 させた GaN HEMT は 高 耐 圧 高 効 率 高 周 波 広 帯 域 小 チップ 面 積 などの 優 れた 特 性 を 併 せ 持 つ[7] GaN HEMT を BTS 用 アンプに 用 いることにより デバイス 単 体 での 効 率 を 向 上 できることに 加 えて 48 V の 高 電 圧 駆 動 によるシステムの 効 率 化 や 簡 略 化 冷 却 機 構 の 簡 略 化 それにともなうシステム 全 体 の 小 型 化 と 効 率 化 が 可 能 になる デバイス 単 体 のパワー 密 度 が 高 く 一 方 で 寄 生 容 量 が 小 さいことから 回 路 デザインも 簡 略 化 低 コスト 化 することが 可 能 になる[8] GaN HEMT の 優 れた 特 性 は 素 材 である GaN 結 晶 そのものの 物 性 に 由 来 する 3

4 表 1-1 に 主 な 半 導 体 結 晶 中 の 物 性 値 を 示 す GaN は Si や GaAs という 従 来 の 半 導 体 材 料 と 比 較 して 極 めて 高 い 破 壊 耐 圧 を 有 するとともに 高 飽 和 電 子 速 度 という 特 長 も 有 している また GaN は GaN と AlN の 混 晶 である AlGaN とヘテロ 接 合 することによ り ピエゾ 効 果 で cm -2 という 高 濃 度 の 2 次 元 電 子 ガスを 得 られるという 特 長 も 有 する[9] GaN は 高 電 圧 駆 動 できることから 投 入 電 力 に 対 して 接 触 抵 抗 など 寄 生 部 分 でのロスの 割 合 を 下 げることができ 高 効 率 となる また 高 移 動 度 であることからトラ ンジスタの 遮 断 周 波 数 を 上 げることが 出 来 る 一 方 でこのような GaN 材 料 の 優 れた 特 性 を 最 大 限 に 引 き 出 すためには 用 途 に 応 じて GaN 結 晶 が 低 欠 陥 密 度 であるなど 一 定 の 品 質 を 有 していることが 前 提 となる GaN HEMT 素 子 用 の GaN 結 晶 としては 通 常 MOCVD(metal organic chemical vapor deposition : 有 機 金 属 化 学 気 層 堆 積 法 )によりエピタキシャル 成 長 されたものが 用 いら れるが この GaN エピタキシャル 層 に 求 められる 物 性 としては 特 にバッファ 層 とな る 部 分 の GaN の 高 抵 抗 化 を 代 表 例 として 挙 げることができる バッファ 層 の 高 抵 抗 化 は 電 界 効 果 型 トランジスタのひとつである GaN HEMT において ゲートに 逆 バイアス を 印 可 してチャネルを 良 好 にピンチオフさせるための 必 要 最 低 限 の 特 性 である GaN はその 構 成 元 素 のひとつである N( 窒 素 )の 蒸 気 圧 が 高 いため 窒 素 空 孔 VN が 生 成 しやす いと 言 われる この VN は 浅 いドナー 準 位 を 形 成 するので アンドープの GaN は 通 常 は 高 抵 抗 とならず N 型 の 導 電 性 を 示 す[10-12] この 状 態 で GaN トランジスタを 製 作 しても ドレインコンダクタンス 増 大 の 影 響 によりデバイスはリークし 前 述 したよう な 高 いパフォーマンスを 得 ることは 出 来 ない よってバッファ 部 分 を 高 抵 抗 化 する 目 的 で GaN HEMT 用 GaN 結 晶 中 には 意 図 的 に 炭 素 或 いは 鉄 などの 不 純 物 をドープす ることが 行 われる[13-16] これら 不 純 物 は GaN HEMT トランジスタ 用 エピタキシャ ル 結 晶 の 成 長 に 不 可 欠 であるが 一 方 でこれらが 形 成 する 深 い 準 位 がカレントコラプス などのデバイス 特 性 と 相 関 するなどの 指 摘 があり 同 不 純 物 の 挙 動 を 明 らかにすること 4

5 が 求 められるという 背 景 があった[13-14] GaN HEMT の 実 用 化 が 高 周 波 の 分 野 で 進 む 一 方 インバーター 等 の 電 力 変 換 回 路 用 の スイッチング 素 子 などパワーデバイスの 分 野 での GaN 応 用 の 検 討 も 行 われている こ のパワーデバイス 需 要 は 今 後 著 しい 伸 びが 期 待 される[17-24] 基 幹 系 インフラを 始 め として HEV (hybrid electric vehicle)の 拡 大 が 期 待 される 自 動 車 分 野 太 陽 電 池 など のパワーコンディショナー 発 展 途 上 国 でのインバーター 需 要 などがパワーデバイスの 成 長 ドライバーである パワーデバイスの 性 能 指 標 は RON(オン 抵 抗 )で 表 され なおか つ RON はもう 一 つの 重 要 指 標 である 耐 圧 との 間 でトレードオフの 関 係 にあるのが 普 通 である 詳 細 に 述 べれば パワーデバイスの 効 率 を 測 る 上 では 導 通 損 失 とスイッチング 損 失 の 両 面 の 改 善 が 必 要 であり これは 単 位 面 積 当 りオン 抵 抗 すなわち RONA を 低 減 す ることによって 達 成 される 更 に 詳 細 に 述 べると RONA はドリフト 抵 抗 とチャネル 抵 抗 に 分 けられるが このうちドリフト 抵 抗 は 材 料 の 絶 縁 破 壊 電 界 強 度 EC によって 上 限 が きまっており 従 来 の Si 系 デバイスではいわゆる Si 限 界 が 唱 えられていた また Si デバイスは 微 細 加 工 技 術 で SJ(スーパージャンクション) 構 造 を 形 成 することによりそ の Si 限 界 を 超 えてきたが[25,26] 今 後 求 められる 省 電 力 化 の 要 求 に 追 随 するには 更 な る 超 微 細 化 が 求 められるという 課 題 があった 一 方 で 新 材 料 である SiC および GaN で は Si よりも 一 桁 高 い EC を 有 し 材 料 限 界 は Si の 1,000 倍 に 達 する[27] このため SiC および GaN を 用 いたパワーデバイスは Si デバイスを 凌 駕 するトレードオフ 改 善 すな わち 高 耐 圧 と 低 RON が 期 待 される 特 に GaN は 高 電 界 下 で 高 い 電 子 移 動 度 を 示 すため 高 いスイッチング 周 波 数 での 駆 動 も 可 能 であり システムに 含 まれるインダクターやコ ンデンサーなど 受 動 部 品 の 小 サイズ 化 も 実 現 する 一 方 でこのような GaN 材 料 の 優 れた 特 性 をパワーデバイスで 最 大 限 に 引 き 出 すために は デバイス 加 工 プロセスの 改 善 やデバイスレイアウトの 改 善 に 加 えて GaN 高 周 波 デバイスの 場 合 と 同 様 に GaN 結 晶 そのものが 一 定 の 品 質 を 有 していることが 求 めら 5

6 れる GaN on GaN ダイオードの 例 を 取 ると その 耐 圧 特 性 と RON 特 性 は 低 濃 度 でド ープされた GaN ドリフト 層 のフリーキャリア 濃 度 に 大 きく 依 存 する 具 体 的 にはシリ コンドープにより GaN ドリフト 層 のフリーキャリア 濃 度 を cm -3 前 後 に 制 御 す ることで 高 耐 圧 と 低 RON を 実 現 する これ 以 上 高 いフリーキャリア 濃 度 では 耐 圧 が 低 下 し 一 方 でこれ 以 下 の 低 いフリーキャリア 濃 度 ではオン 抵 抗 が 増 加 してしまう この cm -3 前 後 でのフリーキャリア 濃 度 の 制 御 実 現 における 課 題 が GaN ドリフト 層 中 の 不 純 物 である 特 にアクセプターサイトを 形 成 し ドープしたシリコンを 補 償 する 可 能 性 のある 炭 素 の 不 純 物 である 上 記 したとおり 電 子 デバイス 用 GaN 結 晶 としては 有 機 金 属 を 原 料 とする MOCVD 法 で 成 長 した GaN エピタキシャルウェハが 使 われ 原 料 から 脱 離 したメチル 基 等 から 炭 素 が GaN 中 に 混 入 する このため GaN ドリフト 中 におけるこの 炭 素 の 挙 動 を 明 らかにしたうえで GaN on GaN パワーデバイス 用 GaN エピタキシャル 層 の 構 造 設 計 およびエピタキシャル 成 長 条 件 を 最 適 化 することが 必 須 である このように 本 研 究 の 背 景 として GaN 高 周 波 デバイス 及 び GaN パワーデバイスの 実 現 と 高 性 能 化 には 材 料 である GaN エピタキシャル 結 晶 の 高 品 質 化 が 重 要 であって こ の 品 質 に 影 響 を 与 える 点 欠 陥 や 不 純 物 の GaN 中 におけるエネルギー 準 位 挙 動 等 を 把 握 し 制 御 することが 求 められていた 1-2 本 研 究 の 目 的 本 研 究 の 目 的 は 特 に GaN 系 エピタキシャル 結 晶 を 電 子 デバイス 用 材 料 として 使 用 す る 観 点 で 同 結 晶 に 含 まれる 欠 陥 準 位 やドーピングの 影 響 を 評 価 するとともに 最 終 的 には GaN 電 子 デバイスの 実 用 化 と 高 性 能 化 に 向 けて 結 晶 の 高 品 質 化 最 適 化 を 行 うこ とである 背 景 で 述 べた 通 り GaN 電 子 デバイスには 用 途 別 で 高 周 波 応 用 およびパワースイッチン 6

7 グデバイス 応 用 という 二 つが 考 えられるが それぞれに 適 する 構 造 は 異 なる 例 えば 高 周 波 応 用 では 高 電 子 移 動 度 の 2 次 元 電 子 ガスを 利 用 することが 望 ましいことから GaN HEMT 構 造 が 広 く 用 いられる GaN HEMT では 電 界 効 果 型 トランジスタに 求 められ る 基 本 的 な 特 性 であるピンチオフ 性 能 を 得 るため GaN のバッファ 部 分 を 高 抵 抗 化 す る 必 要 がある この 高 抵 抗 化 の 目 的 で 導 入 される 点 欠 陥 やドーパントは 電 流 コラプス 等 でトランジスタ 性 能 の 低 下 をもたらすことがあり このトレードオフが 課 題 である 本 研 究 ではバッファ 中 の 欠 陥 準 位 の 挙 動 を 明 らかにするとともに トレードオフ 解 消 の ために 設 計 した 変 調 炭 素 ドープ 構 造 のトランジスタ 性 能 を 示 す 一 方 のパワースイッチングデバイス 応 用 では 民 生 用 の 耐 圧 600 V までを 想 定 したもの では GaN HEMT 構 造 が 検 討 される 場 合 もあるが GaN の 特 長 を 活 かし 数 kv の 高 耐 圧 を 目 指 すパワーデバイスとしては 縦 型 ダイオード 構 造 が 候 補 として 期 待 される ダイ オードでは 耐 圧 を 稼 ぐためにドリフト 層 のフリーキャリア 濃 度 を 低 く 設 定 するが その 場 合 は RON が 高 くなるというトレードオフが 存 在 する 本 研 究 ではこのトレードオフの 最 適 点 をデバイスレベルで 実 証 するとともに フリーキャリア 濃 度 を 精 密 に 調 整 するた めに 必 要 となるドリフト 層 中 の 点 欠 陥 に 関 する 理 解 と 制 御 方 法 を 示 す 1-3 本 論 文 の 構 成 本 章 に 続 く 第 2 章 では まず 研 究 に 関 する 諸 原 理 の 説 明 を 説 明 する 具 体 的 には GaN 結 晶 一 般 についての 説 明 を 行 った 後 電 子 デバイス 応 用 例 の 一 つである AlGaN/GaN HEMT の 基 本 原 理 について 説 明 する 次 にもう 一 つの 応 用 例 である GaN SBD(Shottky barrier diode)の 構 造 と 耐 圧 指 標 についても 述 べたうえで これら GaN 系 エピタキシャ ル 結 晶 の 製 法 である MOCVD 法 について 説 明 する また 評 価 手 法 として 本 研 究 で 繰 り 返 し 用 いる DLTS(deep level transition spectroscopy)についても その 原 理 について 述 べる 7

8 第 3 章 では GaN HEMT のピンチオフ 特 性 を 向 上 させる 目 的 でドーピングする 炭 素 がデ バイス 動 作 特 性 に 与 える 影 響 およびその 原 因 を 検 討 する HEMT 動 作 においては 炭 素 を 変 調 ドープした 構 造 で 良 好 なピンチオフを 確 保 しつつ 電 流 コラプスを 低 減 でき ることを 示 す また DLTS とフォトキャパシタンスにより GaN バンドギャップの 大 部 分 をカバーできる 範 囲 で 欠 陥 準 位 の 評 価 を 行 った 結 果 AlGaN/GaN HEMT 動 作 で の 大 きなカレントコラプス 有 無 の 差 は 炭 素 起 因 の 深 い 欠 陥 準 位 に 関 連 付 け 出 来 ること を 明 らかにする 第 4 章 では 主 に 炭 素 ドープした GaN をバッファとして 用 いる HEMT 構 造 のデバイス レベルでの 実 証 例 を 示 す SiC 基 板 上 に 形 成 した AlGaN/GaN HEMT で E-mode 動 作 を 示 すとともに ゲート 長 1.0 μm のデバイスで 通 常 の D-mode 動 作 と 遜 色 ないレベ ルの 高 周 波 小 信 号 特 性 が 得 られることを 示 す また HEMT デバイスにおけるバッファ リークの 光 応 答 を 検 討 し 低 エネルギーの 光 照 射 で 励 起 されるフリーキャリアが GaN バンドギャップ 中 のトラップ 準 位 に 捕 獲 され 疑 似 的 にコラプス 状 態 を 引 き 起 こすメカ ニズムを 明 らかする 第 5 章 では GaN SBD におけるフリーキャリア 濃 度 と 耐 圧 および RON の 相 関 に 加 え て 低 濃 度 ドーピングした 炭 素 の 挙 動 を 検 討 する GaN 中 の 炭 素 の 補 償 率 がドーピン グ 濃 度 に 依 存 して 大 きく 変 動 する 現 象 を 示 し 濃 度 増 加 に 伴 って 炭 素 の 占 める 位 置 がド ナータイプの CGa からアクセプタータイプの CN へと 変 わる 2 準 位 モデルを 提 案 する またデバイス 実 証 の 面 ではダイオード 性 能 の 指 標 である 耐 圧 および RON のトレードオ フを 満 たすフリーキャリア 濃 度 の 最 適 値 を 示 す 第 6 章 では n-gan on GaN 層 中 における 比 較 的 浅 い 準 位 の 電 子 トラップを DLTS によ り 解 析 し 炭 素 が 2 準 位 間 でサイトチェンジするタイミングで E1 および E3 トラッ プが 消 失 することを 示 す この 結 果 として n-gan 中 で EC ev までの 比 較 的 浅 い 準 位 のトラップを ほぼ 完 全 に 抑 制 できること 示 す 8

9 第 7 章 は 結 論 である 本 研 究 で 検 討 した AlGaN/GaN HEMT on SiC お よ び n-gan on GaN SBD それぞれの 特 性 およびこれに 関 連 する GaN 中 の 欠 陥 準 位 およびドーピン グの 影 響 を 分 析 した 結 果 を 総 括 し 結 論 とする 1-4 第 1 章 の 参 考 文 献 [1] K. Joshin, T. Kikkawa, H. Hayashi, T. Maniwa, S. Yokokawa, M. Yokoyama, N. Adachi, M. Takikawa, A 174 W high-efficiency GaN HEMT power amplifier for W-CDMA base station applications, IEEE International Electron Devices Meeting 2003, IEDM '03 Technical Digest p (2003) [2] M. P. van der Heijden, M. Acar, J. S. Vromans, A compact 12-watt high-efficiency GHz class-e GaN HEMT power amplifier for base stations, '09. IEEE MTT-S International, Microwave Symposium Digest p.657 (2009) [3] F. Yamaki, K. Inoue,N. Ui, A. Kawano,S. Sano, A 65 % drain efficiency GaN HEMT with 200 W peak power for 20 V to 65 V envelope tracking base station amplifier, 2011 IEEE MTT-S International, 5, Microwave Symposium Digest p.1 (2011) [4] Y. Nakasha, S. Masuda, K. Makiyama, T. Ohki, M. Kanamura, N. Okamoto, T. Tajima, T. Seino, H. Shigematsu, K. Imanishi, T. Kikkawa, K. Joshin, N. Hara, E-Band 85-mW Oscillator and 1.3-W Amplifier ICs Using 0.12µm GaN HEMTs for Millimeter-Wave Transceivers, Compound Semiconductor Integrated Circuit Symposium (CSICS), 3-6 Oct. (2010) [5] A. Brown, K. Brown, J. Chwn, K.C. Hwang, N. Kolias, R. Scott, W-band GaN power amplifier MMICs 2011 IEEE MTT-S International Microwave 9

10 Symposium Digest(MTT), 5-10 June (2011) [6] M. Micovic, A. Kurdoghlian, K. Shinohara, S. Burnham, I. Milosavljevic, M. Hu, A. Corrion, A. Fung, R. Lin, L. Samoska, P. Kangaslahti, B. Lambrigtsen, P. Goldsmith, W. S. Wong, A. Schmitz, P. Hashimoto, P. J. Willadsen, D. H. Chow, W-Band GaN MMIC with 842 mw output power at 88 GHz, Microwave Symposium Digest (MTT), IEEE MTT-S International23-28 May, p.237 (2010) [7] 塩 島 謙 次, ワイドギャップ 半 導 体 材 料 の 特 徴 電 子 デバイス 開 発 の 観 点 から 電 気 学 会 論 文 誌 電 子 情 報 システム 部 門 誌 C 部 門 122-C, 6 ( 2002) [8] Y. S. Lee, M. W. Lee, Y. H. Jeong, Experimental analysis of GaN HEMT and Si LDMOS in analog predistortion power amplifiers for WCDMA applications, Microwave and Optical Technology Letters, Volume 50, Issue 2, pages , (2008) [9] J. P. Ibbetson, P. T. Fini, K. D. Ness, S. P. DenBaars, J. S. Speck, and U. K. Mishra, Polarization effect, surface state, and the source of electrons in AlGaN/GaN heterostructure field effect transistors, Appl. Phys. Lett., vol.77, p.250 (2000) [10] M. G. Ganchenkova, and R. M. Nieminen, Nitrogen Vacancies as Major Point Defects in Gallium Nitride, Phys. Rev. Lett. 96, (2006) [11] D. C. Look, G. C. Farlow, P. J. Drevinsky, D. F. Bliss and J. R. Sizelove, On the nitrogen vacancy in GaN, Appl. Phys. Lett. 83, 3525 (2003) [12] Z. Yang, L. K. Li, J. Alperin and W. I. Wang, Nitrogen Vacancy as the Donor: Experimental Evidence in the Ammonia Assisted Molecular Beam Epitaxy of GaN, J. Electrochem. Soc. volume 144, issue 10, (1997) [13] S. C. Binary, W. Kruppa, H. B. Dietrich, G. Kelner, A. E. Wichenden, and J. A. 10

11 Freitas, Fabrication and characterization of GaN FETs Solid State Electron. 41, 1549 (1997) [14] P. B. Klein, S. C. Birari, K. Ikossi, A. E. Wichenden, D. D. Koleske, and R. L. Henry, Current collapse and the role of carbon in AlGaN/GaN high electron mobility transistors grown by metalorganic vapor-phase epitaxy, Appl. Phys. Lett. 79, 3527 (2001) [15] T. Tanaka, Y. Moriya, M. Sahara, T. Yukimoto, H. Kamogawa, Y. Otoki, T. Mishima, Leak current in high resistive GaN buffer layer and its light responsiveness, Phys. Status Solidi C 4 (7) 2585 (2007) [16] Y. Kumagai, H. Murakami, Y. Kangawa, A. Koukitu, Growth and characterization of thick GaN layers with high Fe doping, physica status solidi (c) Volume 2, Issue 7, pages (2005) [17] Y. Saitoh, K. Sumiyoshi, M. Okada, T. Horii, T. Miyazaki, H. Shiomi, M. Ueno, K. Katayama, M. Kiyama, and T. Nakamura, Extremely Low On-Resistance and High Breakdown Voltage Observed in Vertical GaN Schottky Barrier Diodes with High-Mobility Drift Layers on Low-Dislocation-Density GaN Substrates, Appl. Phys. Express 3, (2010) [18] K. Mochizuki, T. Mishima, K. Nomoto, A. Terano, and T. Nakamura, Optical-Thermo-Transition Model of Reduction in On-Resistance of Small GaN p n Diodes, Jpn. J. Appl. Phys. 52, 08JN10 (2013) [19] K. Mochizuki, T. Mishima, Y. Ishida, Y. Hatakeyama, K. Nomoto, N. Kaneda, T. Tshuchiya, A. Terano, T. Tsuchiya, H. Uchiyama, S. Tanaka, and T. Nakamura, Determination of Lateral Extension of Extrinsic Photon Recycling in p-gan by Using Transmission-Line-Model Patterns Formed with GaN p n Junction 11

12 Epitaxial Layers, Jpn. J. Appl. Phys. 52, 08JN22 (2013) [20] Y. Hatakeyama, K. Nomoto, A. Terano, N. Kaneda, T. Tsuchiya, T. Mishima, and T. Nakamura, High-Breakdown-Voltage and Low-Specific-on-Resistance GaN p n Junction Diodes on Free-Standing GaN Substrates Fabricated Through Low-Damage Field-Plate Process, Jpn. J. Appl. Phys. 52, (2013) [21] Z. Z. Bandic, P. M. Bridger, E. C. Piquette, T. C. McGill, R. P. Vaudo, V. M. Phanse, and J. M. Redwing, High voltage 450 V GaN Schottky rectifiers, Appl. Phys. Lett. 74, 1266 (1999) [22] A. P. Zhang, J. W. Johnson, B. Luo, F. Ren, S. J. Pearton, S. S. Park, Y. J. Park, and J.-I. Chyi, Vertical and Lateral GaN Rectifiers on Free Standing GaN Substrates, Appl. Phys. Lett. 79, 1555 (2001) [23] J. W. Johnson, A. P. Zhang, W.-B. Luo, F. Ren, S. J. Pearton, S. S. Park, Y. J. Park, and J.-I. Chyi, Breakdown Voltage and Reverse Recovery Characteristics of Free-Standing GaN Schottky Rectifiers, IEEE Trans. Electron Devices 49, 32 (2002) [24] J. B. Limb, D. Yoo, J.-H. Ryou, W. Lee, S. C. Shen, and R. D. Dupuis, High performance GaN pin rectifiers grown on free-standing GaN substrates, Electron. Lett. 42, 1313 (2006) [25] T. Fujihira, Theory of Semiconductor Superjunction Devices, Jpn. J. Appl. Phys. Oct., vol.36, p (1997) [26] G. Deboy, N. Marz, J. P. Stengl, H. Strack, J. Tihanyi, H. Weber, A new generation of high voltage MOSFETs breaks the limit line of silicon, Electron Devices Meeting, IEDM '98. Technical Digest., International, 6-9 Dec. 1998, Page(s): (1998) 12

13 [27] A. M. Ozbek and B. J. Baliga Planar Nearly Ideal Edge-Termination Technique for GaN Devices : IEEE Electron Device Lett. 32, 300 (2011) 13

14 表 1-1 主 な 半 導 体 結 晶 における 物 性 値 Si GaAs SiC(4H) GaN(ZB) バンドギャップ [ev] 熱 伝 導 率 [W/cmK] 絶 縁 破 壊 電 界 [MV/cm] 電 子 移 動 度 [cm 2 /Vs] * * 正 孔 移 動 度 [cm 2 /Vs] 飽 和 電 子 速 度 [cm/s] *GaAs と GaN の 電 子 移 動 度 は HEMT 構 造 で 2 次 元 電 子 ガスが 形 成 された 場 合 の 値 14

15 第 2 章 本 研 究 に 関 する 諸 原 理 2-1 はじめに 第 2 章 ではまず 本 研 究 で 対 象 とする GaN 結 晶 の 特 徴 について 述 べる 次 にこの GaN 結 晶 を 用 いた 電 子 デバイスの 一 つである 高 周 波 デバイス 用 エピタキシャルウェハの 構 造 すなわち AlGaN/GaN HEMT 系 エピタキシャルウェハの 構 造 および 動 作 機 構 につ いて 述 べる また GaN 結 晶 を 用 いた 別 の 電 子 デバイス 応 用 例 である GaN パワーデバ イス 用 エピタキシャルウェハの 構 造 すなわち GaN on GaN ダイオードの 構 造 および 動 作 機 構 について 述 べる その 後 これら GaN エピタキシャル 結 晶 を 成 長 するための 方 法 である MOCVD について その 原 理 および 条 件 について 述 べる 最 後 に 結 晶 中 に おける 不 純 物 準 位 を 求 める 手 法 である DLTS の 原 理 について 述 べる 2-2 窒 化 ガリウム(GaN) 結 晶 GaN の 原 子 配 列 を 図 2-1 に 示 す ウルツ 鉱 型 構 造 の GaN は 六 方 晶 系 に 属 し 格 子 定 数 は 単 位 胞 の 底 辺 の 六 角 形 の 一 辺 の 長 さ a と,それに 垂 直 な 方 向 (c 軸 方 向 )の 単 位 周 期 c とで 表 される 同 構 造 において 各 原 子 は 4 本 の 結 合 手 を 有 して 近 接 する 原 子 と 結 合 している GaN に 類 似 する 結 晶 としては AlN および InN が 挙 げられる 各 半 導 体 材 料 の 格 子 定 数 およびバンドギャップの 相 関 を 図 2-2 に 示 す GaN は AlN および InN と 格 子 定 数 が 近 いため それぞれの 臨 界 膜 厚 以 下 であれば AlGaN や InGaN 或 いは InAlN や 更 には InGaAlN などの 三 元 および 四 元 混 晶 を 作 ることが 可 能 である これら を 積 層 させることにより バンドギャップの 異 なる 層 を 隣 接 させたヘテロエピタキシャ ル 界 面 を 形 成 することも 可 能 である InGaN/GaN の MQW(multi quantum well)であ る 青 色 LED[1-3]あるいは AlGaN/GaN の HEMT[4]などが このヘテロエピタキシャ ル 界 面 を 利 用 したデバイスの 一 例 である GaN を 含 む 窒 化 物 半 導 体 材 料 の 特 徴 の 一 つ 15

16 は これら 混 晶 の 組 み 合 わせが 広 いバンドギャップ 領 域 をカバーすることである 例 え ば GaAs を 含 むヒ 素 系 の 半 導 体 結 晶 ではナローギャップである InAs とワイドギャップ の AlAs のバンドギャップ 差 は 1.8 ev であるのに 対 して 窒 化 物 系 結 晶 ではナローギャ ップの InN の 0.8 ev[5, 6]とワイドギャップの AlN の 6.2 ev では 差 が 5.4 ev に 達 する この 差 の 大 きさがバンドギャップエンジニアリングによる 幅 広 いデバイス 設 計 を 可 能 とし 窒 化 物 結 晶 にかつてない 材 料 としてのポテンシャルをもたらしている 後 述 する ように SiC も GaN と 同 様 に 次 世 代 半 導 体 とされるが SiC は 基 本 的 にホモエピ 構 造 し か 設 計 できない 為 このようなヘテロエピタキシャル 界 面 を 利 用 できる 点 では 窒 化 物 半 導 体 がより 有 利 である 表 2-1 には 代 表 的 な 半 導 体 結 晶 の 物 性 値 を 再 掲 する[7] 近 年 注 目 される GaN や SiC の 物 性 として 特 記 すべき 点 は そのバンドギャップの 大 きさと 破 壊 電 界 強 度 の 大 きさであ る これらの 特 徴 は N や C などの 軽 元 素 を 含 んでいる 点 に 起 因 する すなわち 軽 元 素 は 原 子 半 径 が 小 さく 原 子 間 のボンディングエネルギーが 強 いため 格 子 定 数 が 小 さく バンドギャップが 大 きく なおかつ 融 点 が 高 い 結 晶 となる また GaN を 含 む 化 合 物 半 導 体 の 物 性 でみられるもう 一 つの 特 長 は その 高 速 性 にある 半 導 体 メモリーや 集 積 回 路 などの 産 業 で 主 に 用 いられる Si 結 晶 と 比 較 し GaAs や GaN は 高 い 移 動 度 飽 和 電 子 速 度 を 有 する これは 高 周 波 デバイスはもちろんのこと パワーデバイス 用 途 におい ても 高 速 スイッチング 等 で 有 利 である GaN 系 エピタキシャル 結 晶 の 製 法 としては MOCVD 法 を 用 い サファイア 基 板 上 に 低 温 緩 衝 層 を 介 したうえで 高 温 でトータル 5 μm 程 度 の GaN を Ga 面 を 最 表 面 として c 面 成 長 するというものが 一 般 であった[8] 基 板 にサファイアを 用 いる 理 由 は 幾 つかあ るが 最 も 大 きい 理 由 はそもそも 自 立 した GaN 基 板 が 存 在 しなかった 点 にある これ は 常 圧 での 窒 素 の 蒸 気 圧 が 高 いため 安 定 した 条 件 下 で 液 相 成 長 を 行 うことが 極 めて 困 難 であることに 起 因 する また 後 述 する AlGaN の 分 極 にも 関 連 するが Ga 面 を 最 表 16

17 面 とする c 面 成 長 を 行 うのは この 面 で 低 欠 陥 かつ 平 坦 性 に 優 れるエピタキシャル 層 を 得 るのが 容 易 なためである サファイアの 他 には SiC 基 板 を 用 いる 場 合 も 多 い[9] SiC と GaN は 格 子 定 数 が 近 いため GaN on SiC は GaN on サファイアよりも 高 品 質 な 結 晶 を 得 られるという 特 長 があるのに 加 えて SiC は 熱 伝 導 率 が 高 いため 放 熱 性 に 優 れてお り 出 力 が 放 熱 で 制 約 される 高 周 波 デバイスでは on SiC を 使 うメリットが 特 に 大 きい 為 である 例 えば BTS や 気 象 レーダーなどで 実 用 化 されている 高 周 波 GaN HEMT は ほぼ 全 てが GaN on SiC である 更 には 近 年 になって HVPE(hydride vapor phase epitaxy)という 気 相 成 長 法 を 用 いた GaN 自 立 基 板 が 開 発 され 長 年 の 課 題 であった GaN on GaN のホモエピタキシャル 成 長 が 可 能 となった[10-12] GaN on GaN の 最 大 の 特 長 は その 低 転 位 密 度 にある サファイア 或 いは SiC を 基 板 として 用 いるヘテロ 成 長 では 仮 にバッファ 層 を 数 μm 厚 さで 積 んだとしても 転 位 密 度 を cm -2 以 下 に 抑 えることは 困 難 であるが GaN on GaN であれば 容 易 に cm -2 乗 台 の 転 位 密 度 実 現 が 可 能 である このため GaN on GaN は 高 出 力 高 信 頼 性 が 求 められるデバイ スで 直 ちに 実 用 化 が 図 られ 一 例 としては 青 色 レーザーを 用 いた 高 密 度 記 録 メディアな どに 応 用 されている また 後 述 するようにパワースイッチングデバイス 向 けとして 研 究 される GaN ダイオードも GaN on GaN が 主 流 である 2-3 AlGaN/GaN HEMT 構 造 図 2-3 には 基 本 的 な GaN HEMT 用 エピタキシャルウェハの 構 造 を 示 す 材 料 としては 半 絶 縁 SiC 基 板 上 に 形 成 される AlN 層 GaN 層 および AlGaN 層 からなり 必 要 に 応 じで AlGaN 層 の 上 に 更 に GaN 層 を 形 成 する 場 合 もある[13] この 構 造 を AlGaAs/GaAs のヒ 素 系 材 料 を 用 いた HEMT と 比 較 した 場 合 に 特 徴 的 な 点 は AlGaN と GaN 界 面 近 傍 の AlGaN 側 に 分 極 による 大 きな 正 電 荷 が 生 じ これに 起 因 して 同 界 面 近 傍 の GaN 側 に 高 濃 度 の 二 次 元 電 子 ガス(2DEG: 2 dimensional electron gas)が 形 成 されることで 17

18 ある[14] AlGaN に 生 じる 分 極 は 自 発 分 極 差 およびピエゾ 分 極 の 二 つからなる P= PSP+PPE 式 (2-3-1) 自 発 分 極 ( 英 語 で spontaneous polarization)はイオン 半 径 差 が 大 きい III 族 イオンと V 族 イオンが 反 転 対 称 を 持 たない 六 方 晶 中 に 配 置 されることにより c 軸 (0001) 方 向 に 自 然 と 生 じるもので AlGaN と GaN どちらにも 存 在 する このうち 二 次 元 電 子 ガス 形 成 に 影 響 する AlGaN と GaN の 分 極 差 PSP は 次 の 式 で 求 まる ΔPSP=PSP(AlGaN)-PSP(GaN) 式 (2-3-2) また PSP(AlGaN)は Al 混 晶 比 が x の 時 次 の 式 で 表 される PSP(AlGaN)=PSP(AlN) x + PSP(GaN) (1 x) 式 (2-3-3) 次 にピエゾ 分 極 ( 英 語 で piezoelectric polarization)であるが これは AlGaN が 格 子 定 数 の 異 なる GaN 上 に 臨 界 膜 厚 以 下 で 形 成 された 際 格 子 定 数 の 小 さい AlGaN が 横 方 向 に 引 き 伸 ばされることによって 格 子 内 に 配 置 されたイオンに 位 置 ずれが 生 じること で 内 部 電 界 が 発 生 する 現 象 である 具 体 的 に AlGaN に 生 じるピエゾ 分 極 は 次 の 式 で 求 められる PP PPPP = 2 aa(0) aa(xx) ee aa(0) 31 (xx) ee 33 (xx) CC 31(xx) 式 (2-3-4) CC 33 (xx) ここで a は 格 子 定 数 e は 各 圧 電 係 数 C は 各 弾 性 定 数 である また 表 2-2 に 主 な 定 数 を 示 す 例 えば Al の 混 晶 比 が 25%の AlGaN/GaN ヘテロ 界 面 に 生 じる 分 極 の 総 量 は P= PSP+PPE = = Cm -2 となる これを 2 次 元 電 子 ガス 濃 度 に 換 算 すると cm -2 となる 実 際 には 最 表 面 の 状 態 や 結 晶 中 に 存 在 する 点 欠 陥 や 不 純 物 の 影 響 を 受 けて 若 干 変 化 するものの AlGaAs/GaAs のヒ 素 系 HEMT と 較 べるとこの 数 値 は 5 倍 以 上 であり いかに GaN 系 結 晶 が 高 出 力 化 に 有 利 であるかが 判 る AlGaN/GaN ヘテロ 界 面 付 近 のバンド 構 造 を 模 式 的 に 図 2-4 に 示 す AlGaN 側 に 存 在 18

19 する 正 のチャージがポテンシャルを 押 し 下 げてコンダクションバンドの 一 部 がフェル ミ 準 位 を 下 回 ることによりここに 2 次 元 電 子 ガスが 蓄 積 するが AlGaN と GaN の 電 子 親 和 力 の 差 から 2 次 元 電 子 ガスの 波 動 関 数 は 主 に GaN 側 に 存 在 し 一 方 で AlGaN へ の 波 動 関 数 のしみだしは 少 量 に 留 まる このため 2 次 元 電 子 ガスは AlGaN による 合 金 散 乱 の 影 響 を 受 けにくく 高 電 子 移 動 度 を 示 す AlGaN 上 への 電 極 形 成 として まず Ohmic 接 触 が 必 要 なソース 電 極 とドレイン 電 極 に は 仕 事 関 数 が 低 い Ti/Al が 用 いられる[15] これら 金 属 は EB 蒸 着 などにより AlGaN 上 に 堆 積 されたあと 650~800 程 度 の RTA (rapid thermal anneal) 処 理 することによ って 低 いコンタクト 抵 抗 が 実 現 される Schottky 接 触 が 必 要 なゲート 電 極 には 障 壁 高 さが 高 く また 窒 化 物 を 形 成 しにくい Ni が 主 に 用 いられる Pt あるいは Pd 等 の 金 属 を 用 いる 場 合 もあるが 特 に Ni は 密 着 性 が 優 れるなどの 理 由 で 広 く 使 われる HEMT の 素 子 間 分 離 にはイオン 注 入 による 高 抵 抗 化 或 いはメサエッチングが 行 われ る GaN は 安 定 した 材 料 なのでウエットエッチングが 極 めて 困 難 であるため メサに よる 素 子 間 分 離 は 主 に ICP (inductively coupled plasma)などのドライエッチングを 用 いる AlGaN/GaN HEMT のトランジスタ 駆 動 はソース-ドレイン 間 に 電 圧 印 可 Vds した 状 態 でゲート 電 極 に 変 調 信 号 Vg を 入 力 することによる 理 想 化 での HEMT のドレイン 電 流 Id は 次 の 式 で 求 められる εε ssμμww gg 2 II dd = VV LL gg (dd+ dd) gg VV tth VV dddd VV dddd 式 (2-3-5) 2 ただしεs は 誘 電 率 μは 移 動 度 Wg はゲート 幅 Lg はゲート 長 d は AlGaN 厚 さ でΔd は AlGaN/GaN 界 面 から 2 次 元 電 子 ガスピーク 位 置 までの 補 正 量 Vth は 閾 値 電 圧 である 後 述 するように AlGaN/GaN HEMT では 結 晶 中 の 点 欠 陥 等 にトラップされたフリーキ 19

20 ャリアが 疑 似 的 にゲートとして 作 用 する いわゆる 電 流 コラプスが 生 じる[16] この 現 象 が 生 じていると Vg が 深 くなるのと 同 様 の 作 用 が 生 じ ドレイン 電 流 が 低 下 する こ ちらの 現 象 の 詳 細 は 第 3 章 以 降 で 述 べる 2-4 GaN on GaN Schottky バリアダイオード 構 造 GaN on GaN Schottky バリアダイオードの 基 礎 的 な 概 念 図 を 図 2-5 に 示 す ウェハ 材 料 としては 導 電 性 GaN 基 板 の 上 にバッファ 層 として 2 μm 程 度 の 高 フリーキャリア 濃 度 n-gan 層 を 形 成 更 にその 上 に 厚 い 低 フリーキャリア 濃 度 の n-gan ドリフト 層 を 形 成 する 基 板 裏 面 に Ohmic 電 極 を 形 成 し また 表 面 側 に Schottky 電 極 を 形 成 してそ の 整 流 動 作 を 利 用 する 動 作 としては Shottky 電 極 を 順 方 向 に V ボルトバイアスした 際 に 次 の 電 流 I がえられる II = II ss eeeeee qqqq nnnnnn 1 式 (2-4-1) ここで q は 電 荷 素 量 n は 理 想 因 子 k はボルツマン 定 数 T は 温 度 であり また Is は 次 の 式 で 求 められる II ss = SSAA TT 2 eeeeee qqφφ BB kkkk 式 (2-4-2) ここで S はダイオードの 面 積 φb はショットキー 障 壁 高 さ A**はリチャードソン 係 数 で 次 の 式 で 表 される ここで m は 電 子 の 有 効 質 量 h はプランク 定 数 である また RON は 次 の 式 で 求 められる RR OOOO = WW DD AA = 4ππππkk2 qq h 3 式 (2-4-3) = 4VV 2 BB qqqqnn DD εεεεee cc 3 式 (2-4-4) このうち WD はドリフト 層 厚 さ q は 電 荷 素 量 μは 移 動 度 ND はキャリア 密 度 ε は 誘 電 率 Ec は 物 質 固 有 の 破 壊 電 界 強 度 で VB は 絶 縁 破 壊 電 圧 であって 次 の 式 で 求 め 20

21 られる VV BB = EE ccww DD 2 式 (2-4-5) 現 在 パワーデバイスの 材 料 として 用 いられている Si 結 晶 と 比 較 して GaN 結 晶 を 使 う メリットは 次 のように 考 えられる 仮 に Si と GaN の 場 合 で 絶 縁 破 壊 電 圧 を 同 じに 設 定 するとする この 場 合 GaN は EC が Si の 10 倍 と 大 きいため 同 じ 絶 縁 破 壊 電 圧 を 得 るためのドリフト 層 の 膜 厚 は 1/10 で 良 い[17] この 絶 縁 破 壊 電 圧 を 同 じに 設 定 した 時 に RON は Si と GaN の 比 較 で 式 (2-4-4)の 通 り 約 1/1000 となる 一 方 でデバイス 形 成 としては 電 界 集 中 を 避 けるため ガードリングを 用 いる 或 いはパッ シベーション 膜 の 誘 電 率 を 下 げるような 検 討 が 行 われている 2-5 MOCVD 成 長 III-V 族 半 導 体 における MOCVD(Metal organic chemical vapour depositon)とは 主 に 加 熱 した 基 板 上 に III 族 原 子 の 原 料 となる 有 機 金 属 および V 族 原 子 の 原 料 となる 水 素 化 物 ガスを 同 時 供 給 し III 族 と V 族 原 子 の 気 層 反 応 ないしは 基 板 上 での 熱 分 解 とマイ グレーションを 経 ての III 族 と V 族 原 子 の 結 合 により III-V 族 半 導 体 のエピタキシャ ル 薄 膜 を 形 成 させる 技 術 である エピタキシャル 成 長 法 としては MOCVD と 並 んで MBE (Molecular Beam epitaxy) 法 が 良 く 知 られる GaN 系 における MOCVD と MBE の 違 いを 表 2-3 に 示 す 最 も 大 きな 差 は MOCVD では 成 長 炉 を 大 気 圧 あるいはこれに 近 い 減 圧 状 態 として 結 晶 成 長 するのに 対 し MBE では 超 高 真 空 を 用 いる 点 にある ま た 成 長 温 度 にも 違 いがあり MOCVD では 1000 付 近 が GaN 成 長 の 最 適 温 度 帯 であ るのに 対 して MBE では 800 程 度 で 成 長 する GaAs 系 では MBE がエピの 量 産 に 用 いられることも 多 いが GaN 系 で MBE が 用 いられることは 少 ない その 理 由 の 一 つは GaN 用 の 基 板 として 最 も 一 般 に 用 いられるサファイア 基 板 上 で MBE 成 長 では III 族 面 成 長 がし 難 く 良 好 なエピタキシャル 結 晶 を 得 るのが 困 難 なためである 一 方 21

22 で MOCVD 法 の 場 合 低 温 堆 積 GaN を 高 温 アニールすることによって 得 られる 緩 衝 層 を 用 いることでサファイア 基 板 上 でも 良 好 なエピタキシャル 結 晶 を 得 ることが 出 来 る [18, 19] GaN が 最 も 広 く 使 用 される 青 色 および 白 色 LED の 量 産 において 全 てのエ ピタキシャル 結 晶 は MOCVD を 用 いている MOCVD での GaN の 基 本 的 な 反 応 式 は 次 のようになる Ga(CH3)3 + NH3 = GaN + 3CH4 式 (2-5-1) しかし 実 際 の MOCVD 炉 内 においては 有 機 金 属 からのメチル 基 の 脱 離 は 段 階 的 に 進 む と 考 えられ また 脱 離 したメチル 基 は 必 ずしも 全 てメタンにはならず 一 部 不 純 物 とし て GaN 中 に 取 り 込 まれる この 過 程 は 反 応 条 件 によって 大 きく 異 なり 反 応 する 基 板 表 面 の 温 度 はもちろんのこと III 族 原 料 と V 族 原 料 の 供 給 比 (V/III 比 )や 成 長 レー ト また 原 料 を 炉 内 に 導 入 するためのキャリアガス 種 (H2/N2)やその 混 合 比 などに 依 存 する 図 2-6 には GaN 用 MOCVD の 簡 易 図 を 示 す 上 流 側 から 説 明 すると 原 料 を MOCVD 炉 に 導 入 するためのキャリアガスとして 水 素 及 び 窒 素 ガスを 用 い これら キャリアガスで 希 釈 する 形 で V 族 原 料 であるアンモニアガス シリコンドープ 用 のモ ノシランガスを 流 す また 平 行 して III 族 原 料 である TMG (tri methyl gallium)と TMA (tri methyl aluminum)を 水 素 でバブリングして 蒸 気 化 させたものをキャリアガスに 混 合 して 最 終 的 にリアクター 内 へと 導 入 する ヘテロエピタキシャル 層 の 成 長 時 は 炉 の 上 流 に 配 置 したマニホールドを 用 いて 各 原 料 の ON/OFF により 層 構 造 の 形 成 を 制 御 す る また 各 層 の 組 成 やドーピング 濃 度 は 各 原 料 ソースに 配 置 したマスフローコントロ ーラーにより 調 整 する リアクター 内 では 基 板 がサセプター 上 に 配 置 され サセプター 裏 面 からヒーターによる 加 熱 を 行 い 原 料 の 分 解 と 反 応 を 促 す またリアクター 上 には 光 学 ポートが 設 けられ レーザーインターフェロメータ( 反 射 率 計 )を 用 いてエピタキシャ ル 層 の 成 長 速 度 やエピタキシャル 最 表 面 のラフネスを 観 察 するとともに 放 射 温 度 計 を 用 いてサセプターの 実 温 度 を 測 定 する リアクターの 下 流 にはフィルターとともに 圧 力 22

23 制 御 装 置 が 配 置 され 各 層 に 求 められる 成 長 速 度 に 応 じてリアクター 内 の 圧 力 を 上 下 さ れるために 用 いられる 2-6 DLTS 測 定 半 導 体 結 晶 中 のトラッピング 準 位 を 測 定 する 強 力 なツールとして DLTS (deep level transient spectroscopy)が 挙 げられる[20, 21] DLTS 測 定 では pn ジャンクションダイ オード 或 いは Schottky バリアダイオード(SBD)に 対 して 温 度 掃 引 しながら 逆 方 向 のバ イアスパルスを 繰 り 返 し 入 力 し 容 量 の 過 渡 応 答 を 測 定 することでトラップの 準 位 を 求 める DLTS 測 定 時 における SBD でのトラップ 準 位 でのキャリアの 放 充 電 過 程 のイメ ージを 図 2-7 に 示 す 深 く 逆 バイアスされた 初 期 の 平 衡 状 態 図 2-7(a)において 半 導 体 内 は 次 の 三 つの 領 域 に 分 けることが 出 来 る イ) 空 乏 層 ロ) λ 領 域 ハ) 中 性 領 域 このうちイ)の 空 乏 層 ではトラップは 空 の 状 態 である ロ)は 空 乏 層 の 一 部 であるが フ ェルミ 準 位 とトラップ 準 位 が 交 差 する 位 置 にあり トラップにはキャリアすなわち 電 子 または 正 孔 が 捕 獲 された 状 態 にある またハ)の 中 性 領 域 でもトラップにはキャリアが 捕 獲 された 状 態 にある この SBD に 順 方 向 でバイアスを 印 可 ( 図 2-7(b))して 平 衡 状 態 に 達 すると 初 期 の 平 衡 状 態 で 空 乏 化 していた 領 域 のトラップにもキャリアが 充 電 さ れる その 次 にまた 深 い 逆 バイアスを 印 可 ( 図 2-7(c))すると 再 度 空 乏 層 が 広 がるた めこの 領 域 からキャリアの 放 電 が 起 きて 図 2-7(a)の 初 期 状 態 に 戻 ろうとするが その 過 程 すなわち 過 渡 応 答 はトラップの 放 出 時 定 数 によって 大 きく 異 なる この 過 渡 応 答 にお いて 空 乏 層 は 徐 々に 減 少 し 接 合 容 量 が 増 加 することから その 容 量 を 測 定 することでト ラップの 放 出 時 定 数 を 求 め また 過 渡 応 答 の 変 化 量 からトラップ 濃 度 が 求 まる これを 23

24 温 度 掃 引 しながら 行 って 時 定 数 の 温 度 依 存 性 を 求 めると トラップの 準 位 と 捕 獲 断 面 積 が 求 まる トラッピング 準 位 算 出 までの 数 式 を 以 下 に 示 す 上 記 図 2-7(c)において 接 合 容 量 は 次 の 式 で 表 される CC(tt) = CC(0) + CC 1 eeeeee tt ττ 式 (2-6-1) ここでΔC は 定 常 状 態 図 (a)の 容 量 と t=0 との 容 量 差 τはキャリア 放 出 の 時 定 数 であ る τは 低 温 では 小 さく 高 温 では 大 きくなるため ある 時 刻 t1 と t2 を 決 めたときに その 容 量 CC(tt 2 ) CC(tt 1 )は 特 定 の 温 度 Tm で 最 大 値 を 取 る この 時 の 時 定 数 τm は 次 の 式 で 表 される ττ mm = tt 2 tt 1 ln tt 2 tt1 式 (2-6-2) τm はトラップの 放 出 係 数 の 逆 数 であり これは SRH (Schockley-Read-Hall) 統 計 から 次 の 式 で 与 えられる 1 ττ mm = σσvv tth NN cc eeeeee EE cc EE tt kktt mm 式 (2-6-3) ここでσはトラップの 捕 獲 断 面 積 Vth はキャリアの 熱 速 度 NC はコンダクションバン ドの 状 態 密 度 EC はコンダクションバンド 下 端 のエネルギー Et がトラップ 準 位 であ る また k はボルツマン 定 数 である 式 (2-6-3)のうち NC と Vth の 温 度 依 存 性 を 考 慮 し てこれを 定 数 Nco Vtho と 書 き 換 えるとτm は 次 式 にようになる ττ mm = 1 σσvv tthoo NN cccc TT mm 2 eeeeee EE cc EE tt kktt mm 式 (2-6-4) 前 述 したとおりτm は 任 意 に 決 めた 時 間 t1 と t2 によって 変 わるため この 時 間 の 取 り 方 を 変 えること 複 数 点 におけるτm と Tm の 関 係 が 求 まる そこでln TT 2 mm ττ mm に 対 して 1/Tm をプロットすると その 直 線 の 傾 きから(EC-Et) すなわちトラップ 準 位 Et が 求 まり また 切 片 からトラップの 捕 獲 断 面 積 σを 求 めることができる なおトラッピング 準 位 の 濃 度 Nt は 仮 に C(0)>>ΔC の 時 次 の 式 で 求 めることが 出 来 る 24

25 ただし ND は 浅 いドナー 準 位 である NN tt = 2 CC CC(0) NN DD 式 (2-6-4) 2-7 第 2 章 の 参 考 文 献 [1] Shuji Nakamura, Masayuki Senoh, Naruhito Iwasa and Shin-ichi Nagahama, High-Brightness InGaN Blue, Green and Yellow Light-Emitting Diodes with Quantum Well Structures, Jpn, J. App. Phys, Vol.34, ppl797 (1995) [2] Shuji Nakamura, Takashi Mukai and Masayuki Senoh, Candela class highbrightness InGaN/AlGaN double heterostructure blue light emitting diodes, Appl. Phys. Lett. 64, 1687 (1994) [3] Isamu Akasaki and Hiroshi Amano Crystal Growth and Conductivity Control of Group III Nitride Semiconductors and Their Application to Short Wavelength Light Emitters Appl. Phys. Lett. 64, 1687 (1994) [4] M. Asif Khan, J. N. Kuznia, D. T. Olson, W. J. Schaff, J. W. Burm and M. S. Shur, Microwave performance of a 0.25 μm gate AlGaN/GaN heterostructure field effect transistor Appl. Phys. Lett. 65, 1121 (1994) [5] Takashi Matsuoka, Hiroshi Okamoto, Masashi Nakao, Hiroshi Harima and Eiji Kurimoto, Optical bandgap energy of wurtzite InN Appl. Phys. Lett. 81, 1246 (2002) [6] T. Matsuoka, "Mysterious Material InN in Nitride Semiconductors, - What's the bandgap energy and its application?", The 2007 IEEE Intern. Conf. Indium Phosphide and Related Mat. (IPRM) Proceedings, pp (2008) [7] H. Morkoc, Handbook of nitride semiconductors and devices, (Wiley) vol.3, p376 (2009) 25

26 [8] H. Amano, N. Sawaki, I. Akasaki and Y. Toyoda, Metalorganic vapor phase epitaxial growth of a high quality GaN film using an AlN buffer layer, Appl. Phys. Lett. 48, 353 (1986) [9] C. F. Lin1, H. C. Cheng1, G. C. Chi2, M. S. Feng3, J. D. Guo3, J. Minghuang Hong4 and C. Y. Chen4, Growth and characterizations of GaN on SiC substrates with buffer layers, J. Appl. Phys. 82, 2378 (1997) [10] Y. Oshima, T. Eri, M. Shibata, H. Sunakawa1, K. Kobayashi, T. Ichihashi, and A. Usui, Preparation of Freestanding GaN Wafers by Hydride Vapor Phase Epitaxy with Void-Assisted Separation, Jpn. J. Appl. Phys. 42, L1 (2003) [11] Y. Oshima, T. Suzuki, T. Eri, Y. Kawaguchi, K. Watanabe, M. Shibata, and T. Mishima, Thermal and optical properties of bulk GaN crystals fabricated through hydride vapor phase epitaxy with void-assisted separation J. Appl. Phys. 98, ( 2005) [12] T. Yoshida, Y. Oshima, T. Eri, K. Ikeda, S. Yamamoto, K. Watanabe, M. Shibata, and T. Mishima, Fabrication of 3-in GaN substrates by hydride vapor phase epitaxy using void-assisted separation method, J. Cryst. Growth 310, 5 (2008) [13] T. Kikkawa, M. Nagahara, N. Okamoto, Y. Tateno,Y. Yamaguchi, N. Hara, K. Joshin, P. M. Asbeck, Surface-charge controlled AlGaN/GaN-power HFET without current collapse and gm dispersion, International Electron Devices Meeting, IEDM '01. Technical Digest, Page(s): (2001) [14] J. P. Ibbetson, P. T. Fini, K. D. Ness, S. P. DenBaars, J. S. Speck, and U. K. Mishra, Polarization effect, surface state, and the source of electrons in AlGaN/GaN heterostructure field effect transistors, Appl. Phys. Lett., vol.77, p.250 (2000) 26

27 [15] B. P. Luther, S. E. Mohney, T. N. Jackson, M. Asif Khan, Q. Chen, and J. W. Yang, Investigation of the mechanism for Ohmic contact formation in Al and Ti/Al contacts to n-type GaN, Appl. Phys. Lett. 70 (1), 6 January (1997) [16] P. B. Klein, S. C. Birari, K. Ikossi, A. E. Wichenden, D. D. Koleske, and R. L. Henry, Current collapse and the role of carbon in AlGaN/GaN high electron mobility transistors grown by metalorganic vapor-phase epitaxy Appl. Phys. Lett. 79, 3527 (2001) [17] A. M. Ozbek and B. J. Baliga Planar Nearly Ideal Edge-Termination Technique for GaN Devices : IEEE Electron Device Lett. 32 (2011) 300 [18] K. Hiramatsu, S. Itoh, H. Amano, I. Akasaki, N. Kuwano, T. Shiraishi and K. Oki, Growth mechanism of GaN grown on sapphire with A1N buffer layer by MOVPE, J. Cryst. Growth 115, 628 (1991) [19] S. Nakamura, "GaN Growth Using GaN Buffer Layer," Jpn. J. Appl. Phys. 30, L1705 (1991) [20] D. V. Lang, Deep level transient spectroscopy: A new method to characterize traps in semiconductors J. Appl. Phys. 45, 3023 (1974) [21] Yutaka Tokuda, Nobuyuki Shimizu1 and Akira Usami1, Studies of Neutron-Produced Defects in Silicon by Deep-Level Transient Spectroscopy, Japan. J. Appl. Phys. 18, 309 (1979) [22] Y. Tokudaa, Y. Matsuokaa, H. Uedab, O. Ishigurob, N. Soejimab, T. Kachib, DLTS study of n-type GaN grown by MOCVD on GaN substrates, Superlattices and Microstructures, Volume 40, Issues 4 6, October December 2006, Pages (2006) 27

28 表 2-1 主 な 半 導 体 結 晶 における 物 性 値 Si GaAs InP SiC(4H) GaN(ZB) Diamond バンドギャップ [ev] 密 度 [g/cm 3 ] 比 誘 電 率 [-] 熱 伝 導 率 [W/cmK] 絶 縁 破 壊 電 界 ~10.0 [MV/cm] 電 子 移 動 度 * * 2200 [cm 2 /Vs] 正 孔 移 動 度 [cm 2 /Vs] 飽 和 電 子 速 度 [cm/s] *GaAs と GaN の 電 子 移 動 度 は HEMT 構 造 で 2 次 元 電 子 ガスが 形 成 された 場 合 の 値 28

29 表 2-2 GaN および AlN の 物 性 値 GaN AlN 格 子 定 数 a[a ] 自 発 分 極 Psp[C/m 2 ] 圧 電 係 数 e33[c/m 2 ] 圧 電 係 数 e31[c/m 2 ] 弾 性 定 数 C33[GPa] 弾 性 定 数 C31[GPa] 表 2-3 GaN 成 長 における MOCVD と MBE の 比 較 MOCVD MBE 成 長 圧 力 [Torr] 100~ 常 圧 超 高 真 空 成 長 温 度 [ ] 1, ガリウム 原 料 有 機 金 属 (TMG) Ga 窒 素 原 料 NH3 N2 (RF プラズマ) 成 長 極 性 Ga 面 N 面 29

30 図 2-1 GaN(ウルツ 鉱 型 )の 原 子 配 列 AlN バンドギャップ (ev) GaN AlP GaP AlAs InN GaAs Si InP InAs 格 子 定 数 * (A ) 図 2-2 各 半 導 体 材 料 の 格 子 定 数 *およびバンドギャップ (*N 系 材 料 では a 軸 の 格 子 定 数 ) 30

31 図 2-3 AlGaN/GaN HEMT エピタキシャルウェハの 基 本 構 造 31

32 図 2-4 AlGaN/GaN HEMT バンドダイヤグラム 模 式 図 32

33 図 2-5 GaN ongan SBD エピタキシャルウェハの 基 本 構 造 図 2-6 GaN 用 MOCVD 装 置 概 念 図 33

34 (a) (b) (c) 図 2-7 DLTS 測 定 時 の SBD でのトラップ 準 位 からのキャリアの 放 充 電 過 程 34

35 第 3 章 炭 素 ドープ AlGaN/GaN HEMT on SiC 3-1 はじめに AlGaN/GaN 系 の HEMT はその 優 れた 特 性 により 既 存 の Si あるいは GaAs ベース の 高 周 波 デバイスの 一 部 を 置 き 換 えつつある[1-3] 炭 素 ドープされた GaN 層 は AlGaN/GaN HEMT 構 造 中 において 高 抵 抗 バッファとして 機 能 し トランジスタの 基 本 的 な 動 作 であるピンチオフ 特 性 や 素 子 分 離 デバイス 耐 圧 などに 影 響 する 重 要 な 構 成 要 素 となっている[4-6] 本 章 ではドーピングされた 炭 素 の GaN 中 での 挙 動 を 評 価 す るとともに ピンチオフ 特 性 と 電 流 コラプス 抑 制 を 両 立 させた 炭 素 ドープエピタキシャ ル 構 造 の 例 について 述 べる 炭 素 ドープされた GaN におけるトラップ 形 成 のメカニズムについては 広 く 調 査 され ている[7] しかしながら GaN 系 のエピタキシャル 結 晶 は 主 にサファイア 等 の 異 種 基 板 上 に 成 長 されていることに 関 連 し 格 子 定 数 不 整 合 や 不 純 物 点 欠 陥 転 位 などの 線 欠 陥 等 ヘテロエピタキシャル 成 長 で 典 型 的 に 生 じるトラップと 純 粋 に 炭 素 のみに 起 因 するトラップを 切 り 分 けることが 複 雑 であるという 問 題 があった 更 に 複 雑 な 点 として は 上 記 した 転 位 などの 欠 陥 生 成 を 抑 制 する 試 みの 中 で MOCVD 成 長 に 関 わる 各 種 成 長 パラメーター すなわちエピタキシャル 成 長 温 度 あるいは 原 料 の V/III 比 を 最 適 化 することがあり これが 自 動 的 に 不 純 物 濃 度 を 変 えることにも 繋 がっていた[8, 9] こ のため 個 別 に 得 られた 結 果 を 関 連 付 け 統 合 して 網 羅 的 な 結 果 を 導 き 出 すのは 困 難 であ った 本 章 では 一 貫 した 条 件 のもとで 形 成 した GaN エピタキシャル 層 における 炭 素 ド ープの 影 響 を さまざまな 観 点 から 評 価 した 結 果 について 述 べる 特 に 本 研 究 を 通 した 特 徴 として 比 較 的 低 濃 度 での 炭 素 ドープがあたえる 影 響 が 興 味 の 対 象 であり まずは n-gan 単 層 の SBD 特 性 および DLTS 特 性 [10] フォトキャパシタンス 特 性 [11]につ いて 述 べる この DLTS とフォトキャパシタンスの 組 み 合 わせにより ワイドバンド 35

36 ギャップである GaN のエネルギー 準 位 の 範 囲 をほぼカバーして 網 羅 的 なトラッピン グ 準 位 の 解 析 ができる また 別 なサンプルとして 異 なる 炭 素 ドーピング 濃 度 を 有 する AlGaN/GaN HEMT エピタキシャルウェハを 用 い HEMT 動 作 の 評 価 も 行 った この サンプルにおいて 電 流 コラプスの 評 価 を 行 い 低 い 濃 度 での 炭 素 ドーピングとの 相 関 を 評 価 する 最 後 に 炭 素 に 関 連 した 準 位 の 位 置 づけと 総 合 的 なデバイス 特 性 との 相 関 をまとめる 3-2 低 濃 度 炭 素 ドープ GaN の 電 気 特 性 GaN エピタキシャル 層 構 造 本 研 究 に 用 いるサンプル 構 造 を 図 3-1 に 示 す 図 3(a)と(b)はシリコンがドーピングさ れた n-gan 層 である どちらのサンプルでもフリーキャリア 濃 度 は cm -3 と 同 一 になるよう 事 前 の C-V 測 定 を 用 いたキャリブレーションにより 調 整 されている 一 方 で 炭 素 ドーピング 濃 度 が 異 なるよう 事 前 の SIMS 分 析 を 用 いたキャリブレーショ ンにより 調 整 されている 高 い 炭 素 濃 度 (HC)は cm -3 程 度 低 い 方 の 炭 素 濃 度 (LC)は cm -3 程 度 である 図 (c)と(d)は AlGaN/GaN HEMT 構 造 を 示 す どちら も AlGaN 層 を 最 上 面 に 有 しており 歪 んだ AlGaN 内 部 に 生 じるピエゾ 効 果 によって AlGaN/GaN のヘテロ 界 面 に 二 次 元 電 子 ガスが 生 じる 構 造 (c)では 単 層 の 炭 素 ドープ GaN がバッファ 兼 チャネルとして 用 いられる 一 方 で 構 造 (d)では GaN 層 は 選 択 ドー プされた 構 造 すなわち 炭 素 ドープされたバッファ 層 とアンドープのチャネル 層 からな る GaN エピタキシャル 成 長 検 討 に 用 いる 4 サンプルは 全 て MOCVD 法 によりエピタキシャル 成 長 した 基 板 には 半 絶 縁 でありポリタイプが 4H である 単 結 晶 SiC 基 板 を 用 いた エピタキシャル 成 長 は ヘテロ 界 面 を 平 坦 化 する 目 的 で まず AlN を SiC のシリコン 面 に 形 成 することから 始 36

37 めた 次 いで GaN 層 を 成 長 し 構 造 (c)と(d)では 最 後 に AlGaN 層 を 形 成 した 上 記 し たように 構 造 (a)と(b)のフリーキャリア 濃 度 すなわちシリコンドーピング 濃 度 は C-V 測 定 でキャリブレーションを 行 い また 全 てのサンプルにおける 炭 素 ドーピング 濃 度 は SIMS 測 定 でキャリブレーションを 行 った MOCVD の 原 料 としてはアンモニア TMG TMA およびモノシランを 用 いた エピタキシャル 層 の 簡 易 評 価 として 非 接 触 法 に よるシート 抵 抗 評 価 および 電 子 移 動 度 の 測 定 を 行 った この 結 果 を 表 3-1 に 示 す 電 極 形 成 と 測 定 評 価 用 デバイスの 作 成 は 次 のような 順 番 で 行 った まず Ohmic 電 極 用 にレジストを 現 像 したうえで 厚 さ 200 nm のチタンおよび 厚 さ 100 nm のアルミを 蒸 着 した ウェハ を RTA(Rapid Thermal Annealig) 処 理 し Ohmic 電 極 を 形 成 した 次 に Schottky 電 極 としてニッケルを 蒸 着 した なお 本 検 討 では SiN 等 による 表 面 のパッシベーション は 行 わなかった 構 造 (a)と(b)に 対 しては Schottky 電 極 の I-V 測 定 を 行 った 順 バイアス 側 には+2 V ま で 逆 バイアス 側 には-60 V まで 印 可 し Schottky バリア 高 さφB を 算 出 した 同 じく 構 造 (a)と(b)に 対 しては DLTS 測 定 を 実 施 した パルス 幅 は 10 msec とし 温 度 領 域 80 K から 420 K でのスペクトルを 測 定 した この 測 定 レンジではおよそ 1.0 ev までの 比 較 的 浅 い 準 位 のトラップが 観 察 された また 深 い 準 位 のトラップを 観 察 するため やは り 構 造 (a)と(b)に 対 して フォトキャパシタンス 測 定 も 実 施 した この 測 定 により 1.2 ev から 最 大 4.6 ev というバンド 幅 を 超 える 領 域 までのトラッピング 準 位 の 測 定 を 行 った 構 造 (c)と(d)に 対 しては 三 端 子 による DC および AC それぞれの 条 件 下 におけるドレイ ン 電 流 を 測 定 し ピンチオフ 特 性 及 び 電 流 コラプスの 発 生 状 況 を 確 認 した 37

38 3-3 測 定 結 果 Schottky I-V 図 3-2 に 炭 素 ドープ n-gan に 対 する Ni/Au のショットキーI-V 特 性 を 示 す 概 要 とし ては 構 造 (a)の HC サンプル (b)の LC サンプルとも 同 じような 挙 動 となった 逆 方 向 電 流 は A/cm 2 からスタートし 印 可 電 圧 増 加 とともにリーク 量 が 増 える 傾 向 であり LC サンプルで 若 干 リーク 電 流 が 高 いものの 全 体 的 にはほぼ 同 じ I-V 特 性 をト レースした 順 方 向 電 流 についても 同 様 で LC サンプルと HC サンプルに 明 確 な 差 は 見 られなかった ダイオードの 理 想 因 子 (Ideality Factor)はどちらも n=1 また 障 壁 高 さφB は ev となった これらの 結 果 から 炭 素 ドープは 少 なくとも GaN の 表 面 準 位 あるいは Schottky 界 面 の 挙 動 には 殆 ど 影 響 が 無 いと 考 えられる[12] HEMT デバイスの 挙 動 図 3-3 には AlGaN/GaN HEMT 構 造 (c)と(d)の DC および AC 駆 動 時 における 出 力 特 性 を 示 す 両 構 造 とも ゲート 電 圧 -3 V 以 下 において 良 好 なピンチオフ 特 性 を 示 した この 現 象 は GaN 中 のドレインリーク 源 はどこが 主 であるのかを 示 唆 している すな わち ドレインリーク 源 になる GaN バッファ 中 のフリーキャリアは 必 ずしも GaN 全 体 ではなく エピと 基 板 界 面 付 近 に 存 在 する ドーピングした 炭 素 はフリーキャリアを 補 償 し GaN を 高 抵 抗 化 して HEMT のピンチオフを 可 能 にする 今 回 GaN への 炭 素 ド ープを 一 部 に 留 めた 変 調 ドープ 構 造 でも HEMT をピンチオフさせることが 出 来 たこと から 主 なドレインリーク 源 はバルクの LC GaN 部 分 に 存 在 するのではなく エピと 基 板 界 面 付 近 にあると 示 唆 される このためエピと 基 板 界 面 付 近 の GaN 層 にのみ 炭 素 ドープすることでドレインリーク 源 となる 主 なフリーキャリアはほぼ 補 償 され 良 好 な ピンチオフ 性 能 が 得 られたと 言 える 一 方 で 図 に 見 られるとおり 炭 素 ドープチャネル 構 造 の HC サンプルにおいては 大 きな 電 流 コラプスが 観 察 される 具 体 的 には AC 駆 動 させたときのドレイン 電 流 が DC 駆 動 時 と 比 較 して 30 % 以 上 も 低 下 している 一 方 38

39 で 選 択 的 炭 素 ドープ 構 造 を 行 ったサンプル(d)においては AC 駆 動 時 に 若 干 の ON 抵 抗 増 加 が 観 察 されるものの 各 ゲート 電 圧 水 準 におけるドレイン 電 流 には 殆 ど 変 化 が 見 ら れない この 炭 素 ドープチャネル 構 造 における 電 流 コラプスは 次 のように 理 解 できる すなわち 同 構 造 では AC 動 作 時 に GaN 中 に 存 在 するトラップ 準 位 が 電 子 を 捕 獲 する この 電 子 は 負 の 電 界 を 形 成 し 疑 似 的 にゲートのような 効 果 を 生 じる(バーチャルゲー ト) このためチャネル 内 への 電 子 への 流 入 が 制 約 され ドレイン 電 流 の 低 下 がおこる 一 方 で 上 記 した 通 り チャネルに LC を 有 する 変 調 ドープ 構 造 ではそのようなドレイン 電 流 の 低 下 が 起 こらない このことから 炭 素 変 調 ドープ 構 造 は 良 好 なピンチオフ 特 性 を 維 持 しつつ 電 流 コラプスの 抑 止 も 可 能 とする HEMT 用 として 好 適 なバッファ 構 造 で あることが 判 る DLTS 測 定 図 3-4 は HC サンプルである 構 造 (a)および LC サンプルである 構 造 (b)に 対 して それ ぞれ DLTS 測 定 を 実 施 した 結 果 である 両 サンプルで 観 察 される 各 ピークのうち 最 も 強 い 信 号 は LC すなわち 炭 素 濃 度 が 低 いサンプルの 方 で 観 察 される E3 の 電 子 トラッ プである LC サンプルで 主 に 観 察 されるこの E3 トラップは-0.61 ev の 準 位 に 相 当 し アンチサイトの 点 欠 陥 すなわち NGa であるとされる 一 方 で HC サンプルではこの 点 欠 陥 起 因 のピークは 微 小 にしか 観 察 されず E2 トラップが 支 配 的 となる この E2 トラ ップが 明 らかに 炭 素 起 因 である より 詳 しくは E2 はコンダクションバンドから-0.40 ev の 準 位 に 相 当 するガリウムサイト 炭 素 CGa である 低 欠 陥 濃 度 であるはずの LC サ ンプルで 最 大 強 度 の E3 ピークが 観 察 されるのは 矛 盾 のように 思 われるが この 現 象 は 次 のように 解 釈 されている すなわち E3 ピーク 源 となる NGa は 元 々HC サンプルにも 高 濃 度 で 存 在 していたと 想 定 される しかし 一 方 でカーボンとの 相 互 作 用 により この NGa 欠 陥 は VGa と Ni の 複 合 欠 陥 に 変 わるが[16] こ の VGaNi 複 合 欠 陥 は 電 子 トラップに 39

40 ならない このため HC サンプルでは E3 ピークが 殆 ど 観 察 されず 炭 素 起 因 の E2 が メインとなる 別 途 MCTS[13,14]で 測 定 されたホールトラップを 含 めて 得 られたトラ ップの 密 度 を 表 3-2 に 示 す[15] 電 子 トラップ 密 度 のトータルは HC LC で 大 きく 変 わらず なおかつその 密 度 レベルは cm -3 乗 台 と 非 常 に 低 い このような 低 濃 度 のトラップの 存 在 のみでは 項 で 見 られる 大 きなカレントコラプス 現 象 を 説 明 する ことは 困 難 である フォトキャパシタンス 測 定 図 3-5 には HC および LC サンプルのフォトキャパシタンススペクトルを 示 す 両 サン プルとも 1.5 ev 以 下 の 比 較 的 低 いエネルギー 領 域 では 目 立 った 光 応 答 は 観 察 されない しかしながら 1.6 ev の 領 域 を 境 に HC サンプルにおいて 光 応 答 信 号 が 観 察 され HC サンプルと LC サンプルの 差 が 明 確 となった また 2.4 ev あるいはそれよりも 低 い 準 位 付 近 から 強 い 信 号 が 両 サンプルから 検 出 された これらの 光 応 答 信 号 は GaN 中 における 非 常 に 深 い 準 位 の 存 在 を 示 す また 準 位 の 密 度 を 示 す C/C0 は 10-1 に 達 した このことはトラップから 放 出 されるフリーキャリアの 大 部 分 が この 非 常 に 深 いトラッ プ 準 位 に 起 因 していることを 示 す このエネルギー 準 位 は Klein らによる 報 告 値 2.85 ev[17]の Photo Ionization Specroscopy 法 による 分 析 結 果 に 近 い これらの 結 果 から GaN 中 の 炭 素 は 非 常 に 深 い 準 位 と 強 い 相 関 があることが 判 る 3-4 ディスカッション ドーピングされる 炭 素 濃 度 が cm -3 と 比 較 的 低 い 水 準 であるならば 炭 素 が GaN 表 面 の 準 位 に 与 える 影 響 は 無 視 できるレベルであると 考 えられる これは 図 3-2 に 示 す Schottky I-V の 挙 動 からの 推 測 である またこのため 図 3-3 に 示 すようなカレントコ ラプスの 有 無 は GaN のチャネルおよびバッファ 成 分 に 起 因 すると 判 断 することがで 40

41 きる AlGaN/GaN HEMT エピタキシャル 構 造 において 炭 素 分 布 に 変 調 を 持 たせることは 池 田 [18]らにより 報 告 されている この 変 調 ドープ 構 造 の 利 点 は 高 い 炭 素 濃 度 ドープ 層 をエピ/ 基 板 界 面 に 導 入 することによって 良 好 なピンチオフ 特 性 および 高 い 耐 圧 を 得 ると 同 時 に 低 い 炭 素 濃 度 層 をチャネル 付 近 に 導 入 することで 低 オン 抵 抗 を 確 保 する ことであり 同 文 献 においては cm -3 から cm -3 の 範 囲 で 炭 素 濃 度 が 変 調 されている 一 方 で 本 研 究 においては 1 桁 以 上 低 い 炭 素 濃 度 すなわち cm -3 から cm -3 の 範 囲 でチャネル 中 の 炭 素 濃 度 を 変 調 し 高 炭 素 および 低 炭 素 領 域 での 違 いを 観 察 した この 範 囲 においてもサンプル 間 で 非 常 に 大 きい 特 性 差 が 観 察 された このことは 例 え 上 限 cm -3 までという 比 較 的 低 い 炭 素 濃 度 領 域 の 違 いであっても 炭 素 によ る 電 子 トラッピング 挙 動 は 有 為 な 影 響 を 受 け AlGaN/GaN HEMT デバイスの 動 作 挙 動 を 大 きく 左 右 することを 示 す AlGaN/GaN HEMT における 電 子 トラッピング 等 の 過 渡 応 答 は DLTS で 観 察 される HC GaN 中 の 欠 陥 準 位 と 関 連 付 けできると 思 われる しかし 一 方 で 表 に 示 す 通 り 実 際 に 観 察 される 浅 い 準 位 は cm -3 程 度 であり これは cm -3 である HC GaN 中 のわずか 2% 程 度 に 過 ぎない またこれら 浅 い 準 位 をシート 濃 度 に 換 算 しても cm -2 程 度 である これは AlGaN/GaN HEMT の 二 次 元 電 子 ガス 濃 度 が cm -2 であることを 考 えても 相 当 に 低 い これらの 要 因 を 考 慮 にいれると DLTS で 観 察 されるレベルの 比 較 的 浅 い 電 子 トラップ 準 位 は HEMT のカレントコラプスに 与 えるイ ンパクトは 小 さいと 考 えられる すなわち 図 3-3 に 観 察 されるような 大 きなカレント コラプスは GaN 中 のより 深 い 準 位 に 起 因 すると 考 えるべきであろう もちろん 文 献 に 見 られるように より 時 定 数 が 短 い 領 域 において このような 浅 い 電 子 トラップが RF の 過 渡 応 答 に 影 響 を 与 える 可 能 性 を 否 定 するものではない[19] 41

42 HC サンプルに 対 するフォトキャパシタンス 測 定 では 1.6 ev および 2.4 ev 或 いはこ れよりも 若 干 低 めのエネルギーで 信 号 が 観 察 された このうち 特 に 2.4 ev の 準 位 は MCTS で 観 察 されるバレンスバンド 近 傍 Ev+0.86 ev の 正 孔 トラップに 近 い しかしそ の 濃 度 は cm -3 であり フォトキャパシタンスから 想 定 されるものより 一 ケタ 近 く 低 い また 観 測 されるフォトキャパシタンスと MCTS で 観 測 される 準 位 間 には 0.1 ev 以 上 の 差 は 存 在 する このため 同 2.4 ev の 準 位 は 正 孔 トラップからは 独 立 したもの であって MCTS で 検 出 されない Ev 近 傍 からの 何 らかの 間 接 的 な 準 位 に 起 因 するも のと 思 われる[20] フォトキャパシタンス 測 定 において デバイスは 最 初 に 順 方 向 側 に バイアスされ この 結 果 として 欠 陥 は 電 子 でチャージされる 2.4 ev 付 近 におけるこの キャパシタンス 信 号 は これらの 電 子 がトラップから 脱 離 してコンダクションバンド 側 に 抜 けたものであると 言 える このためこの 2.4 ev の 準 位 は 非 常 に 深 いトラップであ り 上 記 のとおりバレンスバンド 近 傍 のアクセプタータイプ 欠 陥 であると 考 えられる HC サンプルにおいて 1.6 ev と 2.4 ev で 観 察 されるフォトコンダクタンス 信 号 は HEMT 構 造 におけるカレントコラプスと 正 の 相 関 があるといえる 詳 細 な 計 算 は 本 章 の 目 的 ではないが ΔC/Co が HC サンプルにドープされた cm -3 の 20%にも 相 当 することから これらは 1.6 ev と 2.4 ev のトラップと 関 連 付 けられる また 比 較 的 浅 い 欠 陥 である E2 と H1 トラップには 全 体 の 炭 素 量 のうち 約 2%が 関 連 付 けられる 一 方 でドーピングされた 炭 素 のうち 80% 近 くは 依 然 として 直 接 観 察 されるトラップと 関 連 付 けることが 出 来 ず これらの 解 明 は 今 後 の 課 題 となる 3-5 まとめ 本 章 においては GaN に 比 較 的 低 濃 度 で 炭 素 がドーピングされた 場 合 において 炭 素 が デバイスの 特 性 に 与 える 影 響 をさまざまな 観 点 から 評 価 した n-gan における 炭 素 ド ープは 少 なくとも GaN 表 面 の Schottky I-V 特 性 には 明 確 な 差 異 をもたらさなかった 42

43 一 方 で AlGaN/GaN 構 造 を 用 いたデバイスの HEMT 動 作 においては チャネルに 炭 素 ドープされたサンプルで 大 きい 電 流 コラプスが 観 察 された また 同 時 に 炭 素 変 調 ドープ 構 造 において 良 好 なピンチオフ 特 性 と 電 流 コラプスの 低 減 が 観 察 され 同 構 造 が HEMT 用 のバッファとして 好 適 であることを 明 らかに 出 来 た n-gan エピ 層 に 対 する DLTS 法 による 浅 い 欠 陥 準 位 の 測 定 では 炭 素 ドープに 対 して 正 負 両 方 の 相 関 がみられ たが トータルとして 考 えると 絶 対 的 な 浅 い 欠 陥 準 位 の 総 数 は 炭 素 ドープに 大 きく 依 存 するものではなかった フォトキャパシタンス 法 によれば 1.6 ev と 2.4 ev にカーボン に 関 連 する 深 い 欠 陥 準 位 が 観 察 された DLTS とフォトキャパシタンスにより GaN バンドギャップの 大 部 分 をカバーする 範 囲 で 欠 陥 準 位 の 評 価 を 行 った AlGaN/GaN HEMT 動 作 での 大 きなカレントコラプス 有 無 の 差 は 炭 素 起 因 の 深 い 方 の 欠 陥 準 位 に 関 連 付 けできることを 明 らかとし cm -3 という 比 較 的 低 いレベルでドープした 場 合 の 炭 素 の 挙 動 の 約 20%までを 定 義 することが 出 来 た 3-6 第 3 章 の 参 考 文 献 [1] S.C. Binari, K. Ikossi, J.A. Roussos, W. Kruppa, D. Park, H.B. Dietrich, D.D. Koleske, Alma E. Wickenden, R.L. Henry, Trapping effects and microwave power performance in AlGaN/GaN HEMTs, IEEE Trans. Electron Devices 48 (3) 465, (2001) [2] C. Poblenz, P. Waltereit, S. Rajan, U.K. Mishra, J.S. Speck, Effect of carbon doping on buffer leakage in AlGaN/GaN high electron mobility transistors, J. Vac. Sci. Technol. B 22, 1145 (2004) [3] T. Kikkawa, K. Makiyama, T. Ohki, M. Kanamura, K. Imanishi, N. Hara, K. Joshin, High performance and high reliability AlGaN/GaN HEMTs, Phys. Status Solidi A 206, 1135 (2009) 43

44 [4] C.H. Seager, A.F. Wright, J. Yu, W. Goetz, Role of carbon in GaN, J. Appl. Phys. 92, 6553 (2002) [5] T. Tanaka, Y. Moriya, M. Sahara, T. Yukimoto, H. Kamogawa, Y. Otoki, T. Mishima, Leak current in high resistive GaN buffer layer and its light responsiveness, Phys. Status Solidi C 4 (7), 2585 (2007) [6] Z.Q. Fang, B. Claflin, D.C. Look, D.S. Green, R. Vetury, Deep traps in AlGaN/GaN heterostructures studied by deep level transient spectroscopy: Effect of carbon concentration in GaN buffer layers, J. Appl. Phys. 108, (2010) [7] A.F. Wright, Substitutional and interstitial carbon in wurtzite GaN, J. Appl. Phys. 92, 2575 (2002) [8] P. B. Klein, S. C. Birari, K. Ikossi, A. E. Wichenden, D. D. Koleske, and R. L. Henry, Current collapse and the role of carbon in AlGaN/GaN high electron mobility transistors grown by metalorganic vapor-phase epitaxy Appl. Phys. Lett. 79, 3527 (2001) [9] A.E.Wickenden, D.D. Koleske, R.L. Henry,M.E. Twigg, M. Fatemi, Resistivity control in unintentionally doped GaN films grown by MOCVD, J. Cryst. Growth 260, 54 (2004) [10] P. Blood, J.W. Orton, The Electrical Characterization of Semiconductors: Majority Carriers and Electron States, Academic Press, San Diego, (1992) [11] S. M. SZe, K. K. Ng, Physics of Semiconductor Devices, JohnWiley & Sons, New Jersey, (2006) [12] D. Kikuta, J.O. Ao, Y. Ohno, Evaluation of Surface States of AlGaN/GaN HFET Using Open-Gated Structure, IEICE Trans. Electron. E88-C (4), 683 (2005) 44

45 [13] B. Hamilton, A.R. Peaker, D.R. Wight, Deep state controlled minoritycarrier lifetime in n type gallium phosphide, J. Appl. Phys. 50, 6373 (1979) [14] C.H. Seager, A.F. Wright, J. Yu, W. Gotz, Role of carbon in GaN, J. Appl. Phys. 92, 6553 (2002) [15] U. Honda, Y. Yamada, Y. Tokuda, K. Shiojima, Deep levels in n-gan Doped with Carbon Studied by Deep Level and Minority Carrier Transient Spectroscopies, Jpn. J. Appl. Phys. 51, 04DF04 (2012) [16] T. Mattila, A.P. Seitsonen, R.M. Nieminen, Large atomic displacements associated with the nitrogen antisite in GaN, Phys. Rev. B 54, 1474 (1996) [17] P.B. Klein, S.C. Binari, J.A. Freitas, A.E. Wickenden, Photoionization spectroscopy of traps in GaN metal-semiconductor field-effect transistors, J. Appl. Phys. 88, 2843 (2000) [18] N. Ikeda, S. Kaya, T. Kokawa, S. Kato, Phys. Vb/Ron improvement and current collapse suppression for high power AlGaN/GaN HFETs on Si substrates, Status Solidi C 8 (7 8), 2404 (2011) [19] A.R. Arehart, A. Sasikumar, S. Rajan, G.D. Via, B. Poling, B.Winningham, E.R. Heller, D. Brown, Y. Pei, F. Recht, U.K. Mishra, S.A. Ringel, Direct observation of 0.57 ev trap-related RF output power reduction in AlGaN/GaN high electron mobility transistors, Solid-State Electron. 80, 19 (2013) [20] A. Armstrong, A.R. Arehart, D. Green, U.K.Mishra, J.S. Speck, S.A. Ringel, Impact of deep levels on the electrical conductivity and luminescence of gallium nitride codoped with carbon and silicon, J. Appl. Phys. 98, (2005) 45

46 表 3-1 AlGaN/GaN HEMT エピの 電 気 特 性 シート 抵 抗 [ohm/sq.] 移 動 度 [cm 2 /Vs] 2 次 元 電 子 ガス [/cm 2 ] 構 造 図 3-1(c) , 構 造 図 3-1(d) , 表 3-2 炭 素 ドープ n-gan 中 のトラップ 準 位 トラップ エネルギー 準 位 トラップ 密 度 [cm -3 ] [ev] HC LC E2 Ec E3 Ec H1 Ev

47 図 3-1 炭 素 ドープ GaN サンプル 構 造 図 3-2 炭 素 ドープ n-gan 上 Ni/Au Schottky 電 極 の 逆 方 向 (a)および (b) 順 方 向 I-V 特 性 47

48 図 3-3 AlGaN/GaN HEMT の 出 力 特 性 DC 時 はオレンジ 色 AC 時 は 緑 色 で 示 す (a)は 炭 素 ドープチャネル (b)は 炭 素 変 調 ドープ 構 造 の 出 力 をそれぞれ 示 す 図 3-4 炭 素 ドープ n-gan の DLTS 信 号 48

49 図 3-5 炭 素 ドープ n-gan のフォトキャパシタンス 信 号 49

50 第 4 章 AlGaN/GaN HEMT デバイス 実 証 例 に 関 する 報 告 4-1 はじめに 第 3 章 では 炭 素 ドープの 高 抵 抗 バッファに 深 い 準 位 があることが 示 唆 された また 炭 素 変 調 ドープ 構 造 によって 電 流 コラプスを 抑 制 できることも 示 した 本 章 ではまず 高 周 波 デバイス 用 の AlGaN/GaN HEMT[1-4]において 炭 素 ドープした 構 造 をとしてもち いた 場 合 の 実 証 例 を 示 す 特 に E-mode トランジスタでの 動 作 例 を 示 す[5-7] また HEMT デバイスにおけるバッファリークの 光 応 答 を 検 討 し 低 エネルギーの 光 照 射 で 励 起 されるフリーキャリアが GaN バンドギャップ 中 のトラップ 準 位 に 捕 獲 され 疑 似 的 にコラプス 状 態 を 引 き 起 こすメカニズムを 明 らかする 4-2 リセスゲートによる E-mode AlGaN/GaN HEMT (1) デバイス 作 製 デバイス 作 製 に 用 いる AlGaN/GaN エピタキシャルウェハ 製 法 は 基 本 的 には 項 に 述 べた MOCVD 法 と 同 じであるが エピタキシャル 層 構 造 の 一 部 が 異 なる まず 基 板 には 半 絶 縁 でありポリタイプが 4H である 単 結 晶 SiC 基 板 を 用 いた 核 生 成 層 として SiC 基 板 上 に 100nm の AlN 層 を 成 長 し 次 いでトータル 厚 さ 2 μm の 炭 素 ドープと ノンドープの 変 調 ドープ 構 造 からなる un-gan 層 を 成 長 した さらにバリア 層 として 3 nm のアンドープ Al0.25GaN 層 10 nm のシリコンドープ cm -3 の Al0.25GaN 層 および 最 表 面 に 再 びアンドープの 5 nm Al0.25GaN 層 を 形 成 した シート 抵 抗 の 面 内 平 均 値 は 480 ohm/sq であった デバイス 加 工 においては まず ICP-RIE(inductively-coupled-plasma reactive ion etching) 法 による Cl2/Ar プラズマを 用 いてメサ 形 成 による 素 子 間 アイソレーション 分 離 を 行 った Ohmic 電 極 は Ti/Al/Mo/Au を 用 い 蒸 着 後 の RTA(rapid thermal 50

51 annealing)において 850 で 30 秒 間 の 処 理 を 行 った オンウエハーの TLM 測 定 によ り コンタクト 抵 抗 は~0.2 Ohm-cm であることを 確 認 した EB リソグラフィーを 用 いて 1.0 μm のゲートを 切 り Ni/Au からなる Shottky 電 極 を 形 成 した なおゲート 形 成 に 先 だって AlGaN バリア 層 を 薄 層 化 して HEMT を E-mode 化 させる 目 的 で ソ ース-ドレイン 間 のゲート 領 域 を ICP-RIE により 部 分 的 にエッチングした エッチング 条 件 としては Cl2/Ar プラズマを 15/5 sccm のガスレートで 用 い チャンバー 圧 力 を 3 mt バイアス-40 V コイル 出 力 を 300 W とした またエッチング 後 のサンプルは エッチングに 起 因 するダメージを 除 去 する 目 的 で RTA により 700 で 1 分 間 の 処 理 を 行 った 完 成 した HEMT のゲート 幅 は 100 μm ソース-ドレイン 間 隔 は 2 μm で ある デバイス 特 性 図 4-1 には 典 型 的 なドレイン 電 流 - 電 圧 特 性 (ID-VDS) 特 性 を 示 す ゲートは 0.5 V ステッ プで 2 V から-0.5 V まで 振 ったものである デバイスはゲート 電 圧 2 V ドレイン 電 圧 6 V において 最 大 電 流 470 ma/mm を 示 すとともに 良 好 なピンチオフ 特 性 を 示 した ニー 電 圧 は 4 V 未 満 と 十 分 小 さく Ohmic に 問 題 が 無 いことを 示 している 図 4-2 にはドレイン 電 圧 7 V としたときの DC トランスファー 特 性 を 示 す ピークの トランスコンダクタンス gm は 248 ms/mm が Vgs=0.87 V の 時 に 得 られた またピン チオフ 電 圧 Vth をドレイン 電 流 から 外 挿 した 切 片 と 定 義 すると Vth=75 mv と 正 の 値 が 得 られた 本 報 告 発 表 当 時 (2003 年 )においては GaN 系 HEMT の E-mode 動 作 と して この Vth は 最 大 の 値 である 比 較 例 を 表 4-1 に 示 す RF 測 定 は HP8510B ネットワークアナライザを 用 い 1~40 GHz の 範 囲 で 実 施 した 図 4-3 はオンウエハーでの S パラメータ 測 定 から 求 めた( h21 ) および MSG/MAG を 示 す 遮 断 周 波 数 ft および 最 大 発 信 周 波 数 fmax はそれぞれ( h21 ) および 51

検 討 検 討 の 進 め 方 検 討 状 況 簡 易 収 支 の 世 帯 からサンプリング 世 帯 名 作 成 事 務 の 廃 止 4 5 必 要 な 世 帯 数 の 確 保 が 可 能 か 簡 易 収 支 を 実 施 している 民 間 事 業 者 との 連 絡 等 に 伴 う 事 務 の 複 雑

検 討 検 討 の 進 め 方 検 討 状 況 簡 易 収 支 の 世 帯 からサンプリング 世 帯 名 作 成 事 務 の 廃 止 4 5 必 要 な 世 帯 数 の 確 保 が 可 能 か 簡 易 収 支 を 実 施 している 民 間 事 業 者 との 連 絡 等 に 伴 う 事 務 の 複 雑 等 の 当 初 見 直 し 案 の 検 討 状 況 について 資 料 1-1 項 目 名 検 討 検 討 の 進 め 方 検 討 状 況 都 道 府 県 担 当 者 との 意 見 交 換 では 結 果 精 度 の 低 下 に 伴 い 結 果 が 活 用 されなくなった 場 合 の 員 のモチベーション 低 下 の 可 能 性 や 員 の 配 置 換 え 等 についての 考 慮 が 必 要 との 意

More information

<4D F736F F D F5A91EE8BC F368C8E3393FA8DC48D F C8E323893FA916493C B95AA8D CE3816A>

<4D F736F F D F5A91EE8BC F368C8E3393FA8DC48D F C8E323893FA916493C B95AA8D CE3816A> 平 成 25 年 度 国 土 交 通 省 税 制 改 正 事 項 ( 住 宅 関 係 抜 粋 ) 平 成 25 年 5 月 国 土 交 通 省 住 宅 局 平 成 25 年 度 住 宅 関 連 税 制 の 改 正 概 要 ( 主 要 事 項 目 次 ) 1. 消 費 税 率 引 上 げを 踏 まえた 住 宅 取 得 対 策 P2 1 住 宅 ローン 減 税 P2 2 投 資 型 減 税 ( 現 金

More information

●電力自由化推進法案

●電力自由化推進法案 第 一 八 五 回 参 第 二 号 電 力 自 由 化 推 進 法 案 目 次 第 一 章 総 則 ( 第 一 条 - 第 三 条 ) 第 二 章 電 力 自 由 化 の 基 本 方 針 ( 第 四 条 - 第 九 条 ) 第 三 章 電 力 自 由 化 推 進 本 部 ( 第 十 条 - 第 十 九 条 ) 附 則 第 一 章 総 則 ( 目 的 ) 第 一 条 この 法 律 は 平 成 二 十

More information

目 次 第 1. 土 区 画 整 理 事 業 の 名 称 等 1 (1) 土 区 画 整 理 事 業 の 名 称 1 (2) 施 行 者 の 名 称 1 第 2. 施 行 区 1 (1) 施 行 区 の 位 置 1 (2) 施 行 区 位 置 図 1 (3) 施 行 区 の 区 域 1 (4) 施

目 次 第 1. 土 区 画 整 理 事 業 の 名 称 等 1 (1) 土 区 画 整 理 事 業 の 名 称 1 (2) 施 行 者 の 名 称 1 第 2. 施 行 区 1 (1) 施 行 区 の 位 置 1 (2) 施 行 区 位 置 図 1 (3) 施 行 区 の 区 域 1 (4) 施 北 部 大 阪 都 市 画 事 業 JR 高 槻 駅 北 東 土 区 画 整 理 事 業 事 業 画 書 高 槻 市 JR 高 槻 駅 北 東 土 区 画 整 理 組 合 目 次 第 1. 土 区 画 整 理 事 業 の 名 称 等 1 (1) 土 区 画 整 理 事 業 の 名 称 1 (2) 施 行 者 の 名 称 1 第 2. 施 行 区 1 (1) 施 行 区 の 位 置 1 (2) 施 行

More information

試 験 概 略 試 験 目 的 同 同 一 一 規 規 格 格 の の 電 電 熱 熱 線 線 式 式 ヒーティングユニットを2 台 台 並 並 べ べ 片 片 方 方 のユニットに 遠 遠 赤 赤 外 外 線 線 放 放 射 射 材 材 料 料 である アルミ 合 金 エキスパンションメタルを 組

試 験 概 略 試 験 目 的 同 同 一 一 規 規 格 格 の の 電 電 熱 熱 線 線 式 式 ヒーティングユニットを2 台 台 並 並 べ べ 片 片 方 方 のユニットに 遠 遠 赤 赤 外 外 線 線 放 放 射 射 材 材 料 料 である アルミ 合 金 エキスパンションメタルを 組 遠 赤 外 線 アルミ 合 金 併 用 融 雪 システム 融 雪 試 験 報 告 書 平 成 20 年 2 月 試 験 概 略 試 験 目 的 同 同 一 一 規 規 格 格 の の 電 電 熱 熱 線 線 式 式 ヒーティングユニットを2 台 台 並 並 べ べ 片 片 方 方 のユニットに 遠 遠 赤 赤 外 外 線 線 放 放 射 射 材 材 料 料 である アルミ 合 金 エキスパンションメタルを

More information

為 が 行 われるおそれがある 場 合 に 都 道 府 県 公 安 委 員 会 がその 指 定 暴 力 団 等 を 特 定 抗 争 指 定 暴 力 団 等 として 指 定 し その 所 属 する 指 定 暴 力 団 員 が 警 戒 区 域 内 において 暴 力 団 の 事 務 所 を 新 たに 設

為 が 行 われるおそれがある 場 合 に 都 道 府 県 公 安 委 員 会 がその 指 定 暴 力 団 等 を 特 定 抗 争 指 定 暴 力 団 等 として 指 定 し その 所 属 する 指 定 暴 力 団 員 が 警 戒 区 域 内 において 暴 力 団 の 事 務 所 を 新 たに 設 暴 力 団 員 による 不 当 な 行 為 の 防 止 等 に 関 する 法 律 の 一 部 を 改 正 する 法 律 暴 力 団 員 による 不 当 な 行 為 の 防 止 等 に 関 する 法 律 例 規 整 備 * 暴 力 団 員 による 不 当 な 行 為 の 防 止 等 に 関 する 法 律 の 一 部 を 改 正 する 法 律 例 規 整 備 公 布 年 月 日 番 号 平 成 24 年

More information

(Microsoft Word - \221\346\202P\202U\201@\214i\212\317.doc)

(Microsoft Word - \221\346\202P\202U\201@\214i\212\317.doc) (1) 1 ア 調 査 すべき の 手 法 情 報 できる 主 要 な 眺 望 地 点 及 び 主 要 で 身 近 な 視 点 の 状 況 な 実 視 施 点 地 ( 区 点 不 域 のうち 特 周 定 辺 の 多 主 数 の 要 な なものをいう 人 々 眺 望 又 地 は 点 周 ( 辺 の 不 以 住 特 下 民 定 が 同 多 じ ) 数 の する 人 及 々が 場 び 所 対 利 で 象

More information

積 載 せず かつ 燃 料 冷 却 水 及 び 潤 滑 油 の 全 量 を 搭 載 し 自 動 車 製 作 者 が 定 める 工 具 及 び 付 属 品 (スペアタイヤを 含 む )を 全 て 装 備 した 状 態 をいう この 場 合 に おいて 燃 料 の 全 量 を 搭 載 するとは 燃 料

積 載 せず かつ 燃 料 冷 却 水 及 び 潤 滑 油 の 全 量 を 搭 載 し 自 動 車 製 作 者 が 定 める 工 具 及 び 付 属 品 (スペアタイヤを 含 む )を 全 て 装 備 した 状 態 をいう この 場 合 に おいて 燃 料 の 全 量 を 搭 載 するとは 燃 料 別 添 72 後 退 灯 の 技 術 基 準 1. 適 用 範 囲 等 この 技 術 基 準 は 自 動 車 に 備 える 後 退 灯 に 適 用 する( 保 安 基 準 第 40 条 関 係 ) ただし 法 第 75 条 の2 第 1 項 の 規 定 によりその 型 式 について 指 定 を 受 けた 白 色 の 前 部 霧 灯 が 後 退 灯 として 取 付 けられている 自 動 車 にあっては

More information

は 固 定 流 動 及 び 繰 延 に 区 分 することとし 減 価 償 却 を 行 うべき 固 定 の 取 得 又 は 改 良 に 充 てるための 補 助 金 等 の 交 付 を 受 けた 場 合 にお いては その 交 付 を 受 けた 金 額 に 相 当 する 額 を 長 期 前 受 金 とし

は 固 定 流 動 及 び 繰 延 に 区 分 することとし 減 価 償 却 を 行 うべき 固 定 の 取 得 又 は 改 良 に 充 てるための 補 助 金 等 の 交 付 を 受 けた 場 合 にお いては その 交 付 を 受 けた 金 額 に 相 当 する 額 を 長 期 前 受 金 とし 3 会 計 基 準 の 見 直 しの 主 な 内 容 (1) 借 入 金 借 入 金 制 度 を 廃 止 し 建 設 又 は 改 良 に 要 する 資 金 に 充 てるための 企 業 債 及 び 一 般 会 計 又 は 他 の 特 別 会 計 からの 長 期 借 入 金 は に 計 上 することとなりまし た に 計 上 するに 当 たり 建 設 又 は 改 良 等 に 充 てられた 企 業 債 及

More information

平成25年度 独立行政法人日本学生支援機構の役職員の報酬・給与等について

平成25年度 独立行政法人日本学生支援機構の役職員の報酬・給与等について 平 成 25 年 度 独 立 行 政 法 日 本 学 生 支 援 機 構 の 役 職 員 の 報 酬 給 与 等 について Ⅰ 役 員 報 酬 等 について 1 役 員 報 酬 についての 基 本 方 針 に 関 する 事 項 1 平 成 25 年 度 における 役 員 報 酬 についての 業 績 反 映 のさせ 方 日 本 学 生 支 援 機 構 は 奨 学 金 貸 与 事 業 留 学 生 支 援

More information

Ⅰ 調 査 の 概 要 1 目 的 義 務 教 育 の 機 会 均 等 その 水 準 の 維 持 向 上 の 観 点 から 的 な 児 童 生 徒 の 学 力 や 学 習 状 況 を 把 握 分 析 し 教 育 施 策 の 成 果 課 題 を 検 証 し その 改 善 を 図 るもに 学 校 におけ

Ⅰ 調 査 の 概 要 1 目 的 義 務 教 育 の 機 会 均 等 その 水 準 の 維 持 向 上 の 観 点 から 的 な 児 童 生 徒 の 学 力 や 学 習 状 況 を 把 握 分 析 し 教 育 施 策 の 成 果 課 題 を 検 証 し その 改 善 を 図 るもに 学 校 におけ Ⅰ 調 査 の 概 要 Ⅱ 札 幌 の 子 どもの 学 力 学 習 意 欲 等 について Ⅲ 学 力 調 査 の 結 果 概 要 及 び 改 善 の 方 向 等 について Ⅰ 調 査 の 概 要 1 目 的 義 務 教 育 の 機 会 均 等 その 水 準 の 維 持 向 上 の 観 点 から 的 な 児 童 生 徒 の 学 力 や 学 習 状 況 を 把 握 分 析 し 教 育 施 策 の 成 果

More information

<4D6963726F736F667420576F7264202D203032208E598BC68A8897CD82CC8DC490B68B7982D18E598BC68A8893AE82CC8A76905682C98AD682B782E993C195CA915B9275964082C98AEE82C382AD936F985E96C68B9690C582CC93C197E1915B927582CC898492B75F8E96914F955D89BF8F915F2E646F6

<4D6963726F736F667420576F7264202D203032208E598BC68A8897CD82CC8DC490B68B7982D18E598BC68A8893AE82CC8A76905682C98AD682B782E993C195CA915B9275964082C98AEE82C382AD936F985E96C68B9690C582CC93C197E1915B927582CC898492B75F8E96914F955D89BF8F915F2E646F6 様 式 租 税 特 別 措 置 等 に 係 る 政 策 の 事 前 評 価 書 1 政 策 評 価 の 対 象 とした 産 業 活 力 の 再 生 及 び 産 業 活 動 の 革 新 に 関 する 特 別 措 置 法 に 基 づく 登 録 免 租 税 特 別 措 置 等 の 名 称 許 税 の 特 例 措 置 の 延 長 ( 国 税 32)( 登 録 免 許 税 : 外 ) 2 要 望 の 内 容

More information

<4D6963726F736F667420506F776572506F696E74202D20303282D382E982B382C68AF1958D8BE090A7937882C98AD682B782E9834183938350815B83678C8B89CA81698CF6955C9770816A2E70707478>

<4D6963726F736F667420506F776572506F696E74202D20303282D382E982B382C68AF1958D8BE090A7937882C98AD682B782E9834183938350815B83678C8B89CA81698CF6955C9770816A2E70707478> ふるさと 納 税 に 関 する 調 査 結 果 ( 概 要 ) ( 対 象 団 体 : 都 道 府 県 47 団 体 市 区 町 村 1,742 団 体 ) 総 務 省 自 治 税 務 局 1 寄 附 金 の 納 付 手 続 きについて ふるさと 納 税 に 関 する 調 査 結 果 ( 概 要 ) 寄 附 手 続 きに 係 る 改 善 すべき 点 としては クレジットカード 決 済 コンビニ 納

More information

<4D F736F F D F8D828D5A939982CC8EF68BC697BF96B38F9E89BB82CC8A6791E52E646F63>

<4D F736F F D F8D828D5A939982CC8EF68BC697BF96B38F9E89BB82CC8A6791E52E646F63> 平 成 22 年 11 月 9 日 高 校 等 の 授 業 料 無 償 化 の 拡 大 検 討 案 以 下 は 大 阪 府 の 検 討 案 の 概 要 であり 最 終 的 には 平 成 23 年 2 月 議 会 での 予 算 の 議 決 を 経 て 方 針 を 確 定 する 予 定 です Ⅰ. 検 討 案 の 骨 子 平 成 23 年 度 から 大 阪 の 子 どもたちが 中 学 校 卒 業 時 の

More information

1 書 誌 作 成 機 能 (NACSIS-CAT)の 軽 量 化 合 理 化 電 子 情 報 資 源 への 適 切 な 対 応 のための 資 源 ( 人 的 資 源,システム 資 源, 経 費 を 含 む) の 確 保 のために, 書 誌 作 成 と 書 誌 管 理 作 業 の 軽 量 化 を 図

1 書 誌 作 成 機 能 (NACSIS-CAT)の 軽 量 化 合 理 化 電 子 情 報 資 源 への 適 切 な 対 応 のための 資 源 ( 人 的 資 源,システム 資 源, 経 費 を 含 む) の 確 保 のために, 書 誌 作 成 と 書 誌 管 理 作 業 の 軽 量 化 を 図 平 成 2 8 年 3 月 25 日 NACSIS-CAT 検 討 作 業 部 会 NACSIS-CAT/ILL の 軽 量 化 合 理 化 について( 基 本 方 針 )( 案 ) これからの 学 術 情 報 システム 構 築 検 討 委 員 会 ( 以 下, これから 委 員 会 ) は これか らの 学 術 情 報 システムの 在 り 方 について ( 平 成 27 年 5 月 29 日 )

More information

2 役 員 の 報 酬 等 の 支 給 状 況 平 成 27 年 度 年 間 報 酬 等 の 総 額 就 任 退 任 の 状 況 役 名 報 酬 ( 給 与 ) 賞 与 その 他 ( 内 容 ) 就 任 退 任 2,142 ( 地 域 手 当 ) 17,205 11,580 3,311 4 月 1

2 役 員 の 報 酬 等 の 支 給 状 況 平 成 27 年 度 年 間 報 酬 等 の 総 額 就 任 退 任 の 状 況 役 名 報 酬 ( 給 与 ) 賞 与 その 他 ( 内 容 ) 就 任 退 任 2,142 ( 地 域 手 当 ) 17,205 11,580 3,311 4 月 1 独 立 行 政 法 人 統 計 センター( 法 人 番 号 7011105002089)の 役 職 員 の 報 酬 給 与 等 について Ⅰ 役 員 報 酬 等 について 1 役 員 報 酬 についての 基 本 方 針 に 関 する 事 項 1 役 員 報 酬 の 支 給 水 準 の 設 定 についての 考 え 方 独 立 行 政 法 人 通 則 法 第 52 条 第 3 項 の 規 定 に 基 づき

More information

Microsoft Word - 佐野市生活排水処理構想(案).doc

Microsoft Word - 佐野市生活排水処理構想(案).doc 佐 野 市 生 活 排 水 処 理 構 想 ( 案 ) 平 成 27 年 12 月 佐 野 市 目 次 1. 生 活 排 水 処 理 構 想 について 1.1 生 活 排 水 処 理 構 想 とは P.1 1.2 生 活 排 水 処 理 施 設 の 種 類 P.1 2. 佐 野 市 の 現 状 と 課 題 2.1 整 備 状 況 P.2 2.2 主 な 汚 水 処 理 施 設 P.2 2.3 生 活

More information

<4D6963726F736F667420576F7264202D208E52979C8CA78E598BC68F5790CF91A390698F9590AC8BE08CF0957497768D6A2E646F6378>

<4D6963726F736F667420576F7264202D208E52979C8CA78E598BC68F5790CF91A390698F9590AC8BE08CF0957497768D6A2E646F6378> 山 梨 県 産 業 集 積 促 進 助 成 金 交 付 要 綱 ( 通 則 ) 第 1 条 山 梨 県 産 業 集 積 促 進 助 成 金 ( 以 下 助 成 金 という )の 交 付 については 山 梨 県 補 助 金 等 交 付 規 則 ( 昭 和 36 年 山 梨 県 規 則 第 25 号 以 下 規 則 という )に 定 め るところによるほか この 要 綱 に 定 めるところによる ( 目

More information

Microsoft PowerPoint - 報告書(概要).ppt

Microsoft PowerPoint - 報告書(概要).ppt 市 町 村 における 地 方 公 務 員 制 度 改 革 に 係 る 論 点 と 意 見 について ( 概 要 ) 神 奈 川 県 市 町 村 における 地 方 公 務 員 制 度 改 革 に 係 る 検 討 会 議 について 1 テーマ 地 方 公 務 員 制 度 改 革 ( 総 務 省 地 方 公 務 員 の 労 使 関 係 制 度 に 係 る 基 本 的 な 考 え 方 )の 課 題 の 整

More information

市街化区域と市街化調整区域との区分

市街化区域と市街化調整区域との区分 市 街 化 区 域 と 市 街 化 調 整 区 域 との 区 分 に 関 する 見 直 し 要 領 平 成 27 年 9 月 埼 玉 県 市 街 化 区 域 と 市 街 化 調 整 区 域 との 区 分 に 関 する 見 直 し 要 領 趣 旨 本 県 では 昭 和 45 年 に 市 街 化 区 域 と 市 街 化 調 整 区 域 との 区 分 ( 以 下 区 域 区 分 という )を 都 市 計

More information

1 総 合 設 計 一 定 規 模 以 上 の 敷 地 面 積 及 び 一 定 割 合 以 上 の 空 地 を 有 する 建 築 計 画 について 特 定 行 政 庁 の 許 可 により 容 積 率 斜 線 制 限 などの 制 限 を 緩 和 する 制 度 である 建 築 敷 地 の 共 同 化 や

1 総 合 設 計 一 定 規 模 以 上 の 敷 地 面 積 及 び 一 定 割 合 以 上 の 空 地 を 有 する 建 築 計 画 について 特 定 行 政 庁 の 許 可 により 容 積 率 斜 線 制 限 などの 制 限 を 緩 和 する 制 度 である 建 築 敷 地 の 共 同 化 や 参 考 資 料 1-17 民 間 都 市 整 備 事 業 建 築 計 画 に 関 わる 関 連 制 度 の 整 理 都 市 開 発 諸 制 度 には 公 開 空 地 の 確 保 など 公 共 的 な 貢 献 を 行 う 建 築 計 画 に 対 して 容 積 率 や 斜 線 制 限 などの 建 築 基 準 法 に 定 める 形 態 規 制 を 緩 和 することにより 市 街 地 環 境 の 向 上 に

More information

平成24年度税制改正要望 公募結果 153. 不動産取得税

平成24年度税制改正要望 公募結果 153. 不動産取得税 項 目 : 153. 不 動 産 取 得 税 岩 手 県 ( 商 工 労 働 観 光 部 企 業 立 地 推 進 課 ) 被 災 地 域 の 企 業 が 投 資 する 再 生 可 能 エネルギー 設 備 に 対 する 課 税 免 除 大 震 災 津 波 において 被 災 地 域 では 電 気 水 道 ガスなどのインフラ 機 能 が 喪 失 し 工 場 では 稼 働 再 開 まで 長 期 間 を 要

More information

目 改 正 項 目 軽 自 動 車 率 の 引 上 げ 〇 国 及 び 地 方 を 通 じた 自 動 車 関 連 制 の 見 直 しに 伴 い 軽 自 動 車 の 標 準 率 が 次 のとおり 引 き 上 げられます 車 種 区 分 引 上 げ 幅 50cc 以 下 1,000 円 2,000 円

目 改 正 項 目 軽 自 動 車 率 の 引 上 げ 〇 国 及 び 地 方 を 通 じた 自 動 車 関 連 制 の 見 直 しに 伴 い 軽 自 動 車 の 標 準 率 が 次 のとおり 引 き 上 げられます 車 種 区 分 引 上 げ 幅 50cc 以 下 1,000 円 2,000 円 平 成 26 年 2 月 19 日 政 策 総 務 財 政 委 員 会 配 付 資 料 財 政 局 平 成 26 年 度 制 改 正 ( 地 方 )の 概 要 について 平 成 26 年 度 制 改 正 のうち に 関 係 する 主 な 改 正 事 項 は 次 のとおりです なお これらの 事 項 について 地 方 法 等 の 一 部 を 改 正 する 法 律 案 が 可 決 された 場 合 には

More information

<8BB388F58F5A91EE82A082E895FB8AEE967B95FB906A>

<8BB388F58F5A91EE82A082E895FB8AEE967B95FB906A> 恵 庭 市 教 員 住 宅 のあり 方 基 本 方 針 平 成 25 年 2 月 恵 庭 市 教 育 委 員 会 目 次 1. 教 員 住 宅 の 現 状 (1) 教 員 住 宅 の 役 割 1 (2) 教 員 住 宅 の 実 態 1 (3) 環 境 の 変 化 1 (4) 教 員 の 住 宅 事 情 1 2 2. 基 本 方 針 の 目 的 2 3.あり 方 検 討 会 議 の 答 申 内 容

More information

私立大学等研究設備整備費等補助金(私立大学等

私立大学等研究設備整備費等補助金(私立大学等 私 立 大 学 等 研 究 設 備 整 備 費 等 補 助 金 ( 私 立 大 学 等 研 究 設 備 等 整 備 費 ) 交 付 要 綱 目 次 第 1 章 通 則 ( 第 1 条 - 第 4 条 ) 第 2 章 私 立 大 学 等 ( 第 5 条 - 第 15 条 ) 第 3 章 専 修 学 校 ( 第 16 条 - 第 25 条 ) 第 4 章 補 助 金 の 返 還 ( 第 26 条 ) 第

More information

https://www.takara-dic-net.jp/xeditor_ne/xeditor_web/html_gene.

https://www.takara-dic-net.jp/xeditor_ne/xeditor_web/html_gene. 平 成 23 年 3 月 期 第 1 四 半 期 決 算 短 信 日 本 基 準 ( 連 結 ) 平 成 22 年 8 月 11 日 上 場 会 社 名 松 井 建 設 株 式 会 社 上 場 取 引 所 東 コ ー ド 番 号 1810 URL http://www.matsui-ken.co.jp/ 代 表 者 ( 役 職 名 ) 取 締 役 社 長 ( 氏 名 ) 松 井 隆 弘 ( 役 職

More information

<4D6963726F736F667420576F7264202D208379815B83578F4390B3814090E797748CA797709372926E88E68E7792E88AEE8F805F48508C668DDA95AA816A3130303430312E646F63>

<4D6963726F736F667420576F7264202D208379815B83578F4390B3814090E797748CA797709372926E88E68E7792E88AEE8F805F48508C668DDA95AA816A3130303430312E646F63> 千 葉 県 用 途 地 域 指 定 基 準 千 葉 県 県 土 整 備 部 都 市 計 画 課 平 成 22 年 4 月 - 目 次 - 1. 用 途 地 域 指 定 の 目 的 1 2. 用 途 地 域 指 定 の 基 本 方 針 1 3. 土 地 利 用 と 用 途 地 域 の 指 定 方 針 2 (1) 住 宅 地 (2) 商 業 地 (3) 工 業 地 (4) 幹 線 道 路 の 沿 道 等

More information

<4D F736F F D208ED089EF95DB8CAF89C193FC8FF38BB CC8EC091D492B28DB88C8B89CA82C982C282A282C42E646F63>

<4D F736F F D208ED089EF95DB8CAF89C193FC8FF38BB CC8EC091D492B28DB88C8B89CA82C982C282A282C42E646F63> 社 会 保 険 加 入 状 況 等 の 実 態 調 査 結 果 平 成 27 年 6 月 18 日 一 般 社 団 法 人 日 本 電 設 工 業 協 会 社 会 保 険 加 入 状 況 等 の 実 態 調 査 結 果 について 1. 調 査 の 目 的 社 会 保 険 加 入 促 進 計 画 の 計 画 期 間 (H24 年 度 ~H28 年 度 までの5 年 間 )の 中 間 時 点 として 1

More information

消 費 ~ 軽 減 率 消 費 の 軽 減 率 制 度 が 消 費 率 10% 時 に 導 入 することとされています 平 成 26 年 4 月 1 日 平 成 27 年 10 月 1 日 ( 予 定 ) 消 費 率 5% 消 費 率 8% 消 費 率 10% 軽 減 率 の 導 入 平 成 26

消 費 ~ 軽 減 率 消 費 の 軽 減 率 制 度 が 消 費 率 10% 時 に 導 入 することとされています 平 成 26 年 4 月 1 日 平 成 27 年 10 月 1 日 ( 予 定 ) 消 費 率 5% 消 費 率 8% 消 費 率 10% 軽 減 率 の 導 入 平 成 26 消 費 ~ 簡 易 課 制 度 のみなし 仕 入 率 の 見 直 し 簡 易 課 制 度 におけるみなし 仕 入 率 が 以 下 のとおり 見 直 されます 該 当 する 区 分 改 正 前 みなし 仕 入 率 みなし 仕 入 率 改 正 後 区 分 卸 売 業 小 売 業 製 造 業 等 金 融 業 その 他 の サービス 及 び 業 等 保 険 業 不 動 産 業 第 1 種 第 2 種 第 3

More information

2 役 員 の 報 酬 等 の 支 給 状 況 役 名 法 人 の 長 理 事 理 事 ( 非 常 勤 ) 平 成 25 年 度 年 間 報 酬 等 の 総 額 就 任 退 任 の 状 況 報 酬 ( 給 与 ) 賞 与 その 他 ( 内 容 ) 就 任 退 任 16,936 10,654 4,36

2 役 員 の 報 酬 等 の 支 給 状 況 役 名 法 人 の 長 理 事 理 事 ( 非 常 勤 ) 平 成 25 年 度 年 間 報 酬 等 の 総 額 就 任 退 任 の 状 況 報 酬 ( 給 与 ) 賞 与 その 他 ( 内 容 ) 就 任 退 任 16,936 10,654 4,36 独 立 行 政 法 人 駐 留 軍 等 労 働 者 労 務 管 理 機 構 の 役 職 員 の 報 酬 給 与 等 について Ⅰ 役 員 報 酬 等 について 1 役 員 報 酬 についての 基 本 方 針 に 関 する 事 項 1 平 成 25 年 度 における 役 員 報 酬 についての 業 績 反 映 のさせ 方 検 証 結 果 理 事 長 は 今 中 期 計 画 に 掲 げた 新 たな 要

More information

SXF 仕 様 実 装 規 約 版 ( 幾 何 検 定 編 ) 新 旧 対 照 表 2013/3/26 文 言 変 更 p.12(1. 基 本 事 項 ) (5)SXF 入 出 力 バージョン Ver.2 形 式 と Ver.3.0 形 式 および Ver.3.1 形 式 の 入 出 力 機 能 を

SXF 仕 様 実 装 規 約 版 ( 幾 何 検 定 編 ) 新 旧 対 照 表 2013/3/26 文 言 変 更 p.12(1. 基 本 事 項 ) (5)SXF 入 出 力 バージョン Ver.2 形 式 と Ver.3.0 形 式 および Ver.3.1 形 式 の 入 出 力 機 能 を SXF 仕 様 実 装 規 約 版 ( 幾 何 検 定 編 ) 新 旧 対 照 表 2013/3/26 改 訂 の 要 因 旧 新 (2013 年 4 月 版 ) 文 言 削 除 p.11(1. 基 本 事 項 ) (2) 保 証 すべき 実 数 の 精 度 p.5(1. 基 本 事 項 ) (2) 保 証 すべき 実 数 の 精 度 1. 用 紙 系 ( 線 種 ピッチ 等 用 紙 上 の 大 きさで

More information

の 基 礎 の 欄 にも 記 載 します ア 法 人 税 の 中 間 申 告 書 に 係 る 申 告 の 場 合 は 中 間 イ 法 人 税 の 確 定 申 告 書 ( 退 職 年 金 等 積 立 金 に 係 るものを 除 きます ) 又 は 連 結 確 定 申 告 書 に 係 る 申 告 の 場

の 基 礎 の 欄 にも 記 載 します ア 法 人 税 の 中 間 申 告 書 に 係 る 申 告 の 場 合 は 中 間 イ 法 人 税 の 確 定 申 告 書 ( 退 職 年 金 等 積 立 金 に 係 るものを 除 きます ) 又 は 連 結 確 定 申 告 書 に 係 る 申 告 の 場 第 20 号 様 式 記 載 の 手 引 1 この 申 告 書 の 用 途 等 (1) この 申 告 書 は 仮 決 算 に 基 づく 中 間 申 告 ( 連 結 法 人 以 外 の 法 人 が 行 う 中 間 申 告 に 限 ります ) 確 定 した 決 算 に 基 づく 確 定 申 告 及 びこれらに 係 る 修 正 申 告 をする 場 合 に 使 用 します (2) この 申 告 書 は 千

More information

<4D6963726F736F667420576F7264202D2095CA8E863136816A90DA91B18C9F93A289F1939A8F9181698D8288B3816A5F4150382E646F63>

<4D6963726F736F667420576F7264202D2095CA8E863136816A90DA91B18C9F93A289F1939A8F9181698D8288B3816A5F4150382E646F63> 接 続 検 討 回 答 書 ( 高 圧 版 ) 別 添 様 式 AP8-20160401 回 答 日 年 月 日 1. 申 込 者 等 の 概 要 申 込 者 検 討 者 2. 接 続 検 討 の 申 込 内 容 発 電 者 の 名 称 発 電 場 所 ( 住 所 ) 最 大 受 電 電 力 アクセス の 運 用 開 始 希 望 日 3. 接 続 検 討 結 果 (1) 希 望 受 電 電 力 に

More information

<819A955D89BF92B28F91816989638BC690ED97AA8EBA81418FA48BC682CC8A8890AB89BB816A32322E786C7378>

<819A955D89BF92B28F91816989638BC690ED97AA8EBA81418FA48BC682CC8A8890AB89BB816A32322E786C7378> 平 成 27 年 度 施 策 評 価 調 書 施 策 の 名 称 等 整 理 番 号 22 評 価 担 当 課 営 業 戦 略 課 職 氏 名 施 策 名 ( 基 本 事 業 ) 商 業 の 活 性 化 総 合 計 画 の 位 置 づけ 基 本 目 主 要 施 策 4 想 像 力 と 活 力 にあふれたまちづくり 商 業 の 振 興 2 施 策 の 現 状 分 析 と 意 図 施 策 の 対 象 意

More information

その 他 事 業 推 進 体 制 平 成 20 年 3 月 26 日 に 石 垣 島 国 営 土 地 改 良 事 業 推 進 協 議 会 を 設 立 し 事 業 を 推 進 ( 構 成 : 石 垣 市 石 垣 市 議 会 石 垣 島 土 地 改 良 区 石 垣 市 農 業 委 員 会 沖 縄 県 農

その 他 事 業 推 進 体 制 平 成 20 年 3 月 26 日 に 石 垣 島 国 営 土 地 改 良 事 業 推 進 協 議 会 を 設 立 し 事 業 を 推 進 ( 構 成 : 石 垣 市 石 垣 市 議 会 石 垣 島 土 地 改 良 区 石 垣 市 農 業 委 員 会 沖 縄 県 農 国 営 かんがい 排 水 事 業 石 垣 島 地 区 事 業 の 概 要 本 事 業 は 沖 縄 本 島 から 南 西 約 400kmにある 石 垣 島 に 位 置 する 石 垣 市 の4,338haの 農 業 地 帯 において 農 業 用 水 の 安 定 供 給 を 図 るため 農 業 水 利 施 設 の 改 修 整 備 を 行 うものである 事 業 の 目 的 必 要 性 本 地 区 は さとうきびを

More information

平成16年年金制度改正 ~年金の昔・今・未来を考える~

平成16年年金制度改正 ~年金の昔・今・未来を考える~ 第 2 回 社 会 保 険 料 労 働 保 険 料 の 賦 課 対 象 となる 報 酬 等 の 範 囲 に 関 する 検 討 会 平 成 24 年 9 月 20 日 資 料 1 通 勤 手 当 について 1 これまでの 通 勤 に 要 する 費 用 に 関 する 考 え 方 では 通 勤 手 当 の 金 額 が 実 費 弁 償 的 に 算 定 される 場 合 でも それは 通 常 使 用 者 が 負

More information

3 圏 域 では 県 北 沿 岸 で2の 傾 向 を 強 く 見 てとることができます 4 近 年 は 分 配 及 び 人 口 が 減 少 している 市 町 村 が 多 くなっているため 所 得 の 増 加 要 因 を 考 える 場 合 は 人 口 減 少 による 影 響 についても 考 慮 する

3 圏 域 では 県 北 沿 岸 で2の 傾 向 を 強 く 見 てとることができます 4 近 年 は 分 配 及 び 人 口 が 減 少 している 市 町 村 が 多 くなっているため 所 得 の 増 加 要 因 を 考 える 場 合 は 人 口 減 少 による 影 響 についても 考 慮 する 調 査 分 析 レポート 21-9 平 成 21 年 12 月 16 日 調 査 統 計 課 調 査 分 析 担 当 一 人 当 たり 市 町 村 民 所 得 の 増 加 要 因 について 平 成 19 市 町 村 民 所 得 推 計 において 市 町 村 民 所 得 の 分 配 ( 以 下 分 配 という ) 及 び 一 人 当 たり 市 町 村 民 所 得 ( 以 下 所 得 という

More information

リング 不 能 な 将 来 減 算 一 時 差 異 に 係 る 繰 延 税 金 資 産 について 回 収 可 能 性 がないも のとする 原 則 的 な 取 扱 いに 対 して スケジューリング 不 能 な 将 来 減 算 一 時 差 異 を 回 収 できることを 反 証 できる 場 合 に 原 則

リング 不 能 な 将 来 減 算 一 時 差 異 に 係 る 繰 延 税 金 資 産 について 回 収 可 能 性 がないも のとする 原 則 的 な 取 扱 いに 対 して スケジューリング 不 能 な 将 来 減 算 一 時 差 異 を 回 収 できることを 反 証 できる 場 合 に 原 則 第 323 回 企 業 会 計 基 準 委 員 会 資 料 番 号 日 付 審 議 事 項 (5)-4 2015 年 11 月 6 日 プロジェクト 項 目 税 効 果 会 計 公 開 草 案 に 対 するコメントへの 対 応 - 合 理 的 な 説 明 に 関 する 取 扱 い 本 資 料 の 目 的 1. 本 資 料 は 企 業 会 計 基 準 適 用 指 針 公 開 草 案 第 54 号 繰

More information

Microsoft Word - 答申本文.doc

Microsoft Word - 答申本文.doc 第 3 章 窒 素 酸 化 物 対 策 の 環 境 改 善 効 果 予 測 1 対 策 効 果 予 測 について 第 2 章 で 検 討 した 更 なる 対 策 について 対 策 の 実 施 による 将 来 の NOx 排 出 量 や 環 境 濃 度 の 低 減 効 果 等 を 把 握 することは 対 策 を 進 めていく 上 で 重 要 な 根 拠 となる そのため 第 1 章 -3で 設 定 した

More information

消 防 庁 危 険 物 保 安 室 殿 ドラム 缶 に 係 る 可 燃 性 蒸 気 対 流 シミュレーション 分 析 業 務 成 果 報 告 書 2013 年 1 月 アドバンスソフト 株 式 会 社

消 防 庁 危 険 物 保 安 室 殿 ドラム 缶 に 係 る 可 燃 性 蒸 気 対 流 シミュレーション 分 析 業 務 成 果 報 告 書 2013 年 1 月 アドバンスソフト 株 式 会 社 参 考 資 料 4 ドラム 缶 に 係 る 可 燃 性 蒸 気 滞 留 シミュレ ーション 分 析 業 務 成 果 報 告 書 消 防 庁 危 険 物 保 安 室 殿 ドラム 缶 に 係 る 可 燃 性 蒸 気 対 流 シミュレーション 分 析 業 務 成 果 報 告 書 2013 年 1 月 アドバンスソフト 株 式 会 社 目 次 1.はじめに... 2 2 ドラム 缶 によるガソリン 及 び

More information

10 期 末 現 在 の 資 本 金 等 の 額 次 に 掲 げる 法 人 の 区 分 ごとに それぞれに 定 める 金 額 を 記 載 します 連 結 申 告 法 人 以 外 の 法 人 ( に 掲 げる 法 人 を 除 きます ) 法 第 292 条 第 1 項 第 4 号 の5イに 定 める

10 期 末 現 在 の 資 本 金 等 の 額 次 に 掲 げる 法 人 の 区 分 ごとに それぞれに 定 める 金 額 を 記 載 します 連 結 申 告 法 人 以 外 の 法 人 ( に 掲 げる 法 人 を 除 きます ) 法 第 292 条 第 1 項 第 4 号 の5イに 定 める 第 20 号 様 式 記 載 の 手 引 1 この 申 告 書 の 用 途 等 この 申 告 書 は 仮 決 算 に 基 づく 中 間 申 告 ( 連 結 法 人 以 外 の 法 人 が 行 う 中 間 申 告 に 限 ります ) 確 定 した 決 算 に 基 づく 確 定 申 告 及 びこれらに 係 る 修 正 申 告 をする 場 合 に 使 用 します この 申 告 書 は 事 務 所 又 は

More information

<4D6963726F736F667420576F7264202D2087472D3188C091538AC7979D8B4B92F6814594F292B98CF092CA81698A94816A2E646F63>

<4D6963726F736F667420576F7264202D2087472D3188C091538AC7979D8B4B92F6814594F292B98CF092CA81698A94816A2E646F63> 飛 鳥 交 通 株 式 会 社 安 全 管 理 規 程 平 成 23 年 11 月 10 日 改 定 目 次 第 一 章 総 則 第 二 章 輸 送 の 安 全 を 確 保 するための 事 業 の 運 営 の 方 針 等 第 三 章 輸 送 の 安 全 を 確 保 するための 事 業 の 実 施 及 びその 管 理 の 体 制 第 四 章 輸 送 の 安 全 を 確 保 するための 事 業 の 実

More information

添 付 資 料 の 目 次 1. 当 四 半 期 決 算 に 関 する 定 性 的 情 報 2 (1) 経 営 成 績 に 関 する 説 明 2 (2) 財 政 状 態 に 関 する 説 明 2 (3) 連 結 業 績 予 想 などの 将 来 予 測 情 報 に 関 する 説 明 2 2.サマリー 情 報 ( 注 記 事 項 )に 関 する 事 項 3 (1) 当 四 半 期 連 結 累 計 期 間

More information

1 変更の許可等(都市計画法第35条の2)

1 変更の許可等(都市計画法第35条の2) 第 11 章 建 築 物 の 建 ぺい 率 等 の 指 定 ( 都 市 計 画 法 第 41 条 ) 建 築 物 の 建 ぺい 率 等 の 指 定 ( 都 市 計 画 法 第 41 条 ) 法 律 ( 建 築 物 の 建 ぺい 率 等 の 指 定 ) 第 四 十 一 条 都 道 府 県 知 事 は 用 途 地 域 の 定 められていない 土 地 の 区 域 における 開 発 行 為 につい て 開

More information

01.活性化計画(上大久保)

01.活性化計画(上大久保) 別 記 様 式 第 1 号 ( 第 四 関 係 ) か み お お く ぼ 上 大 久 保 ち く 地 区 か っ せ い か 活 性 化 け い か く 計 画 栃 木 県 鹿 沼 市 平 成 26 年 2 月 1 活 性 化 計 画 の 目 標 及 び 計 画 期 間 計 画 の 名 称 上 大 久 保 地 区 活 性 化 計 画 都 道 府 県 名 栃 木 県 市 町 村 名 鹿 沼 市 地

More information

Microsoft Word 印刷ver 本編最終no1(黒字化) .doc

Microsoft Word 印刷ver 本編最終no1(黒字化) .doc 3 目 標 使 用 年 数 の 設 定 3-1. 耐 用 年 数 と 目 標 使 用 年 数 の 考 え 方 1. 目 標 使 用 年 数 の 考 え 方 (1) 台 東 区 施 設 白 書 ( 平 成 26 年 7 月 ) における 使 用 年 数 ( 更 新 周 期 ) 台 東 区 施 設 白 書 ( 平 成 26 年 7 月 ) においては 国 が 示 す 試 算 基 準 ( 地 方 公 共

More information

第 4 章 調 査 予 測 保 全 対 策 評 価 4-4 低 周 波 音 4-4 低 周 波 音 4-4-1 調 査 1) 調 査 項 目 低 周 波 音 の 調 査 項 目 等 を 表 4-4-1 に 示 す 表 4-4-1 低 周 波 音 の 現 地 調 査 方 法 調 査 項 目 調 査 頻 度 調 査 方 法 低 周 波 音 2 回 / 年 ( 施 設 稼 動 時 施 設 停 止 時 )

More information

(5) 給 与 制 度 の 総 合 的 見 直 しの 実 施 状 況 について 概 要 の 給 与 制 度 の 総 合 的 見 直 しにおいては 俸 給 表 の 水 準 の 平 均 2の 引 き 下 げ 及 び 地 域 手 当 の 支 給 割 合 の 見 直 し 等 に 取 り 組 むとされている

(5) 給 与 制 度 の 総 合 的 見 直 しの 実 施 状 況 について 概 要 の 給 与 制 度 の 総 合 的 見 直 しにおいては 俸 給 表 の 水 準 の 平 均 2の 引 き 下 げ 及 び 地 域 手 当 の 支 給 割 合 の 見 直 し 等 に 取 り 組 むとされている 清 瀬 市 の 給 与 定 員 管 理 等 について 1 総 括 (1) 件 費 の 状 況 ( 普 通 会 計 決 算 ) 住 民 基 本 台 帳 口 歳 出 額 実 質 収 支 件 費 件 費 率 ( 参 考 ) (25 年 度 末 ) 25 年 度 千 74,247 27,195,534 A 768,602 千 4,616,550 B 千 17.0 B/A 昨 年 度 の 件 費 率 17.3

More information

事 業 概 要 利 用 時 間 休 館 日 使 用 方 法 使 用 料 施 設 を 取 り 巻 く 状 況 や 課 題 < 松 山 駅 前 駐 輪 場 > JR 松 山 駅 を 利 用 する 人 の 自 転 車 原 付 を 収 容 する 施 設 として 設 置 され 有 料 駐 輪 場 の 利 用

事 業 概 要 利 用 時 間 休 館 日 使 用 方 法 使 用 料 施 設 を 取 り 巻 く 状 況 や 課 題 < 松 山 駅 前 駐 輪 場 > JR 松 山 駅 を 利 用 する 人 の 自 転 車 原 付 を 収 容 する 施 設 として 設 置 され 有 料 駐 輪 場 の 利 用 駐 輪 場 ( 都 市 整 備 部 総 合 交 通 課 所 管 ) 市 が 設 置 している 有 料 駐 輪 場 は 市 内 に 2か 所 あります 松 山 駅 前 駐 輪 場 基 本 情 報 施 設 名 所 在 地 敷 地 面 積 構 造 階 層 延 べ 面 積 建 築 年 管 理 形 態 敷 地 の 状 態 松 山 駅 前 駐 輪 場 三 番 町 八 丁 目 364-6 681.25 m2 軽

More information

<5461726F2D8179835A8362836794C5817A313230333039817988C495B6817A>

<5461726F2D8179835A8362836794C5817A313230333039817988C495B6817A> - 1 - 省 百 七 旅 客 部 改 省 令 平 成 省 令 伴 並 平 成 省 令 並 ま づ 並 令 づ く 領 平 成 月 大 臣 前 田 武 志 づ く 領 語 お 使 語 監 督 針 平 成 省 千 百 お 使 語 - 2 - 務 名 簿 款 寄 附 為 登 記 証 明 組 織 図 保 制 証 機 器 機 器 設 設 備 記 載 決 算 報 足 経 的 礎 証 績 分 証 程 七 イ 概

More information

平成24年度 業務概況書

平成24年度 業務概況書 平 成 27 年 度 第 3 四 半 期 厚 生 年 金 保 険 給 付 調 整 積 立 金 運 用 状 況 ( 地 方 公 務 員 共 済 組 合 連 合 会 ) 平 成 27 年 度 第 3 四 半 期 運 用 状 況 の 概 要 第 3 四 半 期 末 の 運 用 資 産 額 は 10 兆 1,895 億 円 となりました 第 3 四 半 期 の 修 正 総 合 収 益 率 ( 期 間 率 )は

More information

第 1 条 適 用 範 囲 本 業 務 方 法 書 は 以 下 の 性 能 評 価 に 適 用 する (1) 建 築 基 準 法 施 行 令 ( 以 下 令 という ) 第 20 条 の7 第 1 項 第 二 号 表 及 び 令 第 20 条 の 8 第 2 項 の 認 定 に 係 る 性 能 評

第 1 条 適 用 範 囲 本 業 務 方 法 書 は 以 下 の 性 能 評 価 に 適 用 する (1) 建 築 基 準 法 施 行 令 ( 以 下 令 という ) 第 20 条 の7 第 1 項 第 二 号 表 及 び 令 第 20 条 の 8 第 2 項 の 認 定 に 係 る 性 能 評 一 般 財 団 法 人 ベターリビング 平 成 16 年 7 月 23 日 制 定 平 成 19 年 6 月 20 日 改 定 平 成 23 年 12 月 1 日 改 定 シックハウス 対 策 に 関 する 居 室 等 の 性 能 評 価 業 務 方 法 書 注 ) 本 業 務 方 法 書 は 予 告 なく 変 更 することがあります 1 第 1 条 適 用 範 囲 本 業 務 方 法 書 は 以

More information

4 承 認 コミュニティ 組 織 は 市 長 若 しくはその 委 任 を 受 けた 者 又 は 監 査 委 員 の 監 査 に 応 じなければ ならない ( 状 況 報 告 ) 第 7 条 承 認 コミュニティ 組 織 は 市 長 が 必 要 と 認 めるときは 交 付 金 事 業 の 遂 行 の

4 承 認 コミュニティ 組 織 は 市 長 若 しくはその 委 任 を 受 けた 者 又 は 監 査 委 員 の 監 査 に 応 じなければ ならない ( 状 況 報 告 ) 第 7 条 承 認 コミュニティ 組 織 は 市 長 が 必 要 と 認 めるときは 交 付 金 事 業 の 遂 行 の 地 域 づくり 一 括 交 付 金 の 交 付 に 関 する 要 綱 ( 趣 旨 ) 第 1 条 この 要 綱 は 川 西 市 地 域 分 権 の 推 進 に 関 する 条 例 ( 平 成 26 年 川 西 市 条 例 第 10 号 以 下 条 例 という ) 第 14 条 の 規 定 に 基 づく 地 域 づくり 一 括 交 付 金 ( 以 下 交 付 金 という )の 交 付 に 関 し 必 要

More information

質 問 票 ( 様 式 3) 質 問 番 号 62-1 質 問 内 容 鑑 定 評 価 依 頼 先 は 千 葉 県 などは 入 札 制 度 にしているが 神 奈 川 県 は 入 札 なのか?または 随 契 なのか?その 理 由 は? 地 価 調 査 業 務 は 単 にそれぞれの 地 点 の 鑑 定

質 問 票 ( 様 式 3) 質 問 番 号 62-1 質 問 内 容 鑑 定 評 価 依 頼 先 は 千 葉 県 などは 入 札 制 度 にしているが 神 奈 川 県 は 入 札 なのか?または 随 契 なのか?その 理 由 は? 地 価 調 査 業 務 は 単 にそれぞれの 地 点 の 鑑 定 62 (Q&A) 目 次 1 鑑 定 評 価 の 委 託 は 入 札 か 随 意 契 約 か またその 理 由 は 何 か 2 委 託 料 は 他 県 と 比 べて 妥 当 性 のある 金 額 か 3 地 価 公 示 ( 国 の 調 査 )との 違 いは 何 か また 国 の 調 査 結 果 はどう 活 用 しているか 4 路 線 価 を 利 用 しない 理 由 は 何 か 5 委 託 料 の 算

More information

Taro-H19退職金(修正版).jtd

Taro-H19退職金(修正版).jtd 調 査 結 果 の 概 要 1 退 職 金 制 度 (1) 採 用 状 況 ( 表 1) 集 計 第 1 表 第 2 表 退 職 金 制 度 の 採 用 状 況 をみると 退 職 一 時 金 制 度 のみ 14 社 ( 退 職 金 制 度 採 用 企 業 246 社 の5.7 %) 退 職 年 金 制 度 のみ 27 社 ( 同 11.0%) 退 職 一 時 金 制 度 と 退 職 年 金 制 度

More information

する ( 評 定 の 時 期 ) 第 条 成 績 評 定 の 時 期 は 第 3 次 評 定 者 にあっては 完 成 検 査 及 び 部 分 引 渡 しに 伴 う 検 査 の 時 とし 第 次 評 定 者 及 び 第 次 評 定 者 にあっては 工 事 の 完 成 の 時 とする ( 成 績 評 定

する ( 評 定 の 時 期 ) 第 条 成 績 評 定 の 時 期 は 第 3 次 評 定 者 にあっては 完 成 検 査 及 び 部 分 引 渡 しに 伴 う 検 査 の 時 とし 第 次 評 定 者 及 び 第 次 評 定 者 にあっては 工 事 の 完 成 の 時 とする ( 成 績 評 定 射 水 市 建 設 工 事 施 行 に 関 する 工 事 成 績 評 定 要 領 平 成 8 年 3 月 7 告 示 第 44 号 ( 目 的 ) 第 条 この 要 領 は 射 水 市 が 所 掌 する 工 事 の 成 績 評 定 ( 以 下 評 定 という )に 必 要 な 事 項 を 定 め 公 正 かつ 的 確 な 評 定 を 行 うことにより もって 請 負 業 者 の 選 定 及 び 指

More information

若 しくは 利 益 の 配 当 又 はいわゆる 中 間 配 当 ( 資 本 剰 余 金 の 額 の 減 少 に 伴 うものを 除 きます 以 下 同 じです )を した 場 合 には その 積 立 金 の 取 崩 額 を 減 2 に 記 載 す るとともに 繰 越 損 益 金 26 の 増 3 の

若 しくは 利 益 の 配 当 又 はいわゆる 中 間 配 当 ( 資 本 剰 余 金 の 額 の 減 少 に 伴 うものを 除 きます 以 下 同 じです )を した 場 合 には その 積 立 金 の 取 崩 額 を 減 2 に 記 載 す るとともに 繰 越 損 益 金 26 の 増 3 の 別 表 五 別 ( 表 一 五 )( 一 ) 利 益 積 立 金 額 及 び 資 本 金 金 等 等 の 額 の 額 計 の 算 計 に 算 関 に する 関 明 する 細 書 明 細 書 1 利 益 積 立 金 額 の 計 算 に 関 する 明 細 書 ⑴ この 明 細 書 の 用 途 この 明 細 書 は 法 第 2 条 第 18 号 及 び 令 第 9 条 (( 利 益 積 立 金 額 ))に

More information

OKIKAE-KAIRYOU-V3.xdw

OKIKAE-KAIRYOU-V3.xdw 置 換 えによる 地 盤 改 良 の 計 算 道 路 土 工 盛 土 工 指 針 擁 壁 工 指 針 平 成 24 年 度 版 対 応 概 要 書 地 下 水 位 置 換 え 改 良 ( 有 )シビルテック 2013.05.18 置 換 えによる 地 盤 改 良 計 算 について 1. 本 計 算 ソフトの 計 算 手 法 本 計 算 ソフトは 擁 壁 などの 構 造 物 基 礎 底 面 の 地 盤

More information

<31352095DB8CAF97BF97A6955C2E786C73>

<31352095DB8CAF97BF97A6955C2E786C73> 標 準 報 酬 月 額 等 級 表 ( 厚 生 年 金 ) 標 準 報 酬 報 酬 月 額 厚 生 年 金 保 険 料 厚 生 年 金 保 険 料 率 14.642% ( 平 成 18 年 9 月 ~ 平 成 19 年 8 月 ) 等 級 月 額 全 額 ( 円 ) 折 半 額 ( 円 ) 円 以 上 円 未 満 1 98,000 ~ 101,000 14,349.16 7,174.58 2 104,000

More information

<4D6963726F736F667420506F776572506F696E74202D204C454483568393837C8376838C835B839381698A43976D90858E598B5A8F7081408EF088E4816A2E707074>

<4D6963726F736F667420506F776572506F696E74202D204C454483568393837C8376838C835B839381698A43976D90858E598B5A8F7081408EF088E4816A2E707074> 1.LED 漁 技 術 開 発 の 推 移 2.20 年 度 終 了 時 における 課 題 と 21 年 度 実 証 事 業 結 果 1サンマ 棒 受 網 漁 業 への 導 入 事 例 2イカ 釣 り 漁 業 への 導 入 事 例 平 成 22 年 4 月 22 日 ( 木 ) ( 株 ) 海 洋 水 産 技 術 酒 井 拓 宏 3.LED 漁 実 証 試 験 に 係 る 現 状 の 到 達 点 1.LED

More information

Box-Jenkinsの方法

Box-Jenkinsの方法 Box-Jeks の 方 法 自 己 回 帰 AR 任 意 の 時 系 列 を 過 程 ARと 呼 ぶ で 表 す これが AR または AR m m m 個 の 過 去 の 値 に 依 存 する 時 これを 次 数 の 自 己 回 帰 ここで は 時 間 の 経 過 に 対 して 不 変 な 分 布 を 持 つ 系 列 相 関 のない 撹 乱 誤 差 項 である 期 待 値 一 定 の 分 散 σ

More information

Microsoft Word - No.10 西村.doc

Microsoft Word - No.10 西村.doc [ 論 文 No.10] 名 古 屋 港 西 五 区 耐 震 強 化 岸 壁 (-14m) 築 造 工 事 における 桟 橋 杭 の 根 入 れ 長 の 変 更 ( 独 ) 港 湾 空 港 技 術 研 究 所 菊 池 喜 昭 ( 株 ) 地 盤 試 験 所 西 村 真 二 1. 事 例 の 概 要 名 古 屋 港 の 西 五 区 に-14mコンテナ 船 用 の 桟 橋 式 の 耐 震 強 化 岸 壁

More information

主要生活道路について

主要生活道路について 議 題 2 資 料 1. 本 日 の 検 討 テーマ 主 要 生 活 道 路 について 基 本 構 想 ( 平 成 23 年 3 月 の 中 間 報 告 資 料 )では 主 要 生 活 道 路 A~C 主 要 生 活 道 路 D~G の2 種 類 の 主 要 生 活 道 路 整 備 を 提 言 しています 各 路 線 の 具 体 的 な 整 備 手 法 については 地 区 計 画 制 度 の 適 用

More information

( 別 途 調 査 様 式 1) 減 損 損 失 を 認 識 するに 至 った 経 緯 等 1 列 2 列 3 列 4 列 5 列 6 列 7 列 8 列 9 列 10 列 11 列 12 列 13 列 14 列 15 列 16 列 17 列 18 列 19 列 20 列 21 列 22 列 固 定

( 別 途 調 査 様 式 1) 減 損 損 失 を 認 識 するに 至 った 経 緯 等 1 列 2 列 3 列 4 列 5 列 6 列 7 列 8 列 9 列 10 列 11 列 12 列 13 列 14 列 15 列 16 列 17 列 18 列 19 列 20 列 21 列 22 列 固 定 資 料 10 減 損 損 失 及 び 資 本 金 の 変 動 に 関 する 調 査 記 載 上 の 注 意 事 項 当 調 査 は 減 損 損 失 を 認 識 するに 至 った 経 緯 資 本 金 の の 変 動 等 を 把 握 するために 調 査 する ものである 調 査 対 象 は 地 方 公 営 企 業 状 況 調 査 の 対 象 となっている 法 適 用 企 業 とする Ⅰ 提 出 物 について

More information

表紙

表紙 現 況 の 指 定 容 積 率 をすべて 使 い 切 った 場 合 に 現 況 容 積 率 の 2.25 倍 以 上 になるかどうかを 確 認 する 現 況 の 街 区 面 積 は 3,569,759m2 延 べ 床 面 積 は 3,569,759m2であるから 目 標 とする 延 べ 床 面 積 はその 2.25 倍 の 8,031,958m2である 一 方 指 定 容 積 率 をすべて 使 い

More information

KINGSOFT Office 2016 動 作 環 境 対 応 日 本 語 版 版 共 通 利 用 上 記 動 作 以 上 以 上 空 容 量 以 上 他 接 続 環 境 推 奨 必 要 2

KINGSOFT Office 2016 動 作 環 境 対 応 日 本 語 版 版 共 通 利 用 上 記 動 作 以 上 以 上 空 容 量 以 上 他 接 続 環 境 推 奨 必 要 2 目 次 動 作 環 境 特 長 方 法 方 法 起 動 終 了 方 法 方 法 操 作 方 法 使 方 使 方 使 方 詳 細 設 定 使 方 KINGSOFT Office 2016 動 作 環 境 対 応 日 本 語 版 版 共 通 利 用 上 記 動 作 以 上 以 上 空 容 量 以 上 他 接 続 環 境 推 奨 必 要 2 KINGSOFT Office 2016 特 長 主 特 長 以

More information

<4D F736F F F696E74202D2082C882E982D982C DD8ED88EE688F882CC82B582AD82DD C668DDA9770>

<4D F736F F F696E74202D2082C882E982D982C DD8ED88EE688F882CC82B582AD82DD C668DDA9770> な る ほ ど! 貸 借 取 引 のしくみ Japan Securities Finance Co.,Ltd 1 目 次 1. 貸 借 取 引 について 2. 貸 借 取 引 における 株 式 調 達 について 3. 品 貸 料 の 決 定 方 法 について 4. 制 限 措 置 を 含 む 貸 借 取 引 情 報 について 5.よくある 質 問 Japan Securities Finance Co.,Ltd

More information

2 平 均 病 床 数 の 平 均 病 床 数 では 療 法 人 に 対 しそれ 以 外 の 開 設 主 体 自 治 体 社 会 保 険 関 係 団 体 その 他 公 的 の 規 模 が 2.5 倍 程 度 大 きく 療 法 人 に 比 べ 公 的 病 院 の 方 が 規 模 の 大 き いことが

2 平 均 病 床 数 の 平 均 病 床 数 では 療 法 人 に 対 しそれ 以 外 の 開 設 主 体 自 治 体 社 会 保 険 関 係 団 体 その 他 公 的 の 規 模 が 2.5 倍 程 度 大 きく 療 法 人 に 比 べ 公 的 病 院 の 方 が 規 模 の 大 き いことが II 病 院 経 営 管 理 指 標 に 関 する 調 査 研 究 結 果 1. 平 成 22 年 度 病 院 経 営 管 理 指 標 の 概 要 平 成 22 年 度 病 院 経 営 管 理 指 標 の 詳 細 については 後 述 の 平 成 22 年 度 病 院 経 営 管 理 指 標 に 示 し 以 下 ではその 概 況 を 述 べる 注 有 効 回 答 数 が 5 以 下 の 項 目 には

More information

Microsoft Word - セット版●報告書要約2月28日「タイにおける省エネルギー技術として有効な屋根用省エネ塗料の技術協力事業」実証事業20130228 (2)

Microsoft Word - セット版●報告書要約2月28日「タイにおける省エネルギー技術として有効な屋根用省エネ塗料の技術協力事業」実証事業20130228 (2) 平 成 24 年 度 経 済 産 業 省 委 託 事 業 平 成 24 年 度 貿 易 投 資 円 滑 化 支 援 事 業 ( 実 証 事 業 一 般 案 件 ) タイにおける 省 エネルギー 技 術 として 有 効 な 屋 根 用 省 エネ 塗 料 の 技 術 協 力 事 業 実 証 事 業 報 告 書 ( 要 約 版 ) 平 成 25 年 2 月 社 団 法 人 日 本 塗 料 工 業 会 禁

More information

預 金 を 確 保 しつつ 資 金 調 達 手 段 も 確 保 する 収 益 性 を 示 す 指 標 として 営 業 利 益 率 を 採 用 し 営 業 利 益 率 の 目 安 となる 数 値 を 公 表 する 株 主 の 皆 様 への 還 元 については 持 続 的 な 成 長 による 配 当 可

預 金 を 確 保 しつつ 資 金 調 達 手 段 も 確 保 する 収 益 性 を 示 す 指 標 として 営 業 利 益 率 を 採 用 し 営 業 利 益 率 の 目 安 となる 数 値 を 公 表 する 株 主 の 皆 様 への 還 元 については 持 続 的 な 成 長 による 配 当 可 ミスミグループ コーポレートガバナンス 基 本 方 針 本 基 本 方 針 は ミスミグループ( 以 下 当 社 グループ という)のコーポレートガバナン スに 関 する 基 本 的 な 考 え 方 を 定 めるものである 1. コーポレートガバナンスの 原 則 (1) 当 社 グループのコーポレートガバナンスは 当 社 グループの 持 続 的 な 成 長 と 中 長 期 的 な 企 業 価 値 の

More information

Microsoft Word - h doc

Microsoft Word - h doc 消 防 予 第 192 号 平 成 9 年 12 月 5 日 各 都 道 府 県 消 防 主 管 部 長 殿 消 防 庁 予 防 課 長 消 防 用 設 備 等 に 係 る 届 出 等 に 関 する 運 用 について( 通 知 ) 消 防 法 ( 以 下 法 という ) 第 17 条 14 規 定 に 基 づく 消 防 用 設 備 等 着 工 届 法 第 17 条 32 規 定 に 基 づく 消 防

More information

1_2013BS(0414)

1_2013BS(0414) 貸 借 対 照 表 ( 平 成 26 年 3 月 31 日 現 在 ) 三 井 住 友 金 属 鉱 山 伸 銅 株 式 会 社 科 目 金 額 科 目 金 額 ( 資 産 の 部 ) ( 負 債 の 部 ) 流 動 資 産 25,869,002 流 動 負 債 9,707,274 現 金 及 び 預 金 987,167 買 掛 金 3,612,919 受 取 手 形 1,225,710 短 期 借

More information

<4D6963726F736F667420576F7264202D2090BC8BBB959491BA8F5A91EE8A54977694C52E646F63>

<4D6963726F736F667420576F7264202D2090BC8BBB959491BA8F5A91EE8A54977694C52E646F63> 西 興 部 村 住 生 活 基 本 計 画 公 営 住 宅 等 長 寿 命 化 計 画 < 概 要 版 > 平 成 22 年 3 月 北 海 道 西 興 部 村 住 生 活 基 本 計 画 公 営 住 宅 等 長 寿 命 化 計 画 の 背 景 国 では 公 的 直 接 供 給 やフローを 重 視 する 住 宅 建 設 計 画 法 を 廃 止 し 平 成 18 年 6 月 に 新 たな 時 代 の

More information

2. ど の 様 な 経 緯 で 発 覚 し た の か ま た 遡 っ た の を 昨 年 4 月 ま で と し た の は 何 故 か 明 ら か に す る こ と 回 答 3 月 17 日 に 実 施 し た ダ イ ヤ 改 正 で 静 岡 車 両 区 の 構 内 運 転 が 静 岡 運

2. ど の 様 な 経 緯 で 発 覚 し た の か ま た 遡 っ た の を 昨 年 4 月 ま で と し た の は 何 故 か 明 ら か に す る こ と 回 答 3 月 17 日 に 実 施 し た ダ イ ヤ 改 正 で 静 岡 車 両 区 の 構 内 運 転 が 静 岡 運 地 本 業 務 ニ ュ ー ス J R 東 海 労 静 岡 地 方 本 部 NO.1 8 2 0 1 2 年 6 月 1 9 日 発 行 者 : JR 東 海 労 静 岡 地 方 本 部 山 本 繁 明 申 6 号 に 関 する 幹 事 間 折 衝 を 開 催!! 6 月 15 日 地 本 は 静 岡 車 両 区 に お け る 構 内 運 転 士 に 対 す る 誤 支 給 及 び 戻 入 に つ

More information

[2] 控 除 限 度 額 繰 越 欠 損 金 を 有 する 法 人 において 欠 損 金 発 生 事 業 年 度 の 翌 事 業 年 度 以 後 の 欠 損 金 の 繰 越 控 除 にあ たっては 平 成 27 年 度 税 制 改 正 により 次 ページ 以 降 で 解 説 する の 特 例 (

[2] 控 除 限 度 額 繰 越 欠 損 金 を 有 する 法 人 において 欠 損 金 発 生 事 業 年 度 の 翌 事 業 年 度 以 後 の 欠 損 金 の 繰 越 控 除 にあ たっては 平 成 27 年 度 税 制 改 正 により 次 ページ 以 降 で 解 説 する の 特 例 ( 相 談 ~ 改 正 に 伴 い 改 めて 整 理 しておきたい~ 法 人 税 における 繰 越 欠 損 金 制 度 米 澤 潤 平 部 東 京 室 平 成 27 年 度 および28 年 度 の 税 制 改 正 による 法 人 税 率 引 き 下 げに 伴 う 課 税 ベース 拡 大 の 一 環 として 繰 越 欠 損 金 制 度 についても 大 改 正 が 行 われました 今 回 は 繰 越 欠 損

More information

目 次 第 1 土 地 区 画 整 理 事 業 の 名 称 等 1 1. 土 地 区 画 整 理 事 業 の 名 称 1 2. 施 行 者 の 名 称 1 第 2 施 行 地 区 1 1. 施 行 地 区 の 位 置 1 2. 施 行 地 区 位 置 図 1 3. 施 行 地 区 の 区 域 1 4

目 次 第 1 土 地 区 画 整 理 事 業 の 名 称 等 1 1. 土 地 区 画 整 理 事 業 の 名 称 1 2. 施 行 者 の 名 称 1 第 2 施 行 地 区 1 1. 施 行 地 区 の 位 置 1 2. 施 行 地 区 位 置 図 1 3. 施 行 地 区 の 区 域 1 4 資 料 1 土 地 区 画 整 理 事 業 画 書 ( 案 ) ( 仮 称 ) 箕 面 市 船 場 東 地 区 土 地 区 画 整 理 組 合 目 次 第 1 土 地 区 画 整 理 事 業 の 名 称 等 1 1. 土 地 区 画 整 理 事 業 の 名 称 1 2. 施 行 者 の 名 称 1 第 2 施 行 地 区 1 1. 施 行 地 区 の 位 置 1 2. 施 行 地 区 位 置 図 1

More information

(2)大学・学部・研究科等の理念・目的が、大学構成員(教職員および学生)に周知され、社会に公表されているか

(2)大学・学部・研究科等の理念・目的が、大学構成員(教職員および学生)に周知され、社会に公表されているか 平 成 23 年 度 自 己 報 告 書 1 理 念 目 的 (1) 大 学 学 部 研 究 科 等 の 理 念 目 的 は 適 切 に 設 定 されているか 平 成 19 年 6 月 に の 目 標 として 大 学 の 発 展 に 貢 献 する 力 のある 組 織 とい う 共 通 の 目 標 を 掲 げ この 目 標 を 常 に 念 頭 に 置 きながら 日 々の 業 務 に 当 たっている さらに

More information

1. 前 払 式 支 払 手 段 サーバ 型 の 前 払 式 支 払 手 段 に 関 する 利 用 者 保 護 等 発 行 者 があらかじめ 利 用 者 から 資 金 を 受 け 取 り 財 サービスを 受 ける 際 の 支 払 手 段 として 前 払 式 支 払 手 段 が 発 行 される 場 合

1. 前 払 式 支 払 手 段 サーバ 型 の 前 払 式 支 払 手 段 に 関 する 利 用 者 保 護 等 発 行 者 があらかじめ 利 用 者 から 資 金 を 受 け 取 り 財 サービスを 受 ける 際 の 支 払 手 段 として 前 払 式 支 払 手 段 が 発 行 される 場 合 決 済 WG 7-1 論 点 の 整 理 1. 前 払 式 支 払 手 段 サーバ 型 の 前 払 式 支 払 手 段 に 関 する 利 用 者 保 護 等 発 行 者 があらかじめ 利 用 者 から 資 金 を 受 け 取 り 財 サービスを 受 ける 際 の 支 払 手 段 として 前 払 式 支 払 手 段 が 発 行 される 場 合 紙 ICチップ 等 の 有 体 物 にその 価 値 が 記

More information

った 場 合 など 監 事 の 任 務 懈 怠 の 場 合 は その 程 度 に 応 じて 業 績 勘 案 率 を 減 算 する (8) 役 員 の 法 人 に 対 する 特 段 の 貢 献 が 認 められる 場 合 は その 程 度 に 応 じて 業 績 勘 案 率 を 加 算 することができる

った 場 合 など 監 事 の 任 務 懈 怠 の 場 合 は その 程 度 に 応 じて 業 績 勘 案 率 を 減 算 する (8) 役 員 の 法 人 に 対 する 特 段 の 貢 献 が 認 められる 場 合 は その 程 度 に 応 じて 業 績 勘 案 率 を 加 算 することができる 独 立 行 政 法 人 の 役 員 の 退 職 金 に 係 る 業 績 勘 案 率 の 算 定 ルールについて 平 成 27 年 5 月 25 日 総 務 大 臣 決 定 独 立 行 政 法 人 特 殊 法 人 及 び 認 可 法 人 の 役 員 の 退 職 金 について ( 平 成 27 年 3 月 24 日 閣 議 決 定 以 下 閣 議 決 定 という )に 基 づき 独 立 行 政 法 人

More information

容 積 率 制 限 の 概 要 1 容 積 率 制 限 の 目 的 地 域 で 行 われる 各 種 の 社 会 経 済 活 動 の 総 量 を 誘 導 することにより 建 築 物 と 道 路 等 の 公 共 施 設 とのバランスを 確 保 することを 目 的 として 行 われており 市 街 地 環

容 積 率 制 限 の 概 要 1 容 積 率 制 限 の 目 的 地 域 で 行 われる 各 種 の 社 会 経 済 活 動 の 総 量 を 誘 導 することにより 建 築 物 と 道 路 等 の 公 共 施 設 とのバランスを 確 保 することを 目 的 として 行 われており 市 街 地 環 資 料 2-2 容 積 率 規 制 等 について Ministry of Land, Infrastructure, Transport and Tourism 容 積 率 制 限 の 概 要 1 容 積 率 制 限 の 目 的 地 域 で 行 われる 各 種 の 社 会 経 済 活 動 の 総 量 を 誘 導 することにより 建 築 物 と 道 路 等 の 公 共 施 設 とのバランスを 確 保

More information

( 別 紙 ) 以 下 法 とあるのは 改 正 法 第 5 条 の 規 定 による 改 正 後 の 健 康 保 険 法 を 指 す ( 施 行 期 日 は 平 成 28 年 4 月 1 日 ) 1. 標 準 報 酬 月 額 の 等 級 区 分 の 追 加 について 問 1 法 改 正 により 追 加

( 別 紙 ) 以 下 法 とあるのは 改 正 法 第 5 条 の 規 定 による 改 正 後 の 健 康 保 険 法 を 指 す ( 施 行 期 日 は 平 成 28 年 4 月 1 日 ) 1. 標 準 報 酬 月 額 の 等 級 区 分 の 追 加 について 問 1 法 改 正 により 追 加 別 添 事 務 連 絡 平 成 27 年 12 月 18 日 日 本 年 金 機 構 厚 生 年 金 保 険 部 長 殿 厚 生 労 働 省 年 金 局 事 業 管 理 課 長 持 続 可 能 な 医 療 保 険 制 度 を 構 築 するための 国 民 健 康 保 険 法 等 の 一 部 を 改 正 する 法 律 による 健 康 保 険 法 及 び 船 員 保 険 法 改 正 内 容 の 一 部 に

More information

6 構 造 等 コンクリートブロック 造 平 屋 建 て4 戸 長 屋 16 棟 64 戸 建 築 年 1 戸 当 床 面 積 棟 数 住 戸 改 善 後 床 面 積 昭 和 42 年 36.00m2 4 50.40m2 昭 和 43 年 36.50m2 3 50.90m2 昭 和 44 年 36.

6 構 造 等 コンクリートブロック 造 平 屋 建 て4 戸 長 屋 16 棟 64 戸 建 築 年 1 戸 当 床 面 積 棟 数 住 戸 改 善 後 床 面 積 昭 和 42 年 36.00m2 4 50.40m2 昭 和 43 年 36.50m2 3 50.90m2 昭 和 44 年 36. 市 営 住 宅 建 替 えPFI 等 導 入 可 能 性 調 査 業 務 委 託 仕 様 書 1. 適 用 範 囲 本 仕 様 書 は 十 和 田 市 ( 以 下 発 注 者 という )が 実 施 する 市 営 住 宅 建 替 えPFI 等 導 入 可 能 性 調 査 業 務 委 託 ( 以 下 本 業 務 委 託 という )に 適 用 するものとする 2. 業 務 目 的 十 和 田 市 営 住

More information

草 加 都 市 計 画 事 業 新 田 西 部 土 地 区 画 整 理 事 業 土 地 評 価 基 準 ( 目 的 ) 第 1 この 基 準 は 土 地 区 画 整 理 法 ( 昭 和 29 年 法 律 第 119 号 ) 第 3 条 第 4 項 の 規 定 により 草 加 市 が 施 行 する 草

草 加 都 市 計 画 事 業 新 田 西 部 土 地 区 画 整 理 事 業 土 地 評 価 基 準 ( 目 的 ) 第 1 この 基 準 は 土 地 区 画 整 理 法 ( 昭 和 29 年 法 律 第 119 号 ) 第 3 条 第 4 項 の 規 定 により 草 加 市 が 施 行 する 草 草 加 都 市 計 画 事 業 新 田 西 部 土 地 区 画 整 理 事 業 土 地 評 価 基 準 草 加 市 草 加 都 市 計 画 事 業 新 田 西 部 土 地 区 画 整 理 事 業 土 地 評 価 基 準 ( 目 的 ) 第 1 この 基 準 は 土 地 区 画 整 理 法 ( 昭 和 29 年 法 律 第 119 号 ) 第 3 条 第 4 項 の 規 定 により 草 加 市 が 施

More information

<4D6963726F736F667420576F7264202D2030322090AC90D1955D92E8977697CC82CC895E9770816990DD8C76939995D2816A2E646F63>

<4D6963726F736F667420576F7264202D2030322090AC90D1955D92E8977697CC82CC895E9770816990DD8C76939995D2816A2E646F63> 土 木 部 建 築 設 計 及 び 工 事 監 理 等 委 託 業 務 成 績 評 定 要 領 の 運 用 ( 建 築 設 計 等 委 託 業 務 編 ) ( 評 定 の 方 法 ) 第 1 評 定 者 は 評 定 を 行 おうとする 業 務 ( 以 下 対 象 業 務 という )について 別 添 の 採 点 表 により 評 定 を 行 うものとし 評 価 項 目 評 価 の 視 点 及 び 評 価

More information

Microsoft Word - 論文最終.doc

Microsoft Word - 論文最終.doc 大 阪 兵 庫 地 区 における 単 位 水 量 測 定 方 法 に 対 する 評 価 大 藤 肇 * 大 崎 雅 弘 * 渡 辺 純 一 * 和 田 賢 治 * 西 村 文 夫 * 要 旨 : 単 位 水 量 の 管 理 方 法 は 様 々な 方 法 が 考 案 れているが これらの 精 は 未 だ 十 分 把 握 れて いない そこで 当 地 区 で 主 に 使 用 れている 高 周 波 加 熱

More information

(2) 支 状 況 保 育 所 ( 定 員 60 人 以 上 ) 支 状 況 は 次 とおりです 1 総 入 構 成 比 は 割 合 が88.1% 活 動 外 入 が2.1% 特 別 入 が9.8%でした 2 構 成 比 は 運 営 費 入 が80.1% 経 常 経 費 補 助 金 入 が17.8%

(2) 支 状 況 保 育 所 ( 定 員 60 人 以 上 ) 支 状 況 は 次 とおりです 1 総 入 構 成 比 は 割 合 が88.1% 活 動 外 入 が2.1% 特 別 入 が9.8%でした 2 構 成 比 は 運 営 費 入 が80.1% 経 常 経 費 補 助 金 入 が17.8% 認 可 保 育 所 経 営 析 参 考 指 標 ( 平 成 22 年 度 決 算 ) 1. 保 育 所 ( 定 員 60 人 以 上 ) (1) 機 能 性 状 況 保 育 所 ( 定 員 60 人 以 上 ) 有 効 集 対 象 3,086 施 設 機 能 性 状 況 は 次 とおりです 1 平 均 認 可 定 員 数 は108.5 人 で 利 用 率 は110.0%でした 2 在 所 児 1 人

More information

Microsoft Word - ★HP版平成27年度検査の結果

Microsoft Word - ★HP版平成27年度検査の結果 平 成 7 年 度 検 査 結 果 について () 検 査 体 制 等 農 政 部 農 地 整 備 課 の 検 査 員 名 以 上 により 土 地 改 良 区 等 あたり 日 間 から 日 間 実 施 しました 農 業 振 興 事 務 所 の 土 地 改 良 区 指 導 担 当 職 員 及 び 関 係 市 町 職 員 が 立 会 いました () 検 査 件 数 定 期 検 査 8( 土 地 改 良

More information

第2回 制度設計専門会合 事務局提出資料

第2回 制度設計専門会合 事務局提出資料 第 3 回 制 度 設 計 専 門 会 合 事 務 局 提 出 資 料 ~ 電 力 の 小 売 営 業 に 関 する 指 針 ( 案 )の 概 要 について~ 平 成 27 年 12 月 4 日 ( 金 ) 電 力 の 小 売 営 業 に 関 する 指 針 ( 案 )で 整 備 する の 目 次 1. 需 要 家 への 適 切 な 情 報 提 供 (1) 一 般 的 な 情 報 提 供 (2) 契

More information

安 芸 太 田 町 学 校 適 正 配 置 基 本 方 針 の 一 部 修 正 について 1 議 会 学 校 適 正 配 置 調 査 特 別 委 員 会 調 査 報 告 書 について 安 芸 太 田 町 教 育 委 員 会 が 平 成 25 年 10 月 30 日 に 決 定 した 安 芸 太 田

安 芸 太 田 町 学 校 適 正 配 置 基 本 方 針 の 一 部 修 正 について 1 議 会 学 校 適 正 配 置 調 査 特 別 委 員 会 調 査 報 告 書 について 安 芸 太 田 町 教 育 委 員 会 が 平 成 25 年 10 月 30 日 に 決 定 した 安 芸 太 田 安 芸 太 田 町 学 校 適 正 配 置 基 本 方 針 の 一 部 修 正 について 安 芸 太 田 町 教 育 委 員 会 安 芸 太 田 町 平 成 26 年 10 月 12 日 安 芸 太 田 町 学 校 適 正 配 置 基 本 方 針 の 一 部 修 正 について 1 議 会 学 校 適 正 配 置 調 査 特 別 委 員 会 調 査 報 告 書 について 安 芸 太 田 町 教 育 委

More information

代 議 員 会 決 議 内 容 についてお 知 らせします さる3 月 4 日 当 基 金 の 代 議 員 会 を 開 催 し 次 の 議 案 が 審 議 され 可 決 承 認 されました 第 1 号 議 案 : 財 政 再 計 算 について ( 概 要 ) 確 定 給 付 企 業 年 金 法 第

代 議 員 会 決 議 内 容 についてお 知 らせします さる3 月 4 日 当 基 金 の 代 議 員 会 を 開 催 し 次 の 議 案 が 審 議 され 可 決 承 認 されました 第 1 号 議 案 : 財 政 再 計 算 について ( 概 要 ) 確 定 給 付 企 業 年 金 法 第 代 議 員 会 決 議 内 容 についてお 知 らせします さる3 月 4 日 当 基 金 の 代 議 員 会 を 開 催 し 次 の 議 案 が 審 議 され 可 決 承 認 されました 第 1 号 議 案 : 財 政 再 計 算 について ( 概 要 ) 確 定 給 付 企 業 年 金 法 第 58 条 第 1 項 に 定 める 財 政 再 計 算 の 実 施 第 2 号 議 案 : 基 金 規

More information

<4D F736F F D2091E F18CB48D C481698E7B90DD8F9590AC89DB816A2E646F63>

<4D F736F F D2091E F18CB48D C481698E7B90DD8F9590AC89DB816A2E646F63> 平 成 18 年 7 月 独 立 行 政 法 人 国 立 大 学 財 務 経 営 センター 国 立 大 学 法 人 等 による 国 立 大 学 財 務 経 営 センターへの 土 地 譲 渡 収 入 の 一 部 納 付 の 仕 組 みについて 国 立 大 学 法 人 等 が 国 から 出 資 された 土 地 を 譲 渡 した 場 合 文 部 科 学 大 臣 が 定 める 基 準 に より 算 定 した

More information

積 み 立 てた 剰 余 金 の 配 当 に 係 る 利 益 準 備 金 の 額 は 利 益 準 備 金 1 の 増 3 に 記 載 します ⑸ 平 成 22 年 10 月 1 日 以 後 に 適 格 合 併 に 該 当 しない 合 併 により 完 全 支 配 関 係 がある 被 合 併 法 人 か

積 み 立 てた 剰 余 金 の 配 当 に 係 る 利 益 準 備 金 の 額 は 利 益 準 備 金 1 の 増 3 に 記 載 します ⑸ 平 成 22 年 10 月 1 日 以 後 に 適 格 合 併 に 該 当 しない 合 併 により 完 全 支 配 関 係 がある 被 合 併 法 人 か 別 表 五 ( 一 ) 利 益 積 立 金 額 及 び 資 本 金 等 の 額 の 計 算 に 関 する 明 細 書 1 利 益 積 立 金 額 の 計 算 に 関 する 明 細 書 ⑴ この 明 細 書 の 用 途 この 明 細 書 は 法 第 2 条 第 18 号 及 び 令 第 9 条 (( 利 益 積 立 金 額 ))に 規 定 する 利 益 積 立 金 額 を 計 算 するために 使 用

More information

4 参 加 資 格 要 件 本 提 案 への 参 加 予 定 者 は 以 下 の 条 件 を 全 て 満 たすこと 1 地 方 自 治 法 施 行 令 ( 昭 和 22 年 政 令 第 16 号 ) 第 167 条 の4 第 1 項 各 号 の 規 定 に 該 当 しない 者 であること 2 会 社

4 参 加 資 格 要 件 本 提 案 への 参 加 予 定 者 は 以 下 の 条 件 を 全 て 満 たすこと 1 地 方 自 治 法 施 行 令 ( 昭 和 22 年 政 令 第 16 号 ) 第 167 条 の4 第 1 項 各 号 の 規 定 に 該 当 しない 者 であること 2 会 社 北 秋 田 市 クリーンリサイクルセンターエネルギー 回 収 推 進 施 設 等 長 期 包 括 的 運 転 維 持 管 理 業 務 委 託 に 係 る 発 注 支 援 業 務 公 募 型 プロポ-ザル 実 施 要 領 1 プロポーザルの 目 的 この 要 領 は 平 成 30 年 4 月 から 運 転 を 予 定 している 北 秋 田 市 クリーンリサイクルセンター エネルギー 回 収 推 進 施

More information

(1) 率 等 一 覧 ( 平 成 26 年 度 ) 目 課 客 体 及 び 納 義 務 者 課 標 準 及 び 率 法 内 に 住 所 を 有 する ( 均 等 割 所 得 割 ) 内 に 事 務 所 事 業 所 又 は 家 屋 敷 を 有 する で 内 に 住 所 を 有 し ないもの( 均 等

(1) 率 等 一 覧 ( 平 成 26 年 度 ) 目 課 客 体 及 び 納 義 務 者 課 標 準 及 び 率 法 内 に 住 所 を 有 する ( 均 等 割 所 得 割 ) 内 に 事 務 所 事 業 所 又 は 家 屋 敷 を 有 する で 内 に 住 所 を 有 し ないもの( 均 等 8 資 料 ( 1 ) 率 等 一 覧 ( 平 成 26 年 度 ) ( 2 ) 最 近 の 主 な 制 改 正 一 覧 (1) 率 等 一 覧 ( 平 成 26 年 度 ) 目 課 客 体 及 び 納 義 務 者 課 標 準 及 び 率 法 内 に 住 所 を 有 する ( 均 等 割 所 得 割 ) 内 に 事 務 所 事 業 所 又 は 家 屋 敷 を 有 する で 内 に 住 所 を 有 し

More information

<4D6963726F736F667420576F7264202D208FE3927291DC926E8BE6926E8BE68C7689E681408C7689E68F912E646F63>

<4D6963726F736F667420576F7264202D208FE3927291DC926E8BE6926E8BE68C7689E681408C7689E68F912E646F63> 東 京 都 市 計 画 地 区 計 画 の 決 定 ( 豊 島 区 決 定 ) 都 市 計 画 上 池 袋 二 三 四 丁 目 地 区 地 区 計 画 を 次 のように 決 定 する 名 称 上 池 袋 二 三 四 丁 目 地 区 地 区 計 画 位 置 豊 島 区 上 池 袋 二 丁 目 上 池 袋 三 丁 目 上 池 袋 四 丁 目 及 び 池 袋 本 町 一 丁 目 各 地 内 面 積 約 46.5ha

More information

添 付 資 料 の 目 次 1. 当 四 半 期 決 算 に 関 する 定 性 的 情 報 2 (1) 経 営 成 績 に 関 する 説 明 2 (2) 財 政 状 態 に 関 する 説 明 2 (3) 連 結 業 績 予 想 などの 将 来 予 測 情 報 に 関 する 説 明 2 2.サマリー 情 報 ( 注 記 事 項 )に 関 する 事 項 3 (1) 当 四 半 期 連 結 累 計 期 間

More information

<4D6963726F736F667420576F7264202D208169959F93878CA797708F4390B3816A819A95CA8B4C976C8EAE91E682538B4C8DDA97E12E646F6378>

<4D6963726F736F667420576F7264202D208169959F93878CA797708F4390B3816A819A95CA8B4C976C8EAE91E682538B4C8DDA97E12E646F6378> ( 別 様 式 第 4の1-1/3-) 復 興 産 業 集 積 区 域 における 研 究 開 発 税 制 の 特 例 等 ( 法 第 39 条 ) 指 定 を 行 った 認 定 地 方 公 共 法 人 の 場 合 事 業 年 度 又 は 連 結 事 業 年 度 終 了 後 団 体 の 長 の 氏 名 を 載 してく 1か 月 以 内 に 提 出 し ださい 個 人 の 場 合 事 業 年 度 ( 暦

More information

定款  変更

定款  変更 公 益 社 団 法 人 宮 崎 県 農 業 振 興 公 社 定 款 公 益 社 団 法 人 宮 崎 県 農 業 振 興 公 社 公 益 社 団 法 人 宮 崎 県 農 業 振 興 公 社 定 款 第 1 章 総 則 ( 名 称 ) 第 1 条 この 法 人 は 公 益 社 団 法 人 宮 崎 県 農 業 振 興 公 社 ( 以 下 公 社 という )と 称 する ( 事 務 所 ) 第 2 条 公

More information

公表表紙

公表表紙 国 立 大 学 法 人 山 梨 大 学 の 役 の 報 酬 給 与 等 について 国 立 大 学 法 人 等 の 役 員 の 報 酬 等 及 び の 給 与 の 水 準 の 公 表 方 法 等 について(ガイドライン) ( 平 成 17 年 2 月 7 日, 総 務 大 臣 策 定 )に 基 づく 公 表 平 成 26 年 9 月 国 立 大 学 法 人 山 梨 大 学 国 立 大 学 法 人 山

More information

調 査 結 果 トピック1: 性 年 代 別 利 用 率 の 利 用 率 は 男 女 ともに 各 年 代 で 大 きく 伸 長 している 2011 年 9 月 の 調 査 では の 年 代 別 利 用 率 は 男 女 とも が 最 も 高 く が 23.9% が 20.5%だったが 今 年 の 調

調 査 結 果 トピック1: 性 年 代 別 利 用 率 の 利 用 率 は 男 女 ともに 各 年 代 で 大 きく 伸 長 している 2011 年 9 月 の 調 査 では の 年 代 別 利 用 率 は 男 女 とも が 最 も 高 く が 23.9% が 20.5%だったが 今 年 の 調 年 11 月 5 日 デジタル アドバタイジング コンソーシアム 株 式 会 社 利 用 実 態 調 査 購 買 プロセスにおけるの 利 用 率 が 伸 長 ~16-19 歳 におけるへの 依 存 度 が 増 加 ~ デジタル アドバタイジング コンソーシアム 株 式 会 社 ( 本 社 : 東 京 都 渋 谷 区 代 表 取 締 役 社 長 : 矢 嶋 弘 毅 以 下 DAC)は 子 会 社 である

More information