Xilinx UG383 Spartan-6 ブロック RAM ユーザー ガイド

Size: px
Start display at page:

Download "Xilinx UG383 Spartan-6 ブロック RAM ユーザー ガイド"

Transcription

1 Spartan-6 FPGA ブロック RAM リソース ユーザーガイド

2 Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the development of designs to operate with Xilinx hardware devices. You may not reproduce, distribute, republish, download, display, post, or transmit the Documentation in any form or by any means including, but not limited to, electronic, mechanical, photocopying, recording, or otherwise, without the prior written consent of Xilinx. Xilinx expressly disclaims any liability arising out of your use of the Documentation. Xilinx reserves the right, at its sole discretion, to change the Documentation without notice at any time. Xilinx assumes no obligation to correct any errors contained in the Documentation, or to advise you of any corrections or updates. Xilinx expressly disclaims any liability in connection with technical support or assistance that may be provided to you in connection with the Information. THE DOCUMENTATION IS DISCLOSED TO YOU AS-IS WITH NO WARRANTY OF ANY KIND. XILINX MAKES NO OTHER WARRANTIES, WHETHER EXPRESS, IMPLIED, OR STATUTORY, REGARDING THE DOCUMENTATION, INCLUDING ANY WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE, OR NONINFRINGEMENT OF THIRD-PARTY RIGHTS. IN NO EVENT WILL XILINX BE LIABLE FOR ANY CONSEQUENTIAL, INDIRECT, EXEMPLARY, SPECIAL, OR INCIDENTAL DAMAGES, INCLUDING ANY LOSS OF DATA OR LOST PROFITS, ARISING FROM YOUR USE OF THE DOCUMENTATION Xilinx, Inc. XILINX, the Xilinx logo, Virtex, Spartan, ISE, and other designated brands included herein are trademarks of Xilinx in the United States and other countries. All other trademarks are the property of their respective owners. 改訂履歴 本書の改訂履歴は以下のとおりです 日付 バージョン 変更内容 2009 年 6 月 24 日 1.0 初期リリース 2009 年 10 月 29 日 1.1 非同期クロック に項目を追加 未使用の入力 の接続を Low に修正 2010 年 2 月 23 日 1.2 コンフィギュレーションの種類 を変更 ( 18Kb ブロック RAM - シンプルデュアルポート セクションの削除を含む ) ガイド全体でこの情報を削除 表 2 ~ 表 3 にポート名を追加 非同期クロック の説明をアップデート データおよびアドレス幅 - DATA_WIDTH_A DATA_WIDTH_B を変更 図 14 をアップデート 本資料は英語版 (v1.2) を翻訳したもので 内容に相違が生じる場合には原文を優先します 資料によっては英語版の更新に対応していないものがあります 日本語版は参考用としてご使用の上 最新情報につきましては 必ず最新英語版をご参照ください Spartan-6 FPGA ブロック RAM リソースユーザーガイド japan.xilinx.com

3 目次 改訂履歴 このマニュアルについてその他の資料 その他のリソース ブロック RAM リソース概要 コンフィギュレーションの種類 Kb ブロック RAM - シンプルデュアルポート Kb ブロック RAM - 完全デュアルポート Kb ブロック RAM - 完全デュアルポート Spartan-6 FPGA のブロック RAM の機能 Spartan-6 FPGA のブロック RAM の使用規則 同期デュアルポートおよびシンプルデュアルポート RAM データフロー 読み出し動作 書き込み動作 書き込みモード WRITE_FIRST ( 透過 ) モード ( デフォルト ) READ_FIRST ( 書き込み前に読み出し ) モード NO_CHANGE ( 変化なし ) モード 競合の回避 非同期クロック 同期クロック 出力レジスタ ( オプション ) シンプルデュアルポートブロック RAM バイト幅書き込みイネーブル ブロック RAM のライブラリプリミティブ ブロック RAM のポート信号 クロック - CLKA CLKB (CLKAWRCLK CLKBRDCLK) イネーブル - ENA ENB (ENAWREN ENBRDEN) バイト幅書き込みイネーブル - WEA WEB (WEAWEL WEBWEU) レジスタイネーブル - REGCEA REGCEB (REGCEA REGCEBREGCE) セット / リセット - RSTA RSTB (RSTA RSTBRST) アドレスバス - ADDRA ADDRB (ADDRAWRADDR ADDRBRDADDR) データ入力バス - DIA DIB (DIADI DIBDI) データ入力パリティ - DIPA DIPB (DIPADIP DIPBDIP) データ出力バス - DOA DOB (DOADO DOBDO) データ出力パリティ - DOPA DOPB (DOPADOP DOPBDOP) GSR 未使用の入力 ブロック RAM のアドレスマップ ブロック RAM の属性 データおよびアドレス幅 - DATA_WIDTH_A DATA_WIDTH_B メモリ内容の初期化 - INIT_xx パリティメモリ内容の初期化 - INITP_xx 出力ラッチの初期化 - INIT_A INIT_B 出力ラッチ / レジスタの同期セット / リセット - SRVAL_A SRVAL_B RAM モード - RAM_MODE リセットと CE の優先度 - RST_PRIORITY_A RST_PRIORITY_B Spartan-6 FPGA ブロック RAM リソースユーザーガイド japan.xilinx.com 3

4 データラッチリセット - EN_RSTRAM_A EN_RSTRAM_B リセットタイプ - RSTTYPE オプションの出力レジスタ切り替え - DO[A B]_REG 書き込みモード - WRITE MODE_A WRITE_MODE_B ブロック RAM のロケーション制約 ブロック RAM の初期化 ブロック RAM プリミティブの設計上の追加注意事項 出力レジスタ ( オプション ) RAMB16BWER および RAMB8BWER ポートのマップ規則 リセットの動作 バイト幅書き込みイネーブル ブロック RAM のアプリケーション 大型 RAM 構造の作成 レジスタモードのブロック RAM の RST ブロック RAM のタイミングモデル ブロック RAM のタイミングパラメータ ブロック RAM のタイミング特性 クロックイベント クロックイベント クロックイベント クロックイベント ブロック RAM のタイミングモデル japan.xilinx.com Spartan-6 FPGA ブロック RAM リソースユーザーガイド

5 このマニュアルについて このマニュアルでは すべての Spartan -6 FPGA で使用可能なブロック RAM について説明します ブロック RAM は 効率的なデータ格納またはバッファ パフォーマンスの優れたステートマシンまたは FIFO バッファ 大型シフトレジスタ 大型ルックアップテーブル ROM などに使用されます その他の資料 Spartan-6 に関するその他の情報は から次を参照してください Spartan-6 ファミリ概要 Spartan-6 ファミリの機能とデバイスの概要を示します Spartan-6 FPGA データシート : DC 特性およびスイッチ特性 Spartan-6 ファミリの DC 特性およびスイッチ特性が記載されています Spartan-6 FPGA パッケージおよびピン配列の仕様 デバイス / ピンの組み合わせと最大 I/O 数 ピン定義 ピン配置図 機械的図面 熱仕様が記載されています Spartan-6 FPGA コンフィギュレーションユーザーガイド コンフィギュレーションインターフェイス ( シリアルおよびパラレル ) 複数のビットストリームの管理 ビットストリームの暗号化 バウンダリスキャンおよび JTAG コンフィギュレーション リコンフィギュレーション手法など コンフィギュレーションについて詳細に説明します Spartan-6 FPGA SelectIO リソースユーザーガイド すべての Spartan-6 デバイスに含まれている SelectIO について説明します Spartan-6 FPGA クロックリソースユーザーガイド DCM および PLL を含め Spartan-6 デバイスのクロックリソースについて説明します Spartan-6 FPGA コンフィギャブルロジックブロックユーザーガイド すべての Spartan-6 デバイスで使用可能なコンフィギャブルロジックブロック (CLB) の機能について説明します Spartan-6 FPGA GTP トランシーバユーザーガイド Spartan-6 LXT FPGA で使用可能な GTP トランシーバについて説明します Spartan-6 FPGA ブロック RAM リソースユーザーガイド japan.xilinx.com 5

6 このマニュアルについて Spartan-6 FPGA DSP48A1 Slice User Guide Spartan-6 FPGA の DSP48A1 スライスのアーキテクチャについて説明し コンフィギュレーション例を示します Spartan-6 FPGA Memory Controller User Guide Spartan-6 FPGA のメモリコントローラブロックについて説明します メモリコントローラブロックは Spartan-6 FPGA をよく使用されるメモリ規格に接続する際のインターフェイスを簡略化するエンベデッドマルチポートメモリコントローラです Spartan-6 FPGA PCB Design Guide PCB およびインターフェイスレベルでデザインを決定するためのストラテジに焦点を置いて Spartan-6 デバイスの PCB デザインに関する情報を示します その他のリソース シリコン ソフトウェア IP に関するアンサーデータベースを検索したり テクニカルサポートのウェブケースを開く場合は 次の Web サイトにアクセスしてください 6 japan.xilinx.com Spartan-6 FPGA ブロック RAM リソースユーザーガイド

7 ブロック RAM リソース 概要 Spartan -6 FPGA のブロック RAM は 最大 18K ビットのデータを格納でき 2 つの独立した 9Kb RAM または 1 つの 18Kb RAM としてコンフィギュレーションできます 各 RAM は 2 つのポートを使用してアクセスできますが シングルポート RAM としてもコンフィギュレーションできます ブロック RAM リソースには パイプラインパフォーマンスを向上するため出力レジスタが含まれています ブロック RAM は列に配置されます ブロック RAM の合計数は Spartan-6 デバイスのサイズによって異なります その他のザイリンクス FPGA のブロック RAM と同様に 書き込みと読み出しは同期動作で 2 つのポートは対称でそれぞれ完全に独立しており 格納されたデータのみを共有します 各ポートは 設定可能な幅のいずれかに個別に指定できます メモリの内容は コンフィギュレーションビットストリームで初期化またはクリアできます 書き込み処理中 データ出力をそのまま保持するか 書き込まれている新規データを出力するか 上書きされようとしている以前のデータを出力するかを指定できます デュアルポートまたはシングルポートのエンベデッド RAM モジュール ROM モジュール 同期 FIFO データ幅コンバータは CORE Generator のブロックメモリモジュールを使用すると簡単にインプリメントできます デュアルクロック FIFO は CORE Generator の FIFO Generator モジュールを使用して作成できます コンフィギュレーションの種類 完全デュアルポートモードの場合 両方のポートを個別に書き込みまたは読み出しポートに設定できます 9Kb RAM では シンプルデュアルポートモードの場合を除き データの最大幅は 18 ビットです シンプルデュアルポートモードの場合 ポート A は書き込みのみ ポート B は読み出しのみにしか設定できませんが データ幅は 36 ビットに拡張されます 完全デュアルポートモードでは 両方のブロック RAM サイズで 2 つのポートの幅を個別に設定できます シンプルデュアルポートモードでは 表 1 ~ 表 3 に示すように 9Kb RAM で x36 データ幅のコンフィギュレーションが可能です Spartan-6 FPGA ブロック RAM リソースユーザーガイド japan.xilinx.com 7

8 概要 9Kb ブロック RAM - シンプルデュアルポート 9Kb ブロック RAM にコンフィギュレーション可能なシンプルデュアルポートモードのポート幅の組み合わせは 表 1 のとおりです 表 1 : 9Kb ブロック RAM にコンフィギュレーション可能なシンプルデュアルポートモード ポート A ポート B パリティビットなし パリティビットあり 8K x 1 4K x 2 2K x 4 1K x x 16 パリティビットなし パリティビットあり 8K x 1 4K x 2 2K x 4 1K x x x 32 1K x x x 36 完全デュアルポートモードを使用 不可 256 x 32 不可 可能 1K x x 18 不可 不可 完全デュアルポートモードを使用 256 x 36 不可可能 不可 9Kb ブロック RAM - 完全デュアルポート 9Kb ブロック RAM にコンフィギュレーション可能な完全デュアルポートモードのポート幅の組み合わせは 表 2 のとおりです 表 2 : 9Kb ブロック RAM にコンフィギュレーション可能な完全デュアルポートモードポート A ポート B 8K x 1 4K x 2 2K x 4 1K x x 16 1K x x 18 パリティビットなし パリティビットあり 8K x 1 4K x 2 2K x 4 1K x x 16 1K x x 18 すべて可能 すべて不可 すべて不可 すべて可能 8 japan.xilinx.com Spartan-6 FPGA ブロック RAM リソースユーザーガイド

9 概要 18Kb ブロック RAM - 完全デュアルポート 18Kb ブロック RAM にコンフィギュレーション可能な完全デュアルポートモードのポート幅の組み合わせは 表 3 のとおりです 表 3 : 18Kb ブロック RAM にコンフィギュレーション可能な完全デュアルポートモード ポート A ポート B 16K x 1 8K x 2 4K x 4 2K x 8 1K x x 32 2K x 9 1K x x 36 パリティビットなしパリティビットあり 16K x 1 8K x 2 4K x 4 2K x 8 1K x x 32 2K x 9 1K x x 36 すべて可能すべて不可 すべて不可すべて可能 Spartan-6 FPGA ブロック RAM リソースユーザーガイド japan.xilinx.com 9

10 概要 表 4 は その他のブロック RAM の組み合わせと ADDR ポート幅を示しています 表 4 : ブロック RAM データの組み合わせと ADDR の位置 組み合わせ ワード数 データ幅 パリティ幅 データ入力および出力 ADDR RAM 合計 (Kb) パリティありとパリティなしの場合の 9Kb ブロック RAM 256x32 (1) なし [31:0] [12:5] 8 256x36 (1) [35:0] [12:5] x なし [15:0] [12:4] x [17:0] [12:4] 9 1K x なし [7:0] [12:3] 8 1K x [8:0] [12:3] 9 2K x なし [3:0] [12:2] 8 4K x なし [1:0] [12:1] 8 8K x なし [0:0] [12:0] 8 パリティありとパリティなしの場合の 18Kb ブロック RAM 512 x なし [31:0] [13:5] x [35:0] [13:5] 18 1K x なし [15:0] [13:4] 16 1K x [17:0] [13:4] 18 2K x なし [7:0] [13:3] 16 2K x [8:0] [13:3] 18 4K x なし [3:0] [13:2] 16 8K x なし [1:0] [13:1] 16 16K x なし [0:0] [13:0] 16 メモ : 1. x32 および x36 のデータ幅はシンプルデュアルポート (SDP) モードでのみ使用可能 10 japan.xilinx.com Spartan-6 FPGA ブロック RAM リソースユーザーガイド

11 概要 Spartan-6 FPGA のブロック RAM の機能 ブロックごとのメモリ格納機能により 各ブロック RAM に最大で 18Kb のデータを格納できます 2 つの独立した 9Kb または 1 つの 18Kb ブロック RAM がサポートされます 各 9Kb ブロック RAM をシンプルデュアルポートモードにし ブロック RAM のデータ幅を最大 36 ビットにまで設定できます シンプルデュアルポートモードでは 読み出し専用ポートと書き込み専用ポートが 1 つずつあり それぞれが独立したクロックで動作します 同期セットピンと同期リセットピンを分離することにより オプションの出力レジスタのセット / リセットとブロック RAM の出力ラッチ段階を個別に制御できます これにより Spartan-6 FPGA ブロック RAM がその他の FPGA ファミリとさらに一致するようになり FPGA ロジックレジスタをブロック RAM ブロックにマップしやすくなります 18 または 36 ビット幅のポートには バイトごとに個別の書き込みイネーブルを含めることができます これは オンチップのマイクロプロセッサとインターフェイスする際に頻繁に使用される機能です すべての入力はポートクロックに同期して取り込まれ setup-to-clock タイミング仕様に従います すべての出力の機能は 書き込みイネーブル (WE) ピンの状態によって 読み出し または 書き込み中読み出し になります これらの出力は clock-to-out タイミングの後に有効になります 書き込み中読み出しの出力には WRITE_FIRST READ_FIRST NO_CHANGE という 3 つの動作モードがあります 書き込みには クロックエッジが 1 つ必要です 読み出しには クロックエッジが 1 つ必要です すべての出力ポートにはラッチが付いています 出力ポートの値は そのポートで別の読み込みまたは書き込みが行われない限り変化しません デフォルトでは ブロック RAM 出力はラッチモードです 出力データパスにはオプションの内部パイプラインレジスタがあります レジスタモードの使用を強く推奨します これにより 高クロックレートでの動作が可能になりますが 1 クロックサイクルのレイテンシが追加されます Spartan-6 FPGA のブロック RAM の使用規則 ブロック RAM の同期出力レジスタ ( オプション ) は DO_REG = 1 のときに RST を使用してセットまたはリセット (SRVAL) されます 同期出力ラッチは EN_RSTRAM = TRUE のときに RST を使用してセットまたはリセット (SRVAL) されます この場合 ブロック RAM はイネーブル (EN = 1) になっている必要があります ブロック RAM のアドレスピンおよび書き込みイネーブルピンのセットアップタイムに違反しないようにします アドレスセットアップタイムに違反すると 書き込みネーブルが Low であっても ブロック RAM のデータ内容が破損します Spartan-6 FPGA ブロック RAM リソースユーザーガイド japan.xilinx.com 11

12 同期デュアルポートおよびシンプルデュアルポート RAM 同期デュアルポートおよびシンプルデュアルポート RAM データフロー 完全デュアルポートの 18Kb ブロック RAM デュアルポートメモリは 18Kb の記憶領域と 完全に独立した 2 つのアクセスポート (A および B) で構成されています 同様に 各 9Kb ブロック RAM デュアルポートメモリは 9Kb の記憶領域と 完全に独立した 2 つのアクセスポート (A および B) で構成されています 構造は完全に対称で 両ポートは交換可能です 図 1 にデュアルポートのデータフローを示し 表 5 にポート名とその説明を示します データの書き込み / 読み出しは どちらか 1 つのポートまたは両方のポートで実行できます 書き込みは同期動作であり 各ポートにそれぞれアドレス データ入力 データ出力 クロック クロックイネーブル 書き込みイネーブルがあります 読み出しおよび書き込みは同期動作であり クロックエッジが 1 つ必要です 両方のポートで同じアドレスにアクセスした場合に それを調整する専用モニタはありません 2 つのクロックのタイミングは ユーザーの責任で調整してください 同じアドレスに同時に書き込みを実行した場合 物理的な破損はありませんが 書き込まれたデータは不確定になります X-Ref Target - Figure 1 18 Kb Block RAM DIA DIPA ADDRA WEA ENA RSTA CLKA REGCEA Port A 18 Kb Memory Array DOA DOPA DIB DIPB ADDRB WEB ENB RSTB CLKB REGCEB Port B DOB DOPB ug383_c1_01_ 図 1 : 完全デュアルポートデータフロー 12 japan.xilinx.com Spartan-6 FPGA ブロック RAM リソースユーザーガイド

13 同期デュアルポートおよびシンプルデュアルポート RAM 表 5 : 完全デュアルポートの名前と説明 DI[A B] (1) DIP[A B] (1) ADDR[A B] WE[A B] EN[A B] ポート名説明 データ入力バス データ入力パリティバス アドレスバス バイト幅書き込みイネーブル 非アクティブの場合 ブロック RAM にデータは書き込まれず 出力バスが以前の状態に保持されます RST[A B] 出力レジスタを同期セット / リセット (DO_REG = 1) CLK[A B] DO[A B] (1) DOP[A B] (1) REGCE[A B] クロック入力データ出力バスデータ出力パリティバス出力レジスタクロックイネーブル メモ : 1. データパリティピンの詳細は データ入力バス - DIA DIB (DIADI DIBDI) を参照してください 読み出し動作 ラッチモードの読み出しには 1 つのクロックエッジが使用されます 読み出しアドレスが読み出しポートに取り込まれてから RAM アクセス時間の後に 格納されたデータが出力ラッチに読み込まれます 出力レジスタを使用している場合 出力でレイテンシが 1 サイクル追加されます 書き込み動作 書き込み動作は 1 つのクロックエッジで行われます 書き込みアドレスが書き込みポートに取り込まれ 入力データがメモリに格納されます 書き込みモード 書き込みクロックエッジ後に出力ラッチに現れるデータは 書き込みモードを 3 つの値 (WRITE_FIRST READ_FIRST NO_CHANGE) のいずれかに設定することで制御します 各ポートで書き込みモードを個別に設定できます デフォルトでは WRITE_FIRST に設定されています WRITE_FIRST では 出力バスに新たに書き込まれたデータが出力されます READ_FIRST では 新しくデータが書き込まれる前に 格納されていたデータが出力されます NO_CHANGE では 前回の読み出しでの出力がそのまま保持されます Spartan-6 FPGA ブロック RAM リソースユーザーガイド japan.xilinx.com 13

14 同期デュアルポートおよびシンプルデュアルポート RAM WRITE_FIRST ( 透過 ) モード ( デフォルト ) WRITE_FIRST モードでは 図 2 に示すように 入力データがメモリに書き込まれると同時にデータ出力に送信されます ( 透過書き込み ) ここに示す波形は オプションの出力パイプラインレジスタを使用していないラッチモードの場合のものです X-Ref Target - Figure 2 CLK WE DI XXXX XXXX ADDR aa bb cc dd DO 0000 MEM(aa) MEM(dd) EN Disabled Read Write MEM(bb)=1111 Write MEM(cc)=2222 Read ug383_c1_02_ 図 2 : WRITE_FIRST モードのタイミング READ_FIRST ( 書き込み前に読み出し ) モード READ_FIRST モードでは 以前に書き込みアドレスに格納されていたデータが出力ラッチに送信され それと同時に入力データがメモリに格納されます ( 書き込み前に読み出し ) 図 3 に示す波形は オプションの出力パイプラインレジスタを使用していないラッチモードの場合のものです X-Ref Target - Figure 3 CLK WE DI XXXX XXXX ADDR aa bb cc dd DO 0000 MEM(aa) old MEM(bb) old MEM(cc) MEM(dd) EN Disabled Read Write MEM(bb)=1111 Write MEM(cc)=2222 Read ug383_c1_03_ 図 3 : READ_FIRST モードのタイミング 14 japan.xilinx.com Spartan-6 FPGA ブロック RAM リソースユーザーガイド

15 同期デュアルポートおよびシンプルデュアルポート RAM NO_CHANGE ( 変化なし ) モード NO_CHANGE モードでは 書き込み中出力ラッチは変化しません 図 4 に示すように データ出力には最後に読み込まれたデータがそのまま保持され 同じポートでの書き込みに影響されません ここに示す波形は オプションの出力パイプラインレジスタを使用していないラッチモードの場合のものです X-Ref Target - Figure 4 CLK WE DI XXXX XXXX ADDR aa bb cc dd DO 0000 MEM(aa) MEM(dd) EN Disable Read Write MEM(bb)=1111 Write MEM(cc)=2222 Read ug383_c1_04_ 図 4 : NO_CHANGE モードのタイミング 競合の回避 Spartan-6 FPGA のブロック RAM メモリは 両方のポートが任意のメモリ位置に随時アクセスできる完全デュアルポート RAM です ただし 2 つのポートから 1 つのメモリ位置へアクセスする際には 制限があります このようなアクセスには 両ポートで共通のクロックを使用している場合 ( 同期クロック ) と 両ポートのクロック周波数と位相が異なる場合 ( 非同期クロック ) があります 非同期クロック 非同期クロックは より一般的な状況で 両クロックのアクティブエッジは同時に発生しません 両ポートで読み出しを実行する場合 タイミングの制限はありません 1 つのポートで書き込みを実行しているときに もう 1 つのポートで同じメモリ位置への読み出しまたは書き込みは実行できません これに反すると シミュレーションモデルでエラーが発生します この制限を無視すると 読み出しまたは書き込み動作の結果が予測されないものになります ただし デバイスが物理的に破損することはありません 読み出しと書き込みが実行されると 書き込み位置に有効なデータが格納されます READ_FIRST モードでは デュアルポートブロック RAM を使用する場合にポート A と B のアドレスが競合しないようにする必要があります この制限は TDP および SDP モードの両方に適用されます 1 つのポートでの読み出し / 書き込みと もう 1 つのポートでの同じアドレスへの書き込みを同時に実行することはできません ブロック RAM の動作におけるこの制限を無視することはできません 両方のポートが 18 ビット幅以下である場合の RAMB16BWER : A13 ~ A6 および A4 を同じにすることはできません 1 つのポートが 36 ビット幅である場合の RAMB16BWER : A13 ~ A7 および A5 を同じにすることはできません RAMB16BWER のすべてのコンフィギュレーション : A12 ~ A6 および A4 を同じにすることはできません Spartan-6 FPGA ブロック RAM リソースユーザーガイド japan.xilinx.com 15

16 同期デュアルポートおよびシンプルデュアルポート RAM 同期クロック 同期クロックは 両ポートのクロックのアクティブエッジが同時に発生するという特殊な状況です 両ポートで読み出しを実行する場合 タイミングの制限はありません 1 つのポートで書き込みを実行しているとき もう 1 つのポートを使用して同じメモリ位置に書き込みを実行することできません ただし 両ポートで書き込むデータが同一の場合は例外です 1 つのポートで書き込みを実行しているとき 書き込みポートが READ_FIRST モードになっていれば もう 1 つのポートで同じメモリ位置から正しくデータを読み出すことができます その場合 両ポートの DATA_OUT は以前に格納されていたデータになります 書き込みポートが WRITE_FIRST または NO_CHANGE モードになっているときは 読み出しポートの DATA_OUT が無効 ( 不確定 ) になります 読み出しポートのモード設定は この動作には影響を与えません 出力レジスタ ( オプション ) オプションの出力レジスタは パイプライン処理用に CLB フリップフロップへの配線遅延を削除することで デザインのパフォーマンスを向上します これらの出力レジスタには独立したクロックイネーブル入力が供給されるため 入力レジスタとは別の値が保持されます 図 5 にオプションの出力レジスタを示します X-Ref Target - Figure 5 DO Address DI Register Memory Array (common to both ports) D Q Latches D Q Register WE EN Write Strobe Read Strobe Control Engine Latch Enable CLK Optional Inverter Configurable Options ug383_c1_05_ 図 5 : ブロック RAM の論理図 ( ポートは 1 つ ) シンプルデュアルポートブロック RAM 各 9Kb ブロックは シンプルデュアルポート (SDP) RAM モードにもコンフィギュレーションできます このモードでは 9Kb ブロック RAM のポート幅が 2 倍の 36 ビットとなります シンプルデュアルポートモードでは ポート A を主な書き込みポート ポート B を主な読み出しポートとし 読み出しおよび書き込み動作を同時に実行できます 読み出しポートおよび書き込みポートで同時に同じデータ位置にアクセスすると競合が発生し 完全デュアルポートモードのポート競合と同じように処理されます コンフィギュレーションポートからのリードバックはシンプルデュアルポートブロック RAM モードではサポートされていません このモードでのデータフローを図 6 に示します 表 6 には SDP のポート名とその説明を示します 16 japan.xilinx.com Spartan-6 FPGA ブロック RAM リソースユーザーガイド

17 同期デュアルポートおよびシンプルデュアルポート RAM X-Ref Target - Figure 6 9 Kb Memory Array 32 DI DO 32 4 DIP DOP WE WRADDR WRCLK WREN 15 RDEN RDADDR RDCLK REGCE RST ug383_c1_06_ 図 6 : シンプルデュアルポートモードでのデータフロー 表 6 : シンプルデュアルポート RAM のポート名および説明 ポート名説明 DO DOP DI DIP RDADDR RDCLK RDEN REGCE RST WE WRADDR WRCLK WREN データ出力バスデータ出力パリティバスデータ入力バスデータ入力パリティバス読み出しデータアドレスバス読み出しデータクロック読み出しポートイネーブル出力レジスタクロックイネーブルデータ出力レジスタ / ラッチの同期セット / リセットバイト幅書き込みイネーブル書き込みデータアドレスバス書き込みデータクロック書き込みポートイネーブル Spartan-6 FPGA ブロック RAM リソースユーザーガイド japan.xilinx.com 17

18 ブロック RAM のライブラリプリミティブ バイト幅書き込みイネーブル ブロック RAM にはバイト幅の書き込みイネーブル機能があり 8 ビット (1 バイト ) 単位で入力データを書き込むことができます 完全デュアルポート RAM へのバイト幅書き込みイネーブル入力は 最高で 4 つあります 各バイト幅書き込みイネーブルは 入力データの 1 バイトと 1 パリティビットに対応しています この機能は ブロック RAM を使用してマイクロプロセッサと通信する場合に有用です バイト幅書き込みイネーブルの詳細は ブロック RAM プリミティブの設計上の追加注意事項 を参照してください 図 7 に ブロック RAM のバイト幅書き込みイネーブルのタイミング図を示します ブロック RAM を 18 ビット幅または 9 ビット幅のデータパス用にコンフィギュレーションすると データワード内で指定したバイト位置への書き込みを任意のポートで制御できます READ_FIRST モードの場合 DO バスには指定されたアドレスの以前のワード全体が出力されます WRITE_FIRST モードの場合 DO には新しく書き込まれたイネーブルになっているバイトのみが出力されます NO_CHANGE モードの場合 DO には前のクロックサイクルからの値が保持されます X-Ref Target - Figure 7 CLK WE DI XXXX XXXX ADDR aa bb bb cc DO 0000 MEM(aa) 1111 xx22 MEM(cc) EN Disabled Read Write MEM(bb)=1111 Byte Write MEM(bb)=1122 Read ug383_c1_07_ ブロック RAM のライブラリプリミティブ 図 7 : バイト書き込み動作の波形 (x36 WRITE_FIRST) Spartan-6 FPGA ブロック RAM のライブラリプリミティブ RAMB16BWER および RAMB8BWER は すべてのブロック RAM コンフィギュレーションの基本ブロックです その他のブロック RAM プリミティブおよびマクロは これらのプリミティブを基にしています ブロック RAM の属性によっては これらの中の 1 つのプリミティブを使用するだけでコンフィギュレーションできます 詳細は ブロック RAM の属性 を参照してください 図 8 に 18Kb の完全デュアルポートの RAM プリミティブ (RAMB16BWER) の I/O ポートを 図 9 に 9Kb のデュアルポートブロック RAM プリミティブ (RAMB8BWER) を示します 表 7 には 9Kb および 18Kb プリミティブをリストします 18 japan.xilinx.com Spartan-6 FPGA ブロック RAM リソースユーザーガイド

19 ブロック RAM のライブラリプリミティブ X-Ref Target - Figure DIA DIPA ADDRA WEA ENA REGCEA RSTA CLKA DOA DOPA DIB DIPB ADDRB WEB ENB REGCEB RSTB DOB DOPB 32 4 CLKB ug383_c1_08_ 図 8 : ブロック RAM ポート信号 (RAMB16BWER) X-Ref Target - Figure DIADI DIPADIP ADDRAWRADDR DOADO WEAWEL DOPADOP ENAWREN REGCEA RSTA CLKAWRCLK DIBDI DIPBDIP ADDRBRDADDR WEAWEU ENBRDEN REGCEBREGCE RSTBRST DOBDO DOPBDOP 16 2 CLKBRDCLK ug383_c1_09_ 図 9 : ブロック RAM ポート信号 (RAMB8BWER) Spartan-6 FPGA ブロック RAM リソースユーザーガイド japan.xilinx.com 19

20 ブロック RAM のポート信号 表 7 : Spartan-6 FPGA のブロック RAM プリミティブ プリミティブ RAMB8BWER RAMB16BWER 説明 サポートされるデータ幅 : x1 x2 x4 x8 x16 x32 ( およびパリティビット付きの x9 x18 x36) サポートされるデータ幅 : x1 x2 x4 x8 x16 x32 ( およびパリティビット付きの x9 x18 x36) メモ : 1. プリミティブについては ソフトウェアのライブラリガイドおよび言語テンプレートで説明されています ブロック RAM のポート信号 ブロック RAM の各ポートは 同じ 9Kb または 18Kb メモリセルのセットにアクセスしますが 動作はそれぞれ独立しています RAMB16BWER と RAMB8BWER プリミティブのポート名は異なります 次のセクションでは RAMB16BWER のポート名を最初に RAMB8BWER のポート名をかっこ内にします クロック - CLKA CLKB (CLKAWRCLK CLKBRDCLK) 各ポートは それぞれのクロックピンに完全に同期します すべてのポート入力ピンのセットアップタイムは CLK ピンのポートを基準としています また 出力データバスの clock-to-out タイムも CLK ピンを基準とします クロックの極性はコンフィギュレーション可能で デフォルトは立ち上がりエッジに設定されています 完全デュアルポート (TDP) モード (RAM_MODE = TDP) の RAMB8BWER の場合 CLKAWRCLK と CLKBRDCLK がそれぞれのポートのクロック入力になります SDP モード (RAM_MODE = SDP) の場合 CLKAWRCLK が書き込みクロック入力 CLKBRDCLK が読み出しクロック入力になります イネーブル - ENA ENB (ENAWREN ENBRDEN) ポートの読み出し 書き込み およびセット / リセット機能を制御します ポートのイネーブルピンが非アクティブのときは 出力ピンは前の状態を保持し データはメモリセルに書き込まれません イネーブルの極性はコンフィギュレーション可能で デフォルトではアクティブ High に設定されています TDP モード (RAM_MODE = TDP) の RAMB8BWER の場合 ENAWREN と ENBRDEN がそれぞれのポートのイネーブル入力になります SDP モードの場合は ENAWREN が書き込みイネーブル ENBRDEN が読み出しイネーブルになります バイト幅書き込みイネーブル - WEA WEB (WEAWEL WEBWEU) データ入力バスの内容を指定したメモリ位置に書き込むには クロックの立ち上がりエッジのセットアップタイム前までに EN と WE の両方をアクティブにする必要があります データが出力ラッチに読み込まれるかどうかは 書き込みモード (WRITE_FIRST READ_FIRST NO_CHANGE) の設定によって決まります 非アクティブの場合は 読み出し処理が行われ 書き込みモードの設定に関わらず アドレスバスで指定されたメモリセルの内容がデータ出力バスに送信されます 書き込みイネーブルの極性はコンフィギュレーション可能で デフォルトはアクティブ High に設定されています 20 japan.xilinx.com Spartan-6 FPGA ブロック RAM リソースユーザーガイド

21 ブロック RAM のポート信号 TDP モード (RAM_MODE = TDP) の RAMB8BWER の場合 WEAWEL と WEBWEU がそれぞれのポートのバイトイネーブル入力になります SDP モードの場合 WEAWEL が下位 2 バイトの書き込みイネーブルで WEBWEU が上位バイトの書き込みイネーブルになります レジスタイネーブル - REGCEA REGCEB (REGCEA REGCEBREGCE) オプションの出力レジスタを制御します RAM がレジスタモードの場合 REGCE = 1 と指定すると クロックエッジで出力がレジスタに取り込まれます REGCE の極性はコンフィギュレーション可能で デフォルトではアクティブ High に設定されています TDP モード (RAM_MODE = TDP) の RAMB8BWER の場合 REGCEA と REGCEBREGCE がそれぞれのポートのレジスタクロックイネーブル入力になります SDP モードの場合 REGCEA は未使用なので ロジック 0 に接続されている必要があります REGCEBREGCE は 読み出しポートのレジスタイネーブルになります セット / リセット - RSTA RSTB (RSTA RSTBRST) ラッチモードでは RST ピンがアクティブになると データ出力ラッチの値が SRVAL の値になります この動作は RSTTYPE 属性の値によって同期または非同期になります 詳細は 23 ページの ブロック RAM の属性 を参照してください オプションの出力レジスタがイネーブルの場合 (DO_REG = 1) RST 信号によりデータ出力レジスタの値が SRVAL の値になります RST_PRIORITY 属性を使用すると RST と REGCE のどちらを優先するかを指定できます データ出力レジスタは パリティビットを含めて 0 または 1 にアサートされます EN_RSTRAM 属性の設定によっては データ出力ラッチが RST ポートでもリセットされます 各ポートには それぞれ 36 ビットの SRVAL[A B] 属性が指定されます この動作により RAM メモリセルが変化することはなく もう 1 つのポートでの書き込みにも影響しません セット / リセット機能も 読み込み / 書き込みと同様に そのポートのイネーブルピンがアクティブな場合にのみ有効となります 両方の信号の極性はコンフィギュレーション可能で デフォルトではアクティブ High に設定されています TDP モード (RAM_MODE = TDP) の RAMB8BWER の場合 RSTA と RSTBRST がそれぞれのポートのセット / リセット入力になります SDP モードの場合 RSTA は未使用なので ロジック 0 に接続されている必要があります RSTBRST は 読み出しポートのセット / リセットになります アドレスバス - ADDRA ADDRB (ADDRAWRADDR ADDRBRDADDR) 読み込みまたは書き込みを行うメモリセルを選択します 表 4 に示すように 1 つのブロック RAM に必要なアドレスバス幅は ポートのデータビット幅によって決まります データ幅は DATA_WIDTH_[A B] 属性によって定義されます TDP モード (RAM_MODE = TDP) の RAMB8BWER の場合 ADDRAWRADDR と ADDRBRDADDR がそれぞれのポートのイネーブル入力になります SDP モード (RAM_MODE=SDP) の場合 ADDRBRDADDR が書き込みポートのアドレス入力 ADDRBRDADDR が読み出しポートの入力になります データおよびアドレスピンのマップについては ブロック RAM プリミティブの設計上の追加注意事項 で詳しく説明しています Spartan-6 FPGA ブロック RAM リソースユーザーガイド japan.xilinx.com 21

22 ブロック RAM のポート信号 データ入力バス - DIA DIB (DIADI DIBDI) RAM に書き込むデータ値を供給します パリティデータ入力バス ( 使用可能な場合 ) を含む通常のデータ入力バス (DI) の幅を合計すると ポート幅になります たとえば 表 4 に示すように 36 ビットポートのデータ幅は DI[31:0] とそのパリティビットの DIP[3:0] で表されます データ幅は DATA_WIDTH_[A B] 属性によって定義されます TDP モード (RAM_MODE = TDP) の RAMB8BWER の場合 DIADI と DIBDI がそれぞれのポートのデータ入力になります SDP モード (RAM_MODE=SDP) の場合は DIADI が下位ビット DIBDI が上位ビットを示します ( データ幅が 16 ビットを超える場合 ) データ入力パリティ - DIPA DIPB (DIPADIP DIPBDIP) RAM のパリティビットに書き込むデータ値を供給します メモリのこの部分は パリティビットを格納するためのものですが 必要に応じて追加データにも使用できます パリティビットを計算したり 検証するためのロジックは ブロック RAM にはありません TDP モードの場合 DIPADIP と DIPBDIP がそれぞれのポートのパリティデータ入力になります SDP モードの場合 DIPADIP が下位 2 ビットのパリティで DIPBDIP が上位 2 ビットのパリティになります データ出力バス - DOA DOB (DOADO DOBDO) 読み出しでは 最後のアクティブなクロックエッジでアドレスバスにより指定されたメモリセルの内容が データ出力バスに送信されます WRITE_FIRST または READ_FIRST モードの書き込みでは 書き込み中の値または書き込み前に保存されていた値がデータ出力バスに送信されます NO_CHANGE モードの書き込みでは データ出力バスは変化しません TDP モード (RAM_MODE = TDP) の RAMB8BWER の場合 DOADO と DOBDO がそれぞれのポートの出力になります SDP モード (RAM_MODE=SDP) の場合は DOADO が下位ビット DOBDO が上位ビットを示します ( データ幅が 16 ビットを超える場合 ) データ出力パリティ - DOPA DOPB (DOPADOP DOPBDOP) GSR パリティデータに割り当てられたメモリセルの内容が出力されます データは データ出力バスと同様にバスに出力されます TDP モード (RAM_MODE = TDP) の RAMB8BWER の場合 DOPADOP と DOPBDOP がそれぞれのポートの出力になります SDP モードの場合 DOPADOP が下位バイトのパリティビット DOPBDOP が上位バイトのパリティビットになります Spartan-6 デバイスのグローバルセット / リセット (GSR) 信号は デバイスのコンフィギュレーション終了時にアクティブになる非同期のグローバル信号です GSR を使用すると Spartan-6 デバイスの初期状態をいつでも復元できます GSR により 出力ラッチは INIT ( シンプルデュアルポート ) または INIT_A および INIT_B の値 ( 完全デュアルポート ) に初期化されます 詳細は ブロック RAM の属性 を参照してください GSR 信号により 内部メモリの内容が変わることはありません GSR はグローバル信号なので ファンクションレベル ( ブロック RAM プリミティブ ) の入力ピンはありません 未使用の入力 未使用のデータ入力またはアドレス入力は Low に接続する必要があります 22 japan.xilinx.com Spartan-6 FPGA ブロック RAM リソースユーザーガイド

23 ブロック RAM のアドレスマップ ブロック RAM のアドレスマップ 表 8 : ポートアドレスマップ 各ポートは 9Kb RAM であるか 18Kb RAM であるかによって異なるアドレス指定方法を使用して 同じ 9,216 個または 18,432 個のメモリセルにアクセスします 特定のポート幅で指定される物理的な RAM の位置は 次の式によって決定されます (2 つのポートが異なる比率の場合のみ参照 ) END = ((ADDR + 1) Width) -1 START = ADDR Width 表 8 に 各ポート幅の下位のアドレスマップを示します ポート 幅 パリティ 位置 データ位置 1 なし ブロック RAM の属性 すべての属性コード例は ブロック RAM の初期化 に記載されています これらの属性の使用については ブロック RAM プリミティブの設計上の追加注意事項 で詳細に説明しています データおよびアドレス幅 - DATA_WIDTH_A DATA_WIDTH_B 各ポートのデータバスとアドレスバスの幅を定義します リストされているデータ幅の有効な組み合わせは 0 ( デフォルト ) および 36 で にはパリティビットが含まれます シンプルデュアルポートモードの 9Kb ブロック RAM では DATA_WIDTH に設定可能な値は 36 のみです シンプルまたは完全デュアルポートモードの 18Kb ブロック RAM あるいは完全デュアルポートモードの 9Kb ブロック RAM では DATA_WIDTH を任意の組み合わせに設定できます データ幅に基づくアドレス幅は 表 4 のようになります メモリ内容の初期化 - INIT_xx メモリの初期内容を定義します デフォルトでは ブロック RAM メモリはデバイスのコンフィギュレーションシーケンス中にすべて 0 に初期化されます 9Kb ブロック RAM の INIT_00 ~ INIT_1F 初期化属性 18Kb ブロック RAM の INIT_00 ~ INIT_3F 初期化属性は 通常のメモリ内容を指定します パリティメモリの内容は INITP 属性を使用して初期化します 各 INIT_xx は 16 進数で表した 64 桁のビットベクタです メモリは一部だけを初期化することも可能です この場合 初期値を指定した部分以外は自動的に 0 になります 各 INIT_xx 属性のビット位置は 次の式で決定されます 16 進数 (xx) を 10 進数に変換した値を yy とすると INIT_xx は次のメモリセルに対応します 開始セル : [(yy + 1) 256] 1 終了セル : (yy) 256 Spartan-6 FPGA ブロック RAM リソースユーザーガイド japan.xilinx.com 23

24 ブロック RAM の属性 たとえば 属性 INIT_1F の場合は次のようになります yy = 16 進数 (xx) 1F を 10 進数に変換した値 = 31 開始セル : [(31+1) 256] 1 = 8191 終了セル : = 7936 その他の例を表 9 に示します 表 9 : ブロック RAM の初期化属性 メモリ位置 属性 開始位置 終了位置 INIT_ INIT_ INIT_ INIT_0E INIT_0F INIT_ INIT_1F INIT_ INIT_2F INIT_ INIT_3F パリティメモリ内容の初期化 - INITP_xx パリティビットに対応するメモリセルの初期内容を定義します デフォルトでは これらのメモリセルもすべて 0 に初期化されます 初期化属性で パリティビットのメモリ内容を指定します 初期化属性は 9Kb ブロック RAM では INITP_00 ~ INITP_03 18Kb ブロック RAM では INIT_00 ~ INITP_07 です 各 INITP_xx は 16 進数で表した 64 桁のビットベクタで INIT_xx 属性と同様に機能します 特定の INITP_xx 属性で初期化するビット位置も 同じ式で計算されます 出力ラッチの初期化 - INIT_A INIT_B コンフィギュレーション後の出力ラッチまたは出力レジスタの値を定義します これらの属性は 16 進数のビットベクタで デフォルトは 0 です TDP モードの場合 INIT_A がポート A に INIT_B がポート B になり SDP モードの場合は INIT_A が下位出力ビットに INIT_B が上位出力ビットになります 24 japan.xilinx.com Spartan-6 FPGA ブロック RAM リソースユーザーガイド

25 ブロック RAM の属性 出力ラッチ / レジスタの同期セット / リセット - SRVAL_A SRVAL_B RST 入力がアサートされた際の出力ラッチの値を定義します これらの属性の幅がポート幅になります これらの属性は 16 進数のビットベクタで デフォルト値は 0 です オプションの出力レジスタ属性が設定されている場合は 出力レジスタ値を指定します レジスタが未使用のときは ラッチが SRVAL に指定されます パリティを使用する場合は 最上位ビット (MSB) がそのパリティビットになります TDP モードの場合 SRVAL_A がポート A に SRVAL_B がポート B になります SDP モードの場合 SRVAL_A が下位 18 ビット ( パリティ 2 ビットを含む ) に SRVAL_B が上位ビット ( パリティ 2 ビットを含む ) になります RAM モード - RAM_MODE この属性は RAMB8BWER に対してのみ必要で ブロック RAM を完全デュアルポート RAM ( 読み出しおよび書き込み用にバスが 2 つ ) またはシンプルデュアルポート RAM ( ポート B が読み出し専用 ポート A は書き込み用 ) のどちらとして使用するかを指定します 有効な値は TDP ( デフォルト ) または SDP です リセットと CE の優先度 - RST_PRIORITY_A RST_PRIORITY_B 出力レジスタを使用する場合は この属性で RST と REGCE のどちらを優先するかを指定できます レジスタの代わりに出力ラッチを使用する場合は RST と EN のどちらを優先するかを指定できます 有効な値は SR ( デフォルト ) または CE です データラッチリセット - EN_RSTRAM_A EN_RSTRAM_B RST ポートでブロック RAM 出力のラッチをリセットするかどうかを指定します 有効な値は TRUE または FALSE ( デフォルト ) です リセットタイプ - RSTTYPE ブロック RAM 出力を同期でリセットするか 非同期でリセットするかを指定します 値は SYNC ( デフォルト ) または ASYNC に設定できますが 非同期リセットよりも同期リセットを使用することをお勧めします 詳細は リセットの動作 を参照してください オプションの出力レジスタ切り替え - DO[A B]_REG ブロック RAM の A/B 出力でのパイプラインレジスタをイネーブルにするかどうかを指定します 有効な値は 0 ( デフォルト ) または 1 です 書き込みモード - WRITE MODE_A WRITE_MODE_B A/B 入力ポートの書き込みモードを指定します 有効な値は WRITE_FIRST READ_FIRST および NO_CHANGE で デフォルト値は WRITE_FIRST です 書き込みモードの詳細は 書き込みモード を参照してください Spartan-6 FPGA ブロック RAM リソースユーザーガイド japan.xilinx.com 25

26 ブロック RAM の初期化 ブロック RAM のロケーション制約 ブロック RAM のインスタンスに LOC プロパティを指定すると 配置を制約できます ブロック RAM の配置位置の表記方法は CLB 位置の表記方法とは異なり LOC プロパティを別の配列でも容易に使用できるようになっています 18Kb ブロック RAM では RAMB16 のロケーション識別を使用して パリティビットを含まない RAM の容量を示します 9Kb ブロック RAM では 次のような RAMB8 の表記を使用します LOC プロパティは 次の形式で指定します LOC = RAMB16_X#Y#; LOC = RAMB8_X#Y#; RAMB16_X0Y0 は デバイスの左下にある 18 Kb ブロック RAM の位置です 18 Kb RAM が RAMB16_X0Y0 に制約される場合 9Kb RAM はこれと位置を共有するので RAMB8_X0Y0 または RAMB8_X0Y1 に制約できません ブロック RAM の初期化 ブロック RAM メモリの属性および内容は インスタンシエートされたコンポーネント内で generic map (VHDL) または defparam (Verilog) を使用して 合成およびシミュレーション用に VHDL または Verilog コードで初期化できます generic map または defparam の値を変更すると シミュレーションビヘイビアとインプリメンテーション結果に影響します Spartan-6 のライブラリガイドには 18Kb および 9Kb ブロック RAM を初期化するためのコードが記載されています ブロック RAM プリミティブの設計上の追加注意事項 出力レジスタ ( オプション ) オプションの出力レジスタは RAMB16BWER および RAMB8BWER の A B 出力ポートのいずれか または両方に使用できます 使用ポートは DO[A B]_REG 属性を使用して指定します 独立した 2 つのクロックイネーブルピンは REGCE[A B] です ポート [A B] でオプションの出力レジスタを使用する場合 ポート [A B] の同期セット / リセット (RST) ピンをアサートすると 属性 SRVAL で指定された値がレジスタを介して出力されます 図 5 にオプションの出力レジスタを示します RAMB16BWER および RAMB8BWER ポートのマップ規則 Spartan-6 FPGA ブロック RAM は さまざまなポート幅とサイズにコンフィギュレーションできます コンフィギュレーションによっては 一部のデータピンとアドレスピンが未使用となります 表 4 は さまざまなコンフィギュレーションで使用されるピンを示しています 表 4 の情報に加え 次の規則に基づいてブロック RAM のポート接続を決定します RAMB16BWER を使用していて DI[A B] ピンの幅が 32 ビット未満の場合 (32 - DI_BIT_WIDTH) の数のロジック 0 を DI[A B] の前に連結します RAMB8BWER を使用していて DI[A B] ピンの幅が 16 ビット未満の場合 (16 - DI_BIT_WIDTH) の数のロジック 0 を DI[A B] の前に連結します RAMB16BWER を使用していて DIP[A B] ピンの幅が 4 ビット未満の場合 (4 - DIP_BIT_WIDTH) の数のロジック 0 を DIP[A B] の前に連結します RAMB8BWER を使用していて DIP[A B] ピンの幅が 2 ビット未満の場合 (2 - DIP_BIT_WIDTH) の数のロジック 0 を DIP[A B] の前に連結します 26 japan.xilinx.com Spartan-6 FPGA ブロック RAM リソースユーザーガイド

27 ブロック RAM のアプリケーション RAMB16BWER を使用する場合 DO[A B] ピンの幅は 32 ビットにする必要があります ただし (DO_BIT_WIDTH - 1) から 0 までのピンのデータのみが有効です RAMB16BWER を使用する場合 DOP[A B] ピンの幅は 4 ビットにする必要があります RAMB8BWER を使用する場合 DOP[A B] ピンの幅は 2 ビットにする必要があります ただし (DOP_BIT_WIDTH - 1) から 0 までのピンのデータのみが有効です DOP[A B] を使用していない場合は 未接続のままにできます RAMB16BWER を使用する場合 ADDR[A B] ピンの幅は 14 ビットにする必要があります RAMB8BWER を使用する場合 ADDR[A B] ピンの幅は 13 ビットにする必要があります アドレス幅は 表 4 に記載されています リセットの動作 ブロック RAM のラッチまたはレジスタの初期値は RSTTYPE = ASYNC の場合は SR_VAL で RSTTYPE = SYNC の場合は INIT で定義されます リセットタイプが ASYNC で INIT 値が使用されている場合 コンフィギュレーション後に GSR を適用する必要があります バイト幅書き込みイネーブル バイト幅書き込みイネーブルを使用する場合は 次の規則に従ってください x36 モードの場合 WE[3:0] を 4 つのユーザー WE 入力に接続します x18 モードの場合 WE[0] および WE[2] はユーザー WE[0] で駆動し WE[1] および WE[3] はユーザー WE[1] で駆動します x9 x4 x2 x1 モードの場合 WE[3:0] はすべて 1 つのユーザー WE に接続されます ブロック RAM のアプリケーション 大型 RAM 構造の作成 CORE Generator では 複数のブロック RAM インスタンスを使用して ビット数およびワード数の多いメモリ構造を簡単に生成できます このツールでは VHDL または Verilog のインスタンシエーションテンプレートやシミュレーションモデル デザインに含める EDIF ファイルが出力されます Spartan-6 FPGA ブロック RAM リソースユーザーガイド japan.xilinx.com 27

28 ブロック RAM のアプリケーション レジスタモードのブロック RAM の RST ブロック RAM の RST をレジスタモードで使用すると 出力レジスタをブロック RAM から独立したパイプラインレジスタとして制御できます 図 10 は ブロック RAM の読み出しおよび書き込みが レジスタイネーブルまたはセット / リセットとは独立して実行されているところを示しています レジスタモードでは RST により DO が SRVAL に設定され ブロック RAM から DBRAM へのデータ読み出しが可能です DBRAM でのデータは 次のサイクルでクロック出力 (DO) に送信できます 図 11 および図 12 のタイミング図は 別の RST の例を示しています X-Ref Target - Figure 10 DI EN BRAM_RAMEN DBRAM DO BRAM_RST REGCE RST 図 10 : レジスタモードのブロック RAM の RST ug383_c1_09_ X-Ref Target - Figure 11 CLK EN REGCE RST DBRAM D0 D1 D2 D3 DO D0 SRVAL D1 SRVAL D2 図 11 : レジスタモードでの RST 動作 (REGEN = High) ug383_c1_10_ japan.xilinx.com Spartan-6 FPGA ブロック RAM リソースユーザーガイド

29 ブロック RAM のアプリケーション X-Ref Target - Figure 12 CLK EN REGCE RST DBRAM D0 D1 D2 D3 DO D0 SRVAL D1 D2 ug383_c1_11_ 図 12 : レジスタモードでの RST 動作 (REGEN = 変数 ) Spartan-6 FPGA ブロック RAM リソースユーザーガイド japan.xilinx.com 29

30 ブロック RAM のタイミングモデル ブロック RAM のタイミングモデル ここでは Spartan-6 デバイスのブロック RAM に関連するタイミングパラメータについて説明します ( 図 13 を参照 ) Spartan-6 FPGA データシートのスイッチ特性のセクションや ザイリンクスソフトウェアの Timing Analyzer (TRACE) から出力されるレポートも参考にしてください ブロック RAM のタイミングパラメータ 表 10 は Spartan-6 FPGA のブロック RAM のタイミングパラメータを示しています 表 10 : ブロック RAM のタイミングパラメータパラメータファンクション制御信号説明クロック (CLK) に対するセットアップとホールド T RxCK_x = セットアップタイム ( クロックエッジ前 ) および T RCKx_x = ホールドタイム ( クロックエッジ後 ) T RCCK_ADDR T RCKC_ADDR アドレス入力 ADDR クロック前までに ブロック RAM の ADDR 入力で アドレス信号が安定している必要のある時間 (1) クロック後に ブロック RAM の ADDR 入力で アドレス信号が安定している必要のある時間 (1) T RDCK_DI T RCKD_DI データ入力 DI クロック前に ブロック RAM の DI 入力で データが安定している必要のある時間 クロック後に ブロック RAM の DI 入力でデータが安定している必要のある時間 T RCCK_EN T RCKC_EN イネーブル EN クロック前に ブロック RAM の EN 入力で イネーブル信号が安定している必要のある時間 クロック後に ブロック RAM の EN 入力でイネーブル信号が安定している必要のある時間 T RCCK_RST T RCKC_RST 同期セット / リセット RST クロック前に ブロック RAM の RST 入力で 同期セット / リセット信号が安定している必要のある時間 クロック後に ブロック RAM の RST 入力で同期セット / リセット信号が安定している必要のある時間 T RCCK_WE T RCKC_WE 書き込みイネーブル WE クロック前に ブロック RAM の WE 入力で 書き込みイネーブル信号が安定している必要のある時間 クロック後に ブロック RAM の WE 入力で書き込みイネーブル信号が安定している必要のある時間 T RCCK_REGCE T RCKC_REGCE 出力レジスタイネーブル ( オプション ) REGCE クロック前までに ブロック RAM の REGCE 入力でレジスタイネーブル信号が安定している必要のある時間 クロック後に ブロック RAM の REGCE 入力でレジスタイネーブル信号が安定している必要のある時間 Clock-to-Out 遅延 T RCKO_DO ( ラッチモード ) クロックから出力 CLK から DO クロック後に ブロック RAM の DO 出力で出力データが安定するまでの時間 ( 出力レジスタを使用しない場合 ) 30 japan.xilinx.com Spartan-6 FPGA ブロック RAM リソースユーザーガイド

31 ブロック RAM のタイミングモデル 表 10 : ブロック RAM のタイミングパラメータ ( 続き ) パラメータファンクション制御信号説明 T RCKO_DO_REG ( レジスタモード ) クロックから出力 CLK から DO クロック後に ブロック RAM の DO 出力で出力データが安定するまでの時間 ( 出力レジスタを使用した場合 ) メモ : 1. WE が非アクティブでも EN がアクティブの場合は セットアップ / ホールドタイム中は ADDR 入力が安定している必要があります この要件に違反すると ブロック RAM でデータが破損する可能性があります ADDR タイミングが指定した要件に反する場合 EN は非アクティブ ( ディスエーブル ) にする必要があります ブロック RAM のタイミング特性 図 13 のタイミング図は オプションの出力レジスタを使用しない WRITE_FIRST モードのシングルポートブロック RAM を示しています READ_FIRST モードと NO_CHANGE モードのタイミングは WRITE_FIRST モードと類似しています オプションの出力レジスタを使用すると DO ピンでクロックレイテンシが追加されます ここに示す波形は オプションの出力パイプラインレジスタを使用しないラッチモードの場合のものです X-Ref Target - Figure CLK ADDR DI DO EN RST WE Disabled T RCCK_ADDR 00 T RDCK_DI DDDD T RCKO_DO MEM (00) T RCCK_EN T RCCK_WE 0F 7E 8F 20 CCCC BBBB AAAA 0000 CCCC (1) MEM (7E) 0101 (2) T RCCK_RST Read Write Read Reset Disabled Note 1: Write Mode = WRITE_FIRST Note 2: SRVAL = 0101 ug383_c1_12_ の時点では EN ( イネーブル ) は Low であるため ブロック RAM はディスエーブルです クロックイベント 1 読み出し 図 13 : ブロック RAM のタイミング図 読み出しでは ADDR 入力のアドレス位置のメモリ内容は変更しません クロックイベント 1 より T RCCK_ADDR 時間前に アドレス 00 がブロック RAM の ADDR 入力で有効になります クロックイベント 1 より T RCCK_EN 時間前に イネーブルがブロック RAM の EN 入力で High になり 読み出しを実行できるようにメモリがイネーブルになります クロックイベント 1 より T RCKO_DO 時間後に アドレス 00 のメモリ内容がブロック RAM の DO ピンで安定します Spartan-6 FPGA ブロック RAM リソースユーザーガイド japan.xilinx.com 31

32 ブロック RAM のタイミングモデル EN がアサートされている場合は常に すべてのアドレス変更が指定のセットアップおよびホールド仕様を満たす必要があります 非同期でのアドレス変更は メモリ内容およびブロック RAM の機能に予想外の影響を与える可能性があります クロックイベント 2 書き込み 書き込みでは 書き込みイネーブル (WE) が High になると ADDR 入力のアドレスで指定された位置のメモリ内容が DI ピンの値に置き換えられ すぐに出力ラッチに反映されます (WRITE_FIRST モード ) クロックイベント 2 より T RCCK_ADDR 時間前に アドレス 0F がブロック RAM の ADDR 入力で有効になります クロックイベント 2 より T RDCK_DI 時間前に データ CCCC がブロック RAM の DI 入力で有効になります クロックイベント 2 より T RCCK_WE 時間前に 書き込みイネーブルが WE で有効になります クロックイベント 2 より T RCKO_DO 時間後に データ CCCC がブロック RAM の DO 出力で有効になります クロックイベント 4 RST ( 同期セット / リセット ) 同期セット / リセットでは 初期化パラメータの値 SRVAL がブロック RAM の出力ラッチに読み込まれます RST はメモリの内容を変更しません また ADDR および DI 入力の影響も受けません クロックイベント 4 より T RCCK_RST 時間前に 同期セット / リセット信号がブロック RAM の RST 入力で有効 (High) になります クロックイベント 4 より T RCKO_DO 時間後に SRVAL の 0101 がブロック RAM の DO 出力で有効になります クロックイベント 5 ディスエーブル イネーブル信号 EN をディアサートすると 書き込み 読み出し または RST が実行できなくなります ディスエーブルにしても メモリの内容 および出力ラッチの値は変わりません クロックイベント 5 より T RCCK_EN 時間前に イネーブル信号がブロック RAM の EN 入力で無効 (Low) になります クロックイベント 5 後は ブロック RAM の DO 出力のデータは変化しません 32 japan.xilinx.com Spartan-6 FPGA ブロック RAM リソースユーザーガイド

33 ブロック RAM のタイミングモデル ブロック RAM のタイミングモデル 図 14 は ブロック RAM のインプリメンテーションに関連した遅延パスを示しています 記述されているのは オン / オフチップの最もシンプルなパスです ( パスはデザインにより大きく異なります ) このタイミングモデルは ブロック RAM のタイミングパラメータの使用法および使用場所を示しています NET = 可変インターコネクト遅延 T IOPI = パッドから IOB の I 出力までの遅延 T IOOP = IOB の O 入力からパッドまでの遅延 T BUFG = BUFG 遅延 X-Ref Target - Figure 14 FPGA Block RAM Data Address Write Enable Enable [T IOPI + NET] + T RDCK_DI [T IOPI + NET] + T RCCK_ADDR [T IOPI + NET] + T RCCK_WE [T IOPI + NET] + T RCCK_EN DI ADDR WE EN Set/Reset [T IOPI + NET] + T RCCK_RST RST [T BUFG + NET] CLK DO T RCKO_DO + [NET + T IOOP ] Data BUFG Clock [T IOPI + NET] ug383_c1_13_ 図 14 : ブロック RAM のタイミングモデル Spartan-6 FPGA ブロック RAM リソースユーザーガイド japan.xilinx.com 33

34 ブロック RAM のタイミングモデル 34 japan.xilinx.com Spartan-6 FPGA ブロック RAM リソースユーザーガイド

Virtex-6 FPGA メモリ リソース ユーザー ガイド (UG363)

Virtex-6 FPGA メモリ リソース ユーザー ガイド (UG363) Virtex-6 FPGA メモリリソース ユーザーガイド Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the development of designs to operate with

More information

7 シリーズ FPGA メモリ リソース ユーザー ガイド (UG473)

7 シリーズ FPGA メモリ リソース ユーザー ガイド (UG473) 7 シリーズ FPGA メモリリソース ユーザーガイド 本資料は表記のバージョンの英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します 資料によっては英語版の更新に対応していないものがあります 日本語版は参考用としてご使用の上 最新情報につきましては 必ず最新英語版をご参照ください The information disclosed to you hereunder (the Materials

More information

UltraScale アーキテクチャ メモリ リソース ユーザー ガイド (UG573)

UltraScale アーキテクチャ メモリ リソース ユーザー ガイド (UG573) UltraScale アーキテクチャメモリリソース ユーザーガイド この資料は表記のバージョンの英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します 資料によっては英語版の更新に対応していないものがあります 日本語版は参考用としてご使用の上 最新情報につきましては 必ず最新英語版をご参照ください 改訂履歴 次の表に この文書の改訂履歴を示します 日付バージョン内容 209 年 2 月

More information

ザイリンクス 7 シリーズ FPGA ライブラリ ガイド (HDL 用)

ザイリンクス 7 シリーズ FPGA ライブラリ ガイド (HDL 用) ザイリンクス 7 シリーズ FPGA ライブラリガイド (HDL 用 ) UG768 (v13.1) 2011 年 3 月 1 日 Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely for use in the development

More information

7 シリーズ FPGA ライブラリ ガイド (HDL 用)

7 シリーズ FPGA ライブラリ ガイド (HDL 用) UG768 (V 13.3) 2011 年 10 月 26 日 Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely for use in the development of designs to operate with

More information

Spartan-6 ライブラリ ガイド (HDL 用)

Spartan-6 ライブラリ ガイド (HDL 用) UG615 (v12.2) 2010 年 7 月 23 日 Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely for use in the development of designs to operate with Xilinx

More information

エレクトーンのお客様向けiPhone/iPad接続マニュアル

エレクトーンのお客様向けiPhone/iPad接続マニュアル / JA 1 2 3 4 USB TO DEVICE USB TO DEVICE USB TO DEVICE 5 USB TO HOST USB TO HOST USB TO HOST i-ux1 6 7 i-ux1 USB TO HOST i-mx1 OUT IN IN OUT OUT IN OUT IN i-mx1 OUT IN IN OUT OUT IN OUT IN USB TO DEVICE

More information

ISim ハードウェア協調シミュレーション チュートリアル : 浮動小数点高速フーリエ変換のシミュレーション

ISim ハードウェア協調シミュレーション チュートリアル : 浮動小数点高速フーリエ変換のシミュレーション ISim ハードウェア協調シミュレーションチュートリアル : 浮動小数点高速フーリエ変換のシミュレーション UG817 (v 13.1) 2011 年 3 月 18 日 Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely

More information

インターネット接続ガイド v110

インターネット接続ガイド v110 1 2 1 2 3 3 4 5 6 4 7 8 5 1 2 3 6 4 5 6 7 7 8 8 9 9 10 11 12 10 13 14 11 1 2 12 3 4 13 5 6 7 8 14 1 2 3 4 < > 15 5 6 16 7 8 9 10 17 18 1 2 3 19 1 2 3 4 20 U.R.G., Pro Audio & Digital Musical Instrument

More information

ScanFront300/300P セットアップガイド

ScanFront300/300P セットアップガイド libtiff Copyright (c) 1988-1996 Sam Leffler Copyright (c) 1991-1996 Silicon Graphics, Inc. Permission to use, copy, modify, distribute, and sell this software and its documentation for any purpose is hereby

More information

Virtex-6 Clocking

Virtex-6 Clocking Spartan-6 クロックリソース Proprietary to PALTEK CORPORATION 1 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 2 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 3 高速なクロッキング 新型アプリケーションには複雑なクロック要件が必要 : 高速クロック信号

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

デザインの保持チュートリアル : PlanAhead デザイン ツール (UG747)

デザインの保持チュートリアル : PlanAhead デザイン ツール (UG747) デザインの保持チュートリアル PlanAhead ソフトウェア Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely for use in the development of designs to operate with

More information

2

2 NSCP-W61 08545-00U60 2 3 4 5 6 7 8 9 10 11 12 1 2 13 7 3 4 8 9 5 6 10 7 14 11 15 12 13 16 17 14 15 1 5 2 3 6 4 16 17 18 19 2 1 20 1 21 2 1 2 1 22 23 1 2 3 24 1 2 1 2 3 3 25 1 2 3 4 1 2 26 3 4 27 1 1 28

More information

Cyclone III デバイス・ファミリの メモリ・ブロック

Cyclone III デバイス・ファミリの メモリ・ブロック この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください Cyclone III デバイス ファミリ (Cyclone III および Cyclone III LS デバイス ) は アルテラの Cyclone III デバイス ファミリのデザインのオンチップ メモリの要件に対応するエンベデッド

More information

2011 Shinano Kenshi Co.,Ltd. ... 2... 12... 12... 18... 19... 21... 21... 23 1.2.1....23 1.2.2....24 1.2.3....26 1.2.4....27... 29... 30... 30... 31... 38... 39... 40 2 ...41...42...43...43 3.1.1... 44

More information

デザインパフォーマンス向上のためのHDLコーディング法

デザインパフォーマンス向上のためのHDLコーディング法 WP231 (1.1) 2006 1 6 HDL FPGA TL TL 100MHz 400MHz HDL FPGA FPGA 2005 2006 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx,

More information

TH-47LFX60 / TH-47LFX6N

TH-47LFX60 / TH-47LFX6N TH-47LFX60J TH-47LFX6NJ 1 2 3 4 - + - + DVI-D IN PC IN SERIAL IN AUDIO IN (DVI-D / PC) LAN, DIGITAL LINK AV IN AUDIO OUT 1 11 2 12 3 13 4 14 5 6 15 7 16 8 17 9 18 10 19 19 3 1 18 4 2 HDMI AV OUT

More information

DS099-E09: XC3S5000 FPGA エラッタおよび Spartan-3 データシートの確認

DS099-E09: XC3S5000 FPGA エラッタおよび Spartan-3 データシートの確認 DS099-E09 (v2.5) 2006 年 12 月 14 日 XC3S5000 FPGA エラッタと Spartan-3 データシートの確認 エラッタ このエラッタは Spartan-3 XC3S5000 FPGA の量産デバイスおよびエンジニアリングサンプルの両方に適用されます その他の Spartan-3 FPGA では ここに記載したエラッタは該当しません 記載されている以外のデバイスをご使用の場合は

More information

iPhone/iPad接続マニュアル

iPhone/iPad接続マニュアル / JA 2 3 USB 4 USB USB i-ux1 USB i-ux1 5 6 i-mx1 THRU i-mx1 THRU 7 USB THRU 1 2 3 4 1 2 3 4 5 8 1 1 9 2 1 2 10 1 2 2 6 7 11 1 2 3 4 5 6 7 8 12 1 2 3 4 5 6 13 14 15 WPA Supplicant Copyright 2003-2009, Jouni

More information

ScanFront 220/220P 取扱説明書

ScanFront 220/220P 取扱説明書 libtiff Copyright (c) 1988-1996 Sam Leffler Copyright (c) 1991-1996 Silicon Graphics, Inc. Permission to use, copy, modify, distribute, and sell this software and its documentation for any purpose is hereby

More information

ScanFront 220/220P セットアップガイド

ScanFront 220/220P セットアップガイド libtiff Copyright (c) 1988-1996 Sam Leffler Copyright (c) 1991-1996 Silicon Graphics, Inc. Permission to use, copy, modify, distribute, and sell this software and its documentation for any purpose is hereby

More information

TH-65LFE7J TH-50LFE7J TH-42LFE7J - + - + PC IN DVI-D IN IR IN/OUT CHARGE OUT SERIAL IN LAN AUDIO IN (DVI-D / PC) AUDIO OUT AV IN (HDMI 1 HDMI 2) 19 3 1 1 11 2 12 3 13 4 14 5 6 15 7 16 8 17 9 18 10

More information

Chapter 1 1-1 2

Chapter 1 1-1 2 Chapter 1 1-1 2 create table ( date, weather ); create table ( date, ); 1 weather, 2 weather, 3 weather, : : 31 weather -- 1 -- 2 -- 3 -- 31 create table ( date, ); weather[] -- 3 Chapter 1 weather[] create

More information

オンチップ・メモリ クイック・ガイド for Cyclone III

オンチップ・メモリ クイック・ガイド for Cyclone III ver.9.1 2010 年 1 月 1. はじめに アルテラ社製 FPGA デバイスにおいてオンチップ メモリ (FPGA 内部で RAM や ROM などを構成 ) を実現するには Memory Compiler メガファンクションを使用します Memory Compiler メガファンクションは Cyclone シリーズ, Arria シリーズ, Stratix シリーズ, HardCopy

More information

外部SQLソース入門

外部SQLソース入門 Introduction to External SQL Sources 外部 SQL ソース入門 3 ESS 3 ESS : 4 ESS : 4 5 ESS 5 Step 1:... 6 Step 2: DSN... 6 Step 3: FileMaker Pro... 6 Step 4: FileMaker Pro 1. 6 Step 5:... 6 Step 6: FileMaker Pro...

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

WQD770W WQD770W WQD770W WQD770W WQD770W 5 2 1 4 3 WQD8438 WQD770W 1 2 3 5 4 6 7 8 10 12 11 14 13 9 15 16 17 19 20 20 18 21 22 22 24 25 23 2 1 3 1 2 2 3 1 4 1 2 3 2 1 1 2 5 6 3 4 1 2 5 4 6 3 7 8 10 11

More information

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用 WP-01034-1.0/JP DLL (PVT compensation) 90 PLL PVT compensated FPGA fabric 90 Stratix III I/O block Read Dynamic OC T FPGA Write Memory Run Time Configurable Run Time Configurable Set at Compile dq0 dq1

More information

WYE771W取扱説明書

WYE771W取扱説明書 WYE771W WYE771W 2 3 4 5 6 MEMO 7 8 9 10 UNLOCK RESET/ STOPALARM EMERG. TALK FIRE CONFIRM MENU OFF POWER 11 UNLOCK RESET/ STOPALARM EMERG. TALK FIRE CONFIRM MENU OFF POWER 12 POWER EMERG. RESET/ STOPALARM

More information

Microsoft Word - quick_start_guide_16 1_ja.docx

Microsoft Word - quick_start_guide_16 1_ja.docx Quartus Prime ソフトウェア ダウンロードおよびインストール クイック スタート ガイド 2016 Intel Corporation. All rights reserved. Intel, the Intel logo, Intel FPGA, Arria, Cyclone, Enpirion, MAX, Megacore, NIOS, Quartus and Stratix words

More information

DDK-7 取扱説明書 v1.10

DDK-7 取扱説明書 v1.10 DDK-7 v. JA 2 ()B-9 /4 ()B-9 2/4 3 4 ()B-9 3/4 ()B-9 4/4 5 6 7 "Mobile Wnn" OMRON SOFTWARE Co., Ltd. 999 All Rights Reserved. 8 CONTENTS 2 3 4 5 6 7 8 9 0 2 3 4 3 4 5 6 2 3 0 4 5 6 7 8 9 0 2 D. 2 3 4 5

More information

DS-30

DS-30 NPD4633-00 JA ...6... 6... 6... 6... 6... 7... 7... 7... 7... 8... 8...9...10...11...11...13 Document Capture Pro Windows...13 EPSON Scan Mac OS X...14 SharePoint Windows...16 Windows...16...17 Document

More information

Xilinx XAPP645 Virtex-II Pro デバイス アプリケーション ノート『シングル エラー訂正およびダブル エラー 検出』

Xilinx XAPP645 Virtex-II Pro デバイス アプリケーション ノート『シングル エラー訂正およびダブル エラー 検出』 アプリケーションノート : Virtex-II Pro および Virtex-4 ファミリ R XAPP5 (v2.1) 2005 年 7 月 20 日 著者 : Simon Tam 概要 このアプリケーションノートでは Virtex -II Virtex-II Pro または Virtex-4 デバイスにおける Error Correction Control (ECC) モジュールのインプリメンテーションについて説明します

More information

2-2 デザイン ガイドライン 表 2-1: 容量と分配 A10-MEMORY タイプ Arria 10 GX Arria 10 GT Arria 10 SX 製品ライン ブロック数 M20K RAM ビット数 (Kb) ブロック数 MLAB RAM ビット数 (Kb) トータル RAM ビット数

2-2 デザイン ガイドライン 表 2-1: 容量と分配 A10-MEMORY タイプ Arria 10 GX Arria 10 GT Arria 10 SX 製品ライン ブロック数 M20K RAM ビット数 (Kb) ブロック数 MLAB RAM ビット数 (Kb) トータル RAM ビット数 2 A10-MEMORY 署名 デバイス内のエンベデッド メモリ ブロックには柔軟性があり デザイン要件に合った最適な小規模メモリ アレイおよび大規模メモリ アレイを提供できるようデザインされています 関連情報 Arria 10 Device Handbook: Known Issues >Arria 10 Device Handbook の章にて予定される更新をリストします エンベデッド メモリのタイプ

More information

NetVehicle GX5取扱説明書 基本編

NetVehicle GX5取扱説明書 基本編 -GX5 1 2 3 4 5 6 7 8 # @(#)COPYRIGHT 8.2 (Berkeley) 3/21/94 All of the documentation and software included in the 4.4BSD and 4.4BSD-Lite Releases is copyrighted by The Regents of the University of California.

More information

PX-403A

PX-403A NPD4403-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.x...15...18...19...19...21...22!ex...22 /...23 P.I.F. PRINT Image Framer...23...24...27...27...28...28...28...32 Web...32...32...35...35...35...37...37...37...39...39...40...43...46

More information

スライド 1

スライド 1 RX62N 周辺機能紹介データフラッシュ データ格納用フラッシュメモリ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ データフラッシュの概要 プログラムサンプル 消去方法 書き込み方法 読み出し方法 FCUのリセット プログラムサンプルのカスタマイズ 2 データフラッシュの概要 3 データフラッシュとは フラッシュメモリ

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

基本操作ガイド

基本操作ガイド HT7-0199-000-V.5.0 1. 2. 3. 4. 5. 6. 7. 8. 9. Copyright 2004 CANON INC. ALL RIGHTS RESERVED 1 2 3 1 1 2 3 4 1 2 1 2 3 1 2 3 1 2 3 1 2 3 4 1 2 3 4 1 2 3 4 5 AB AB Step 1 Step

More information

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+)

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+) RL78 開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行 ( 統合開発環境編 ) (High-performance Embedded Workshop CS+) 2017/4/7 R20UT2087JJ0103 ソフトウェア事業部ソフトウエア技術部ルネサスシステムデザイン株式会社 はじめに 本資料は 統合開発環境 High-performance Embedded Workshop

More information

PX-504A

PX-504A NPD4537-00 ...6... 6... 9 Mac OS X...10 Mac OS X v10.5.x v10.6.x...10 Mac OS X v10.4.11...13...15...16...16...18...19...20!ex...20 /...21 P.I.F. PRINT Image Framer...21...22...26...26...27...27...27...31

More information

ES-D400/ES-D200

ES-D400/ES-D200 NPD4564-00 ...4...7 EPSON Scan... 7...11 PDF...12 / EPSON Scan...14 EPSON Scan...14 EPSON Scan...15 EPSON Scan...15 EPSON Scan...16 Epson Event Manager...17 Epson Event Manager...17 Epson Event Manager...17

More information

PX-434A/PX-404A

PX-434A/PX-404A NPD4534-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.11...15...18...19...19...21...22!ex...22 /...23 P.I.F. PRINT Image Framer...23...24...26...27...27...28...28...31 Web...31...31...35...35...35...37...37...37...39...39...40...43...48

More information

DS-70000/DS-60000/DS-50000

DS-70000/DS-60000/DS-50000 NPD4647-02 JA ...5...7...8 ADF...9... 9 ADF...10...11...13...15 Document Capture Pro Windows...15 EPSON Scan Mac OS X...16 SharePoint Windows...18 Windows...18...19 Windows...19 Mac OS X...19...20...23...23

More information

EPSON ES-D200 パソコンでのスキャンガイド

EPSON ES-D200 パソコンでのスキャンガイド NPD4271-00 ...4...7 EPSON Scan... 7...11 PDF...12 / EPSON Scan...13 EPSON Scan...13 EPSON Scan...14 EPSON Scan...14 EPSON Scan...15 Epson Event Manager...16 Epson Event Manager...16 Epson Event Manager...16

More information

EPSON PX-503A ユーザーズガイド

EPSON PX-503A ユーザーズガイド NPD4296-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.x...15...18...19...19...21...22...23!ex...23 /...24 P.I.F. PRINT Image Framer...24...25...28...28...29...29...30...33

More information

Notes and Points for TMPR454 Flash memory

Notes and Points for TMPR454 Flash memory 表紙 TMPR454 内蔵 Flash メモリ対応版手順書 株式会社 DTS インサイト ご注意 (1) 本書の内容の一部または 全部を無断転載することは禁止されています (2) 本書の内容については 改良のため予告なしに変更することがあります (3) 本書の内容について ご不明な点やお気付きの点がありましたら ご連絡ください (4) 本製品を運用した結果の影響については (3) 項にかかわらず責任を負いかねますのでご了承ください

More information

untitled

untitled SUBJECT: Applied Biosystems Data Collection Software v2.0 v3.0 Windows 2000 OS : 30 45 Cancel Data Collection - Applied Biosystems Sequencing Analysis Software v5.2 - Applied Biosystems SeqScape Software

More information

操作ガイド(本体操作編)

操作ガイド(本体操作編) J-1 QT5-0681-V02 1 m a b c d e f l kj i h g a b c d e f g h i j k l m n n o o s p q r p q r s w t u v x y z t u v w x y z a bc d e f g q p o n m l k j i h a b c d e f g h i j k l {}[] {}[] m n

More information

EP-704A

EP-704A NPD4533-01 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.11...15...18...19...19...22...23...24!ex...24 /...25 P.I.F. PRINT Image Framer...25...26...29...29...30...30...31...34

More information

操作ガイド(本体操作編)

操作ガイド(本体操作編) J QT5-0571-V03 1 ...5...10...11...11...11...12...12...15...21...21...22...25...27...28...33...37...40...47...48...54...60...64...64...68...69...70...70...71...72...73...74...75...76...77 2 ...79...79...80...81...82...83...95...98

More information

quick.book

quick.book クイックスタートガイド FortiDB Version 3.2 www.fortinet.com FortiDB クイックスタートガイド Version 3.2 May 1, 2009 15-32200-78779-20090501 Copyright 2009 Fortinet, Inc. All rights reserved. No part of this publication including

More information

ES-D400/ES-D350

ES-D400/ES-D350 NPD4650-00 ...4 EPSON Scan... 4 Document Capture Pro Windows... 7 EPSON Scan...10 EPSON Scan...10...14 PDF...15 / EPSON Scan...17 EPSON Scan...17 EPSON Scan...18 EPSON Scan...18 Document Capture Pro Windows...19

More information

ザイリンクス XCN 製造中止製品の通知 : CPLD、コンフィギュレーション PROM、Spartan および Virtex FPGA 製品のリビジョン制御 SCD 製品番号

ザイリンクス XCN 製造中止製品の通知 : CPLD、コンフィギュレーション PROM、Spartan および Virtex FPGA 製品のリビジョン制御 SCD 製品番号 XCN12011 (v1.0) 2012 年 12 月 3 日 製造中止製品の通知 : CPLD コンフィギュレーション PROM Spartan および Virtex FPGA 製品のリビジョン制御 SCD 製品番号 製造中止製品の通知 概要 この通知は一部の SCD (Specification Control Document) 製品が製造中止となることをお知らせするものです これらの SCD

More information

DS099-E04: XC3S400 FPGA エラッタおよび Spartan-3 データシートの確認

DS099-E04: XC3S400 FPGA エラッタおよび Spartan-3 データシートの確認 DS099-E04 (v2.5) 2006 年 12 月 14 日 XC3S400 FPGA エラッタおよび Spartan-3 データシートの確認 エラッタ これらのエラッタは Spartan-3 XC3S400 FPGA の量産デバイスおよびエンジニアリングサンプルの両方に適用されます その他の Spartan-3 FPGA では ここに記載したエラッタは該当しません 記載されている以外のデバイスをご使用の場合は

More information

EPSON EP-803A/EP-803AW ユーザーズガイド

EPSON EP-803A/EP-803AW ユーザーズガイド NPD4293-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.x...15...18...19...19...22...23...24!ex...24 /...25 P.I.F. PRINT Image Framer...25...26...30...30...31...31...31...35

More information

ザイリンクス DS099-E05 XC3S1000/L FPGA エラッタおよび Spartan-3 データシートの確認

ザイリンクス  DS099-E05 XC3S1000/L FPGA エラッタおよび Spartan-3 データシートの確認 DS099-E05 (v2.5) 2006 年 12 月 14 日 XC3S1000/XC3S1000L FPGA エラッタおよび Spartan-3/3L データシートの確認 エラッタ これらのエラッタは Spartan-3 XC3S1000 および XC3S1000L FPGA の量産デバイスおよびエンジニアリングサンプルの両方に適用されます その他の Spartan-3 FPGA では ここに記載したエラッタは該当しません

More information

問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN

問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN 第 8 回中間試験前の演習 問.VHDL ソースコードを読む () 次の VHDL のソースコードが記述しているゲート回路の回路図を示せ. use IEEE.STD_LOGIC_64.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Logic is port ( A : in std_logic_vector(3

More information

ヤマハDante機器と他社AES67機器の接続ガイド

ヤマハDante機器と他社AES67機器の接続ガイド はじめに AES67 は 高性能なデジタル IP ネットワークの相互接続を実現するための標準規格です AES67 は や Ravenna Q-LAN Livewire WheatNet などの異なるネットワーク規格で構築されたシステム間で オーディオ信号を送受信する手段を提供します ヤマハも 機器のアップデートにより順次 AES67 への対応を開始し 第一弾としてデジタルミキシングコンソール CL/QL

More information

EPSON EP-703A ユーザーズガイド

EPSON EP-703A ユーザーズガイド NPD4295-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.x...15...18...19...19...22...23...24!ex...24 /...25 P.I.F. PRINT Image Framer...25...26...29...30...30...31...31...34

More information

TH-80LF50J TH-70LF50J

TH-80LF50J TH-70LF50J TH-80LF50J TH-70LF50J TY-ST58P20 (70V) TY-ST65P20 (80V) TY-WK70PV50 TY-FB10HD TY-PG70LF50 (70V) TY-PG80LF50 (80V) - + - + SERIAL IN, SERIAL OUT AUDIO IN (COMPOSITE) AV IN DVI-D IN/OUT PC IN AUDIO

More information

NOTICE The information contained in this document is believed to be accurate in all respects but is not warranted by Mitel Networks Corporation (MITEL

NOTICE The information contained in this document is believed to be accurate in all respects but is not warranted by Mitel Networks Corporation (MITEL MiVoice 6725ip Microsoft Lync Phone 41-001367-06 REV02 クイックスタートガイド NOTICE The information contained in this document is believed to be accurate in all respects but is not warranted by Mitel Networks Corporation

More information

untitled

untitled LatticeECP/EC LatticeXP LatticeEC TM LatticeECP TM LatticeXP TM isplever EBR PFU LatticeECP/EC LatticeXP sysmem RAM(EBR) PFU RAM RAM RAM ROM EBR LUT PFU RAM RAM ROM FIFO EBR RAM PFU RAM 2 isplever IPexpress

More information

PLL ダイナミック リコンフィギュレーション, アプリケーション ノート (XAPP879)

PLL ダイナミック リコンフィギュレーション, アプリケーション ノート (XAPP879) アプリケーションノート : Spartan-6 ファミリ XAPP7 (v1.1) 11 年 1 月 6 日 PLL ダイナミックリコンフィギュレーション著者 : Karl Kurbjun Carl Ribbing 概要 このアプリケーションノートは ダイナミックリコンフィギュレーションポート (DRP) を介して Spartan - 6 FPGA の位相ロックループ (PLL) のクロック出力の周波数

More information

PX-673F

PX-673F NPD4385-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.x...15...18...19...19...21...22...23!ex...23 /...24 P.I.F. PRINT Image Framer...24...25...28...29...29...30...30...33

More information

CONTEC DIOプロバイダ ユーザーズガイド

CONTEC DIOプロバイダ ユーザーズガイド DIO プロバイダユーザーズガイド - 1 - DIO プロバイダ CONTEC DIO ボード Version 1.1.2 ユーザーズガイド July 17, 2012 備考 ORiN2SDK2.0.14 以降, このプロバイダは CONTEC 社の API-DIO(WDM) を使用しています. 以前 までの API-DIO(98PC) を使用する場合は,DIO98 プロバイダを使用してください.

More information

基本操作ガイド

基本操作ガイド HT7-0022-000-V.4.0 Copyright 2004 CANON INC. ALL RIGHTS RESERVED 1 2 3 1 2 3 1 2 3 1 2 3 1 2 3 4 1 1 2 3 4 5 1 2 1 2 3 1 2 3 1 2 3 1 2 3 4 1 2 3 4 1 2 3 4 5 6 1 2 3 4 5 6 7 1 2 3 4

More information

使用する前に

使用する前に この章では Cisco Secure ACS リリース 5.5 以降から Cisco ISE リリース 2.4 システムへのデー タ移行に使用される Cisco Secure ACS to Cisco ISE Migration Tool について説明します 移行の概要 1 ページ Cisco Secure ACS から データ移行 1 ページ Cisco Secure ACS to Cisco ISE

More information

Virtex-6 FPGA で LVDS を使用した、1.25Gb/s での 4X 非同期オーバーサンプリング, アプリケーション ノート (XAPP881)

Virtex-6 FPGA で LVDS を使用した、1.25Gb/s での 4X 非同期オーバーサンプリング, アプリケーション ノート (XAPP881) アプリケーションノート : Virtex-6 FPGA XAPP881 (v1.0.1) 2010 年 7 月 25 日 Virtex-6 FPGA で LVDS を使用した 1.25Gb/s での 4X 非同期オーバーサンプリング著者 : Catalin Baetoniu Brandon Day 概要 Virtex -6 FPGA の SelectIO テクノロジによって 1.25Gb/s で 4X

More information

AWS Client VPN - ユーザーガイド

AWS Client VPN - ユーザーガイド AWS Client VPN ユーザーガイド AWS Client VPN: ユーザーガイド Copyright 2019 Amazon Web Services, Inc. and/or its affiliates. All rights reserved. Amazon's trademarks and trade dress may not be used in connection with

More information

MusicSoft Manager

MusicSoft Manager MusicSoft Manager( ミュージックソフトマネージャー ) は 電子楽器で扱うファイル ( ソングやスタイルデータ ) を iphone/ipod touch/ipad 上で管理するアプリケーションです 本アプリケーションにより以下のことができます データのダウンロード購入 データをアプリと楽器 コンピューター オンラインストレージサービス Dropbox ( ドロップボックス ) 間で転送

More information

Microsoft Word - HowToSetupVault_mod.doc

Microsoft Word - HowToSetupVault_mod.doc Autodesk Vault 環境設定ガイド Autodesk Vault をインストール後 必要最小限の環境設定方法を説明します ここで 紹介しているのは一般的な環境での設定です すべての環境に当てはまるものではありません 1 条件 Autodesk Data Management Server がインストール済み Autodesk Vault Explorer がクライアント PC にインストール済み

More information

インテル(R) Visual Fortran コンパイラ 10.0

インテル(R) Visual Fortran コンパイラ 10.0 インテル (R) Visual Fortran コンパイラー 10.0 日本語版スペシャル エディション 入門ガイド 目次 概要インテル (R) Visual Fortran コンパイラーの設定はじめに検証用ソースファイル適切なインストールの確認コンパイラーの起動 ( コマンドライン ) コンパイル ( 最適化オプションなし ) 実行 / プログラムの検証コンパイル ( 最適化オプションあり ) 実行

More information

AutoCAD道場-なぜ「レイアウト」がいいのか?

AutoCAD道場-なぜ「レイアウト」がいいのか? AutoCAD 道場 : AutoCAD 習得のための傾向と対策セッション 3 なぜ レイアウト がいいのか? オートデスクコンサルタント井上竜夫 20110802 Ver. 1.0 レイアウトの基本 モデル空間 実際に作図作業を行う空間 作図は原寸 1:1 で行うのが原則 レイアウト空間 図面レイアウトの作成を行う空間 レイアウトの使用 ビューポートを配置して 図面レイアウトを作成 印刷 ビューポートはモデル空間の要素をレイアウトに表示するための窓

More information

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE 差し替え版 第 7 回マイクロプロセッサの VHDL 記述 マイクロプロセッサ全体および主要な内部ユニットの,VHDL 記述の例を示す. 1)MPU(Micro Processor Uit) Module 1MPU のエンティティ記述とコントローラの例以下は, 簡単な MPU の VHDL 記述の例である ただし, アーキテクチャ部分は, 命令読み込みと実行の状態遷移のみを実現したステートマシンである

More information

べリンガーB-CONTROL

べリンガーB-CONTROL B-CONTROL B-CONTROL B-CONTROL NATIVE INSTRUMENTS as well as the name of companies, institutions or publications pictured or mentioned and their respective logos are registered trademarks of their respective

More information

GT-X980

GT-X980 NPD5061-00 JA ...6...10...10...11...13...15...20...21...21...22 /...23 PDF...27 PDF...31 /...35...38...43...46 EPSON Scan...49...49...49...50 EPSON Scan...51...51...52...52...53 2 Windows...53 Mac OS X...53...53...53...54...56...56...58...59...60...60...61...62...63

More information

GT-F740/GT-S640

GT-F740/GT-S640 NPD4743-00 JA ...5 EPSON Scan... 5 Document Capture Pro / Document Capture...11...14 EPSON Scan...14 PDF...18 OCR...18...19...19...21 /...21...22...23 GT-F740...24...24...25...26...26...26...27 PDF...28...30

More information

IM 21B04C50-01

IM 21B04C50-01 User s Manual Blank Page Media No. (CD) 5th Edition : Sep. 2009 (YK) All Rights Reserved. Copyright 2001, Yokogawa Electric Corporation Yokogawa Electric Corporation Software License Agreement This

More information

GT-X830

GT-X830 NPD5108-00 ...5... 5... 6... 8...11 EPSON Scan...11 PDF...16 OCR...16...17...17...20 /...20...20...22...23...23...24...25...25...26...27 PDF...30...31 / EPSON Scan...34 EPSON Scan...34 EPSON Scan...36

More information

「電子政府推奨暗号の実装」評価報告書

「電子政府推奨暗号の実装」評価報告書 2011 情財第 399 号 情報セキュリティ対策基盤整備事業 電子政府推奨暗号の実装 評価報告書 平成 24 年 12 月 [ 改訂履歴 ] 日付改訂内容 2012 年 12 月 11 日評価報告書初版発行 2012 年 12 月 21 日 2. 評価結果 内のデータを修正 ( 表 1-1 表 1-2 表 2-1 表 2-2 表 3-1 表 3-2 表 4-1 表 4-2 表 5-1 表 5-2

More information

2

2 SXSXD 2 3 4 5 6 7 8 9 10 11 12 13 DC12V EIAJ RC5320A Class4 14 15 16 17 18 19 20 21 22 23 24 25 26 SCOPE CHART SCOPE CHART CHART SCOPE SCOPE SCOPE CHART CHART 27 SCOPE MODE CHART MODE 28 29 CHART MODE

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介安全機能 ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ 安全機能の概要 フラッシュ メモリ CRC 演算機能 RAM パリティ エラー検出機能 データの保護機能 RAM ガード機能 SFR ガード機能 不正メモリ アクセス機能 周辺機能を使用した安全機能 周波数検出機能 A/D

More information

Veritas System Recovery 18 System Recovery Disk

Veritas System Recovery 18 System Recovery Disk Veritas System Recovery 18 System Recovery Disk 免責事項 ベリタステクノロジーズ合同会社は この 書の著作権を留保します また 記載された内容の無謬性を保証しません VERITAS の製品は将来に渡って仕様を変更する可能性を常に含み これらは予告なく われることもあります なお 当ドキュメントの内容は参考資料として 読者の責任において管理 / 配布されるようお願いいたします

More information

Dolphin 6110 Quick Start Guide

Dolphin 6110 Quick Start Guide Dolphin TM 6110 モバイルコンピュータ クイックスタートガイド Dolphin 6110 モバイルコンピュータ ªªªªª v t ª ª ªªª v Dolphin 6110 ªª ª ªªªªªª ( ) ª ª ªªªªª ªªª (3.7 V ªª ª ª ) AC «KSAS0100500200D5 :100-240V AC 50/60Hz 0.4 A : 5 V DC 2.0

More information

ChipScope Pro ILA コアと Project Navigator を使用した FPGA アプリケーションのデバッグ

ChipScope Pro ILA コアと Project Navigator を使用した FPGA アプリケーションのデバッグ ChipScope Pro ILA コアと Project Navigator を使用した FPGA アプリケーションのデバッグ UG750 (v12.3) 2010 年 11 月 5 日 Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you

More information

本書は INpMac v2.20(intime 5.2 INplc 3 Windows7/8/8.1に対応 ) の内容を元に記載しています Microsoft Windows Visual Studio は 米国 Microsoft Corporation の米国及びその他の国における登録商標です

本書は INpMac v2.20(intime 5.2 INplc 3 Windows7/8/8.1に対応 ) の内容を元に記載しています Microsoft Windows Visual Studio は 米国 Microsoft Corporation の米国及びその他の国における登録商標です ACTIVE TOUCH 拡張部品取扱説明書 - 共有メモリアクセスコンポーネント - 1. はじめに 1 (1) 概要... 1 (2) INpMac のインストール... 1 2. Windows アプリケーションとの連携 2 (1) コントロール ( 部品 ) の登録... 2 (2) データの関連付け... 3 3. INtime アプリケーションとの連携 4 (1) 部品 ( コンポーネント

More information

2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk

2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk 2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk Autodesk Vault 2014 新機能 操作性向上 Inventor ファイルを Vault にチェックインすることなくステータス変更を実行できるようになりました 履歴テーブルの版管理を柔軟に設定できるようになりました

More information

HAR-LH500

HAR-LH500 4-249-904-01(1) HAR-LH500 2003 Sony Corporation 2 3 4 Flow-Down License Terms This product contains technology and data from Gracenote, Inc. of Berkeley, California ( Gracenote ). The technology from Gracenote

More information

VelilogHDL 回路を「言語」で記述する

VelilogHDL 回路を「言語」で記述する 2. ソースを書く 数値表現 数値表現形式 : ss'fnn...n ss は, 定数のビット幅を 10 進数で表します f は, 基数を表します b が 2 進,o が 8 進,d が 10 進,h が 16 進 nn...n は, 定数値を表します 各基数で許される値を書くこ Verilog ビット幅 基数 2 進表現 1'b0 1 2 進 0 4'b0100 4 2 進 0100 4'd4 4

More information

PX-B750F

PX-B750F NPD4539-00 ...6... 6... 9 Mac OS X...10 Mac OS X v10.5.x v10.6.x...10 Mac OS X v10.4.11...13...16...16...17...18...20...22!ex...22...23...26...27...27...28...28...30 Web...30...30...34...34...34...35...36...36...38...40...40...44...46...51

More information

PPTフォーム(white)

PPTフォーム(white) Spartan-6 概要 株式会社 PALTEK Engineering Group Proprietary to PALTEK CORPORATION 1 アジェンダ Spartan-6 導入 概要 Spartan-6 アーキテクチャ CLB ブロック RAM SelectIO クロック DSP メモリコントローラブロック (MCB) GTP 2 概要 ( ファミリ ) Virtex-6 LXT

More information

ザイリンクス UG382 Spartan-6 FPGA クロック リソース ユーザー ガイド

ザイリンクス UG382 Spartan-6 FPGA クロック リソース ユーザー ガイド Spartan-6 FPGA クロックリソース [Guide ユーザー Subtitle] ガイド [optional] [optional] Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the

More information

Appendix

Appendix Appendix Appendix-A PHP 392 Appendix-B -> cd ext/pgsql -> phpize ->./configure --with-pgsql -> make -> make EXTENSION_DIR=/usr/local/lib/php/extensions install extension_dir = "/usr/local/lib/php/extensions/"

More information

ISim ハードウェア協調シミュレーション : Virtex-5 エンベデッド イーサネット MAC を介したライブ イーサネット トラフィックの処理

ISim ハードウェア協調シミュレーション : Virtex-5 エンベデッド イーサネット MAC を介したライブ イーサネット トラフィックの処理 ISim ハードウェア協調シミュレーション : UG819 (v13.3) 2011 年 11 月 11 日 Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely for use in the development of

More information