untitled

Size: px
Start display at page:

Download "untitled"

Transcription

1 LatticeECP/EC LatticeXP LatticeEC TM LatticeECP TM LatticeXP TM isplever EBR PFU LatticeECP/EC LatticeXP sysmem RAM(EBR) PFU RAM RAM RAM ROM EBR LUT PFU RAM RAM ROM FIFO EBR RAM PFU RAM 2 isplever IPexpress TM IPexpress 1 IPexpress LatticeECP/EC LatticeXP LatticeECP/EC LatticeXP I/O (PIC) PFU PFF sysmem RAM(EBR) PFU RAM ROM PFF (RAM) (EBR) PFU (RAM) EBR RAM EBR RAM (Row) (Column) RAM PFU PFU/PFF EBR 1 2 LatticeECP/EC/XP 8-1 Memory UG

2 8-1 LatticeEC 8-2 LatticeECP LatticeECP/EC/XP 8-2 Memory UG

3 8-3 LatticeXP IPexpress IPexpress LUT 1 IPexpress LatticeEC LatticeECP LatticeXP ( ) Tools > IPexpress LatticeEC/ECP LatticeXP 8-4 IPexpress LatticeECP/EC/XP 8-3 Memory UG

4 8-4 IPexpress (Module Tree) 8-4 EBR Module > Memory_Modules > Distributed_RAM PFU Module > Memory_Modules > EBR_Components 512 x 16 EBR RAM EBR_Components RAM_DP IPexpress RAM(RAM_DP) LatticeECP/EC/XP 8-4 Memory UG

5 Macro Type Version Module_Name IPexpress Project Path File Name Design Entry, Verilog VHDL VHDL Schematic/VHDL Verilog-HDL Schematic/Verilog-HDL Customize RAM ( 8-6) (Configuration) 8-6 RAM(RAM_DP) Configuration 512x16 RAM (True) RAM RAM EBR RAM Enable Output Registers Read Data Port LatticeECP/EC/XP 8-5 Memory UG

6 (Reset Mode) GSR Global Set Reset Enabled Disabled EBR RAM RAM ROM 16 Hex Addresses Hex Generate Import LPC to isplever project *.lpc Verilog-HDL/VHDL (EBR ) RAM(RAM_DQ) EBR RAM RAM_DQ LatticeECP/EC LatticeXP EBR IPexpress EDIF Verilog-HDL VHDL IPexpress EBR IPexpress GUI EBR EBR 1 EBR 1 EBR EBR LatticeECP/EC LatticeXP RAM_DQ 8-8 LatticeECP/EC/XP 8-6 Memory UG

7 8-8 LatticeECP/EC LatticeXP RAM RAM_DQ RAM 8-1 IPexpress EBR RAM_DQ 8-1 EBR EBR Clock CLK ClockEn CE Active High Address AD[x:0] Data DI[y:0] Q DO[y:0] WE WE Active High Reset RST Active High CS[2:0] (RST) RAM EBR CS EBR 3 CS MSB 8 8 EBR PFU (EBR ) EBR 9,216 RAM 8-2 LatticeECP/EC/XP 8-7 Memory UG

8 8-2 LatticeECP/EC 9K [MSB:LSB] 8K x 1 DI DO AD[12:0] 4K x 2 DI[1:0] DO[1:0] AD[11:0] 2K x 4 DI[3:0] DO[3:0] AD[10:0] 1K x 9 DI[8:0] DO[8:0] AD[9:0] 512 x 18 DI[17:0] DO[17:0] AD[8:0] 256 x 36 DI[35:0] DO[35:0] AD[7:0] 8-3 (RAM_DQ) IPexpress GUI A 8-3 LatticeECP/EC RAM IPexpress DATA_WIDTH 1, 2, 4, 9, 18, 36 1 YES REGMODE ( ) NOREG, OUTREG NOREG YES RESETMODE ASYNC, SYNC ASYNC YES CSDECODE 000, 001, 010, 011, 100, 101, 110, 111 WRITEMODE / NORMAL, WRITETHROUGH, READBEFOREWRITE 000 NO NORMAL GSR / ENABLE, DISABLE ENABLED YES RAM RAM_DQ NORMAL READ BEFORE WRITE WRITE THROUGH Q RAM RAM YES LatticeECP/EC/XP 8-8 Memory UG

9 8-9 RAM NORMAL 8-10 RAM NORMAL LatticeECP/EC/XP 8-9 Memory UG

10 8-11 RAM READ BEFORE WRITE 8-12 RAM READ BEFORE WRITE LatticeECP/EC/XP 8-10 Memory UG

11 8-13 RAM WRITE THROUGH 8-14 RAM WRITE THROUGH LatticeECP/EC/XP 8-11 Memory UG

12 (True) RAM(RAM_DP_TRUE) EBR True-Dual Port RAM RAM_DP_TRUE LatticeECP/EC LatticeXP EBR IPexpress EDIF Verilog-HDL VHDL IPexpress RAM_DP_TRUE EBR 1 EBR 1 EBR EBR 8-16 LatticeECP/EC LatticeXP RAM RAM_DP_TRUE LatticeECP/EC/XP 8-12 Memory UG

13 LatticeECP/EC LatticeXP (RAM_DP_TRUE) 8-16 RAM 8-4 IPexpress EBR RAM_DP_TRUE 8-4 EBR EBR ClockA, ClockB CLKA, CLKB PortA/PortB Rising Clock Edge ClockEnA, ClockEnB CEA, CEB CLKA/CLKB AddressA, AddressB ADA[x:0], ADB[x:0] A/ B DataA, DataB DIA[y:0], DIB[y:0] A/ B QA, QB DOA[y:0], DOB[y:0] A/ B Active High WEA, WEB WEA, WEB A/ B Active High ResetA, ResetB RSTA, RSTB A/ B Active High CSA[2:0], CSB[2:0] (RST) RAM EBR CS EBR 3 CS MSB 8 8 EBR PFU (EBR ) EBR 9,216 RAM LatticeECP/EC LatticeXP 9K A B A B A [MSB:LSB] B [MSB:LSB] 8K x 1 DIA DIB DOA DOB ADA[12:0] ADB[12:0] 4K x 2 DIA[1:0] DIB[1:0] DOA[1:0] DOB[1:0] ADA[11:0] ADB[11:0] 2K x 4 DIA[3:0] DIB[3:0] DOA[3:0] DOB[3:0] ADA[10:0] ADB[10:0] 1K x 9 DIA[8:0] DIB[8:0] DOA[8:0] DOB[8:0] ADA[9:0] ADB[9:0] 512 x 18 DIA[17:0] DIB[17:0] DOA[17:0] DOB[17:0] ADA[8:0] ADB[8:0] 8-6 Memory(RAM_DP_TRUE) IPexpress GUI A LatticeECP/EC/XP 8-13 Memory UG

14 8-6 LatticeECP/EC LatticeXP RAM IPexpress DATA_WIDTH_A A 1, 2, 4, 9, 18 1 YES DATA_WIDTH_B B 1, 2, 4, 9, 18 1 YES REGMODE_A REGMODE_B ( ) A ( ) B NOREG, OUTREG NOREG YES NOREG, OUTREG NOREG YES RESETMODE ASYNC, SYNC ASYNC YES CSDECODE_A CSDECODE_B A B 000, 001, 010, 011, 100, 101, 110, , 001, 010, 011, 100, 101, 110, 111 WRITEMODE_A Read / Write A NORMAL, WRITETHROUGH, READBEFOREWRITE WRITEMODE_B Read / Write B NORMAL, WRITETHROUGH, READBEFOREWRITE 000 NO 000 NO NORMAL NORMAL GSR / ENABLE, DISABLE ENABLED YES RAM True Dual Port RAM RAM_DP_TRUE Q x9 x18 WRITE Appendix A RAM RAM YES YES LatticeECP/EC/XP 8-14 Memory UG

15 8-17 RAM NORMAL LatticeECP/EC/XP 8-15 Memory UG

16 8-18 RAM NORMAL LatticeECP/EC/XP 8-16 Memory UG

17 8-19 RAM READ BEFORE WRITE LatticeECP/EC/XP 8-17 Memory UG

18 8-20 RAM READ BEFORE WRITE LatticeECP/EC/XP 8-18 Memory UG

19 8-21 RAM WRITE THROUGH LatticeECP/EC/XP 8-19 Memory UG

20 8-22 RAM WRITE THROUGH LatticeECP/EC/XP 8-20 Memory UG

21 RAM(RAM_DP) EBR LatticeECP/EC LatticeXP EBR RAM RAM_DP IPexpress EDIF Verilog-HDL VHDL IPexpress EBR EBR 1 EBR 1 EBR EBR LatticeECP/EC LatticeXP LatticeECP/EC LatticeXP RAM RAM_DP RAM 8-7 LatticeECP/EC/XP 8-21 Memory UG

22 EBR RAM_DP 8-7 EBR EBR RdAddress ADR[x:0] WrAddress ADW[x:0] RdClock CLKR WrClock CLKW RdClockEn CER WrClockEn CEW Q DO[y:0] Data DI[y:0] Active High Active High WE WE Active High Reset RST Active High CS[2:0] (RST) RAM EBR CS EBR 3 CS MSB 8 8 EBR PFU (EBR ) EBR 9,216 RAM LatticeECP/EC LatticeXP 9K A B A B A [MSB:LSB] B [MSB:LSB] 8K x 1 DIA DIB DOA DOB RAD[12:0] WAD[12:0] 4K x 2 DIA[1:0] DIB[1:0] DOA[1:0] DOB[1:0] RAD[11:0] WAD[11:0] 2K x 4 DIA[3:0] DIB[3:0] DOA[3:0] DOB[3:0] RAD[10:0] WAD[10:0] 1K x 9 DIA[8:0] DIB[8:0] DOA[8:0] DOB[8:0] RAD[9:0] WAD[9:0] 512 x 18 DIA[17:0] DIB[17:0] DOA[17:0] DOB[17:0] RAD[8:0] WAD[8:0] 256 x 36 DIA[35:0] DIB[35:0] DOA[35:0] DOB[35:0] RAD[7:0] WAD[7:0] 8-9 (RAM_DP) IPexpress GUI A LatticeECP/EC/XP 8-22 Memory UG

23 8-9 LatticeECP/EC LatticeXP RAM IPexpress DATA_WIDTH_W Write 1, 2, 4, 9, 18, 36 1 YES DATA_WIDTH_R Read 1, 2, 4, 9, 18, 36 1 YES REGMODE ( ) NOREG, OUTREG NOREG YES RESETMODE ASYNC, SYNC ASYNC YES CSDECODE_W Write 000, 001, 010, 011, 100, 101, 110, NO CSDECODE_R Read 000, 001, 010, 011, 100, 101, 110, NO GSR / ENABLE, DISABLE ENABLED YES RAM RAM_DP RAM 8-25 RAM LatticeECP/EC/XP 8-23 Memory UG

24 8-26 RAM (ROM) EBR LatticeECP/EC LatticeXP EBR ROM IPexpress EDIF Verilog-HDL VHDL ROM IPexpress 8-27 EBR EBR 1 EBR 1 EBR EBR 8-27 IPexpress ROM LatticeECP/EC LatticeXP ROM 8-28 ROM LatticeECP/EC/XP 8-24 Memory UG

25 ROM 8-10 IPexpress ROM 8-28 LatticeECP/EC LatticeXP ROM 8-10 EBR ROM EBR Address AD[x:0] OutClock CLK OutClockEn CE Active High Reset RST Active High CS[2:0] (RST) RAM EBR CS EBR 3 CS MSB 8 8 EBR PFU (EBR ) IPexpress ROM ROM *.mem 16 Hex Addresses Hex(ORCA) (ROM) ROM LatticeECP/EC/XP 8-25 Memory UG

26 8-29 ROM 8-30 ROM (FIFO FIFO_DC) EBR LatticeECP/EC LatticeXP EBR FIFO FIFO_DC FIFO / FIFO_DC( Dual Clock FIFO) IPexpress EDIF Verilog-HDL VHDL 8-31 IPexpress FIFO LatticeECP/EC/XP 8-26 Memory UG

27 8-32 IPexpress FIFO_DC IPexpress FIFO FIFO_DC LatticeECP/EC LatticeXP FIFO FIFO FIFO_DC (RAM_DP )RAM EBR FIFO EBR FIFO FIFO FIFO_DC EBR FIFO/FIFO_DC Clock (CLK) (FIFO) RdClock (CLKR) (FIFO_DC) WrClock (CLKW) (FIFO_DC) WrEn (WE) Active High RdEn (RE) Active High Reset (RST) Active High Data (DI) Q (DO) Full (FF) Full Active High Almost Full (AF) Almost Full Active High Empty (EF) Empty Active High Almost Emplty (AE) Almost Empty Active High (RST) FIFO/FIFO_DC LatticeECP/EC LatticeXP FIFO/FIFO_DC 8-12 LatticeECP/EC/XP 8-27 Memory UG

28 8-12 LatticeECP/EC LatticeXP FIFO/FIFO_DC FIFO 8K x 1 DI DO 4K x 2 DI[1:0] DO[1:0] 2K x 4 DI[3:0] DO[3:0] 1K x 9 DI[8:0] DO[8:0] 512 x 18 DI[17:0] DO[17:0] 256 x 36 DI[35:0] DO[35:0] FIFO FIFO FIFO_DC 4 Empty Almost Empty Almost Full Full Almost Empty Almost Full FIFO FIFO FF Full 2N AFF Almost Full 1 (FF-1) 14 AEF Almost Empty 1 (FF-1) 14 EF Empty 0 5 (Empty Almost Empty Almost Full Full) 8-13 Empty Almost Empty Full Almost Full Empty( Full) Almost Empty( Almost Full) Low Almost Empty High Almost Empty Almost Full 512 FIFO Almost Full 500 IPexpress 500 Empty Almost Empty Full Almost Full FIFO FIFO RAM(EBR) (RAM_DQ) (RAM_DP) (RAM_DP_TRUE) FIFO RAM FIFO FIFO ( ) ( ) RdEn FIFO (FIFO) FIFO FIFO FIFO RAM LatticeECP/EC/XP 8-28 Memory UG

29 FPGA FIFO Reset Clock WrEn RdEn Data Q Full Flag Almost Full Flag Empty Flag Almost Empty Flag FIFO 8-33 FIFO 8-33 ( ) FIFO FIFO WrEn High Empty Almost Empty High Full Almost Full Low FIFO Empty (Low ) FIFO Almost Empty 3 ( 3) 3 Almost Empty LatticeECP/EC/XP 8-29 Memory UG

30 FIFO FIFO Almost Full Full 8-34 FIFO N' 8-34 ( ) FIFO Almost Full FIFO 2 N-2 Almost Full FIFO Full FIFO (Full High) Data_X FIFO 8-35 RdEn High Full Almost Full LatticeECP/EC/XP 8-30 Memory UG

31 8-35 ( ) FIFO FIFO Almost Empty Empty 8-36 ( ) FIFO LatticeECP/EC/XP 8-31 Memory UG

32 FIFO 1 RdEn RdEn FIFO FIFO 1 'Q' 8-37 FIFO LatticeECP/EC/XP 8-32 Memory UG

33 8-38 FIFO 8-39 FIFO LatticeECP/EC/XP 8-33 Memory UG

34 8-40 FIFO RdEn FIFO 1 RdEn High RdEn 8-41 RdEn FIFO LatticeECP/EC/XP 8-34 Memory UG

35 FIFO FIFO_DC FIFO_DC FIFO RAM FPGA FIFO_DC Reset RPReset WrClock RdClock WrEn RdEn Data Q Full Flag Almost Full Flag Empty Flag Almost Empty Flag FIFO_DC FIFO_DC FIFO RAM FPGA 2 FIFO FIFO_DC WrClock WrEn Empty Almost Empty RdClock Full Almost Full FIFO_DC WrClock FIFO_DC 8-42 FIFO_DC LatticeECP/EC/XP 8-35 Memory UG

36 8-42 FIFO_DC FIFO_DC WrEn High Empty Almost Empty High Full Almost Full Low FIFO_DC Empty (Low ) FIFO_DC Almost Empty 3 ( 3) 3 Almost Empty FIFO_DC FIFO_DC Almost Full Full 8-43 FIFO_DC N' LatticeECP/EC/XP 8-36 Memory UG

37 8-43 FIFO_DC Almost Full FIFO_DC 2 'N-2' Almost Full FIFO_DC Full FIFO_DC (Full High) Data_X FIFO_DC 8-44 RdEn High Full Almost Full 2 LatticeECP/EC/XP 8-37 Memory UG

38 8-44 FIFO_DC FIFO_DC Almost Empty Empty 8-45 FIFO_DC LatticeECP/EC/XP 8-38 Memory UG

39 FIFO_DC 1 RdEn RdEn FIFO_DC FIFO_DC Q' FIFO_DC LatticeECP/EC/XP 8-39 Memory UG

40 8-47 FIFO_DC 8-48 FIFO_DC LatticeECP/EC/XP 8-40 Memory UG

41 8-49 FIFO_DC RdEn FIFO_DC 1 RdEn High RdEn LatticeECP/EC/XP 8-41 Memory UG

42 8-50 RdEn FIFO_DC LatticeECP/EC/XP 8-42 Memory UG

43 RAM(Distributed_SPRAM) PFU PFU RAM PFU 4 LUT( ) LUT 8-51 IPexpress RAM 8-51 IPexpress RAM PFU 4 LUT Clock ClockEn Reset PFU LatticeECP/EC LatticeXP RAM LatticeECP/EC LatticeXP RAM (Sync_Single-Port_RAM) (RdClock) (RdClockEn) IPexpress 8-14 IPexpress RAM(Distributed _SPRAM) LatticeECP/EC/XP 8-43 Memory UG

44 8-14 PFU RAM EBR Clock CK ClockEn - Active High Reset - Active High WE WRE Active High Address AD[3:0] Data DI[1:0] Q DO[1:0] 8-53 PFU RAM 8-54 PFU RAM LatticeECP/EC/XP 8-44 Memory UG

45 RAM(Distributed_DPRAM) PFU PFU RAM PFU 4 LUT LUT 8-55 IPexpress RAM 8-55 IPexpress RAM PFU 4 LUT Clocks Clock Enables Reset PFU LatticeECP/EC RAM 8-56 (RdClock) (RdClockEn) 8-15 IPexpress 8-56 LatticeEC/ECP LatticeXP PFU RAM LatticeECP/EC/XP 8-45 Memory UG

46 8-15 PFU RAM EBR WrAddress WAD[23:0] RdAddress RAD[3:0] RdClock RdClockEn High WrClock WCK WrClockEn High WE WRE High Data DI[1:0] Q RDO[1:0] RAM(Distributed_DPRAM) PFU RAM LatticeECP/EC/XP 8-46 Memory UG

47 8-58 PFU RAM ROM(Distributed_ROM) PFU PFU ROM PFU 4 LUT LUT 8-59 IPexpress RAM 8-59 IPexpress ROM PFU 4 LUT LatticeECP/EC LatticeXP RAM 8-60 Out Clock(OutClock) Out Clock Enable(OutClockEn) LatticeECP/EC/XP 8-47 Memory UG

48 IPexpress 8-60 LatticeECP/EC PFU ROM(Sync_ROM) 8-16 IPexpress 8-16 PFU ROM EBR Address AD[3:0] OutClock Rising Clock Edge OutClockEn Active High Reset Active High Q DO ROM(Distributed_ROM) PFU ROM LatticeECP/EC/XP 8-48 Memory UG

49 8-62 PFU ROM EBR ROM RAM 0 1 ASCII IPexpress (Hex) (Addressed Hex) *.mem(<file_name>.mem) ( ) ( ) ROM RAM EBR x LatticeECP/EC/XP 8-49 Memory UG

50 x16 A001 0B CE A A4 16 (ORCA) 16 memfile : 16 -A0 : 03 F3 3E 4F -B2 : 3B 9F A0 03 A1 F3 A2 3E A3 4F B2 3B B3 9F addr_width data_width 0 IPexpress : LATTICE (North America) (Outside North America) techsupport@latticesemi.com : LatticeECP/EC/XP 8-50 Memory UG

51 A. DATA_WIDTH RAM FIFO DATA_WIDTH RAM REGMODE REGMODE RAM FIFO REGMODE NOREG OUTREG RESETMODE RESETMODE RAM RESETMODE 2 SYNC ASYNC SYNC ASYNC CSDECODE CSDECODE( ) RAM EBR CS EBR EBR CS MSB CS 3 8 CSDECODE ; 000", 001", 010", 011", 100", 101", 110", 111" CSDECODE CS[2:0] RAM CSDECODE_W CSDECODE_R CSDECODE_A CSDECODE_B RAM A B WRITEMODE WRITEMODE RAM NORMAL WRITETHROUGH READBEFOREWRITE NORMAL WRITETHROUGH READBEFOREWRITE x9 x18 x36 WRITEMODE_A WRITEMODE_B RAM RAM A B Hi Low LatticeECP/EC/XP 8-51 Memory UG

52 GSR GSR / RAM / LatticeECP/EC/XP 8-52 Memory UG

untitled

untitled LatticeXP2 LatticeXP2 TM isplever (Tag) RAM (EBR) PFU FPGA sysmem RAM (EBR) PFU RAM RAM RAM FIFO ROM EBR LUT PFU RAM RAM ROM EBR RAM PFU RAM isplever IPexpress TM 2 IPexpress IPexpress 1 IPexpress LatticeXP2

More information

Cyclone III デバイス・ファミリの メモリ・ブロック

Cyclone III デバイス・ファミリの メモリ・ブロック この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください Cyclone III デバイス ファミリ (Cyclone III および Cyclone III LS デバイス ) は アルテラの Cyclone III デバイス ファミリのデザインのオンチップ メモリの要件に対応するエンベデッド

More information

Report Template

Report Template 1 3 IPexpress 4 IPexpress... 4 IPexpress... 4 Ipexpress... 5 IP/Module tree... 5 Entry... 6 IPexpress... 7 IPexpress... 10... 10 IP... 10 lpc... 12... 13 IP 14 15 2 /IP 1-1 3 IPexpress IPexpress IPexpress

More information

Power Calculator

Power Calculator 1 4... 4... 4... 5 6... 6... 6 isplever... 6... 7... 8... 8... 8 (NCD)... 9 (.vcd)... 10... 11...11... 12 Power Summary... 16 Logic Block... 19 Clocks... 20 I/O... 20 I/O Term... 21 Block RAM... 22 DSP...

More information

LatticeXP ファミリ・データシート

LatticeXP ファミリ・データシート MachXO DS1002 Version 02.5, Feb. 2007 MachXO ( ) SRAM SRAM MPU JTAG TransFR TM (TFR) 256 2280 LUT4 FF 73 271 I/O /RoHS MachXO EBR 27.6Kbits sysmem TM RAM(EBR) 7.5Kbit FIFO sysio LVCMOS 3.3/2.5/1.8/1.5/1.2

More information

デザインパフォーマンス向上のためのHDLコーディング法

デザインパフォーマンス向上のためのHDLコーディング法 WP231 (1.1) 2006 1 6 HDL FPGA TL TL 100MHz 400MHz HDL FPGA FPGA 2005 2006 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx,

More information

スライド 1

スライド 1 isplever CLASIC 1.2 Startup Manual for MACH4000 Rev.1.0 isplever_ CLASIC Startup_for_MACH4000_Rev01.ppt Page: 1 1. Page 3 2. Lattice isplever Design Flow Page 4 3. Page 5 3-1 Page 6 3-2 Page 7 3-3 Page

More information

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices XAPP858 (v1.1) 2007 1 9 : Virtex-5 FPGA Virtex-5 DDR2 SDRAM : Karthi Palanisamy Maria George (v1.1) DDR2 SDRAM Virtex -5 I/O ISERDES (Input Serializer/Deserializer) ODDR (Output Double Data Rate) DDR2

More information

LatticeXP ファミリ・データシート

LatticeXP ファミリ・データシート MachXO DS1002 Version 02.8, June 2009 DISCLAIMER Translation of Lattice materials into languages other than English is intended as a convenience for our non-english reading customers. Although we attempt

More information

スライド 1

スライド 1 1 1. 2 2. 3 isplever 4 5 6 7 8 9 VHDL 10 VHDL 4 Decode cnt = "1010" High Low DOUT CLK 25MHz 50MHz clk_inst Cnt[3:0] RST 2 4 1010 11 library ieee; library xp; use xp.components.all; use ieee.std_logic_1164.all;

More information

FPGAメモリおよび定数のインシステム・アップデート

FPGAメモリおよび定数のインシステム・アップデート QII53012-7.2.0 15. FPGA FPGA Quartus II Joint Test Action Group JTAG FPGA FPGA FPGA Quartus II In-System Memory Content Editor FPGA 15 2 15 3 15 3 15 4 In-System Memory Content Editor Quartus II In-System

More information

内部メモリ(RAMおよびROM)ユーザーガイド

内部メモリ(RAMおよびROM)ユーザーガイド Internal Memory (RAM and ROM) User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Copyright 2011 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company, the stylized

More information

Revision

Revision Revision 0 Lattice Mico32 222-8561 1-6-3 1 045-470-9841 FAX 045-470-9844 ... 1... 1... 1 LatticeMico32... 2 Mico32... 3... 3... 4 Microprocessor Platform isplever Project... 5 MSB(MicoSystemBuilder)...

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

untitled

untitled 13 Verilog HDL 16 CPU CPU IP 16 1023 2 reg[ msb: lsb] [ ]; reg [15:0] MEM [0:1023]; //16 1024 16 1 16 2 FF 1 address 8 64 `resetall `timescale 1ns/10ps module mem8(address, readdata,writedata, write, read);

More information

Xilinx UG383 Spartan-6 ブロック RAM ユーザー ガイド

Xilinx UG383 Spartan-6 ブロック RAM ユーザー ガイド Spartan-6 FPGA ブロック RAM リソース ユーザーガイド Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the development of designs to operate

More information

Report Template

Report Template f 1 3... 3 PC... 3... 4 12... 12 Web... 12 E-mail FAX... 17... 18 Service Pack 19 UPDATE... 19... 20 web... 21 Version 24 25... 25... 26... 27... 28 29 2 isplever7.1 for Windows isplever7.1 PC isplever7.1

More information

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」 ALTIMA Company, MACNICA, Inc Nios II HAL API Modular Scatter-Gather DMA Core Ver.17.1 2018 8 Rev.1 Nios II HAL API Modular Scatter-Gather DMA Core...3...3...4... 4... 5 3-2-1. msgdma... 6 3-2-2. On-Chip

More information

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO - 5ns - f CNT 25MHz - 800~6,400 36~288 5V ISP - 0,000 / - / 36V8-90 8 - IEEE 49. JTAG 24mA 3.3V 5V PCI -5-7 -0 CMOS 5V FastFLASH XC9500 XC9500CPLD 0,000 / IEEE49. JTAG XC9500 36 288 800 6,400 2 XC9500

More information

c 2014 2 t WC 1 2: SRAM 1.2 DRAM DRAM DRAM DRAM 3 4M 1 DRAM 22 1 A0 A10 11 DRAM 22 DIN DOUT 1 DRAM

c 2014 2 t WC 1 2: SRAM 1.2 DRAM DRAM DRAM DRAM 3 4M 1 DRAM 22 1 A0 A10 11 DRAM 22 DIN DOUT 1 DRAM 2014/4/22 1 1.1 SRAM SRAM 1 128K 1M 128K 8 17 8 SRAM CS 1 OE 2 WE 3 CS OE WE V CC V SS 1: SRAM SRAM 2 2 (a) t ACC t RC 1 2 (b) t CSW CS 1 chip select 2 output enable 3 write enable 1 c 2014 2 t WC 1 2:

More information

R1RW0416DI シリーズ

R1RW0416DI シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

「FPGAを用いたプロセッサ検証システムの製作」

「FPGAを用いたプロセッサ検証システムの製作」 FPGA 2210010149-5 2005 2 21 RISC Verilog-HDL FPGA (celoxica RC100 ) LSI LSI HDL CAD HDL 3 HDL FPGA MPU i 1. 1 2. 3 2.1 HDL FPGA 3 2.2 5 2.3 6 2.3.1 FPGA 6 2.3.2 Flash Memory 6 2.3.3 Flash Memory 7 2.3.4

More information

untitled

untitled Verilog HDL Verilog HDL VerilogHDL veriloghdl / CPLD , 1bit 2 MUX 5 D,E) always) module MUX(out, a, b, sel); output out; input a, b, sel; A) IF module MUX(out, a, b, sel); output out; input a, b, sel;

More information

R1RW0408D シリーズ

R1RW0408D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

R1RP0416D シリーズ

R1RP0416D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

R1LV0416Dシリーズ データシート

R1LV0416Dシリーズ データシート Wide Temperature Range Version 4M SRAM (256-kword 16-bit) RJJ03C0237-0100 Rev. 1.00 2007.05.24 262,144 16 4M RAM TFT 44 TSOP II 48 CSP 0.75mm 3.0V 2.7V 3.6V 55/70ns max 3µW typ V CC =3.0V 2CS 40 +85 C

More information

Lab GPIO_35 GPIO

Lab GPIO_35 GPIO 6,GPIO, PSoC 3/5 GPIO HW Polling and Interrupt PSoC Experiment Lab PSoC 3/5 GPIO Experiment Course Material 6 V2.02 October 15th. 2012 GPIO_35.PPT (65 Slides) Renji Mikami Renji_Mikami@nifty.com Lab GPIO_35

More information

untitled

untitled ... 3... 3... 4 Multiple STP.... 7 MST... 7 MST... 8 CIST... 9... 9... 9... 11... 11... 14... 14 ADD MSTP MSTI VLAN... 15 CREATE MSTP MSTI... 16 DELETE MSTP MSTI VLAN...... 17 DESTROY MSTP MSTI... 18 DISABLE

More information

(1)

(1) ~ ~ NO YES ~ ( NO YES ) YES NO NO YES ~ ( NO YES ) YES NO 1 1 NO 2 NO 2YES YES 1 (1) 25 26 2 3 () () () () 4 () () 5 6 () 7 () 8 9 1-3-1 10 3 11 12 ~1. (1) () () (2) (3) () (4) () () (5) (6) (7) (1) (2)

More information

Report Template

Report Template 日本語マニュアル 第 21 章 シミュレーション ユーザーガイド ( 本 日本語マニュアルは 日本語による理解のため一助として提供しています その作成にあたっては各トピックについて それぞれ可能な限り正確を期しておりますが 必ずしも網羅的ではなく 或いは最新でない可能性があります また 意図せずオリジナル英語版オンラインヘルプやリリースノートなどと不一致がある場合もあり得ます 疑義が生じた場合は ラティスセミコンダクター正規代理店の技術サポート担当にお問い合わせ頂くか

More information

untitled

untitled FutureNet Microsoft Corporation Microsoft Windows Windows 95 Windows 98 Windows NT4.0 Windows 2000, Windows XP, Microsoft Internet Exproler (1) (2) (3) COM. (4) (5) ii ... 1 1.1... 1 1.2... 3 1.3... 6...

More information

Xilinx XAPP485 Spartan-3E FPGA における最大レート 666Mbps でのデシリアライズ、アプリケーション ノート

Xilinx XAPP485 Spartan-3E FPGA における最大レート 666Mbps でのデシリアライズ、アプリケーション ノート XAPP485 (v1.1) 2006 11 10 R : Spartan-3E FPGA Spartan-3E FPGA 666Mbps 1:7 : Nick Sawyer (v1.1) Spartan -3E 666 / (Mbps) 1:7 Spartan-3E 4 5 666Mbps 1/7 Spartan-3E FPGA DCM ( ) DFS ( ) 3.5 DDR ( ) 1:7 DDR

More information

HyRAL®FPGA設計仕様書

HyRAL®FPGA設計仕様書 HyRAL Encryption FPGA HyRAL FPGA 2009/12/ 13 2 2010/01/11 3. FPGA 3.1. Const1, 2,3 3.3.ciphergen 3.3.6. 3.4. Decrypt 4 3 2010/01/26 1. i 1.... 1 2.... 1 2.1. FPGA... 1 2.2.... 1 2.3.... 1 2.4. IP... 1

More information

R1LV1616H-I シリーズ

R1LV1616H-I シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

HardCopy IIデバイスのタイミング制約

HardCopy IIデバイスのタイミング制約 7. HardCopy II H51028-2.1 Stratix II FPGA FPGA ASIC HardCopy II ASIC NRE Quartus II HardCopy Design Center HCDC Quartus II TimeQuest HardCopy II 2 DR2 TimeQuest TimeQuest FPGA ASIC FPGA ASIC Quartus II

More information

LR DEVICE Version 1.1 706434 / 01 04 / 2017 1................................................ 3 1.1............................................... 3 2................................................ 3

More information

Stratix IIIデバイスの外部メモリ・インタフェース

Stratix IIIデバイスの外部メモリ・インタフェース 8. Stratix III SIII51008-1.1 Stratix III I/O R3 SRAM R2 SRAM R SRAM RII+ SRAM RII SRAM RLRAM II 400 MHz R Stratix III I/O On-Chip Termination OCT / HR 4 36 R ouble ata RateStratix III FPGA Stratix III

More information

.,. 0. (MSB). =2, =1/2.,. MSB LSB, LSB MSB. MSB 0 LSB 0 0 P

.,. 0. (MSB). =2, =1/2.,. MSB LSB, LSB MSB. MSB 0 LSB 0 0 P , 0 (MSB) =2, =1/2, MSB LSB, LSB MSB MSB 0 LSB 0 0 P61 231 1 (100, 100 3 ) 2 10 0 1 1 0 0 1 0 0 100 (64+32+4) 2 10 100 2 5, ( ), & 3 (hardware), (software) (firmware), hardware, software 4 wired logic

More information

MAX191 EV J

MAX191 EV J -0; Rev ; / µ µ PART TEMP. RANGE BOARD TYPE MAXEVSYS-DIP 0 C to +0 C Through-Hole MAXEVKIT-DIP 0 C to +0 C Through-Hole 0CMODULE-DIP 0 C to +0 C Through-Hole Evaluates: MAX Maxim Integrated Products Evaluates:

More information

HardCopy IIIデバイスの外部メモリ・インタフェース

HardCopy IIIデバイスの外部メモリ・インタフェース 7. HardCopy III HIII51007-1.0 Stratix III I/O HardCopy III I/O R3 R2 R SRAM RII+ RII SRAM RLRAM II R HardCopy III Stratix III LL elay- Locked Loop PLL Phase-Locked Loop On-Chip Termination HR 4 36 HardCopy

More information

1 2

1 2 1 1 2 1 2 3 4 5 3 2 3 4 4 1 2 3 4 5 5 5 6 7 8 1 1 2 1 10 1 3 1 11 2 12 2 3 1 13 2 14 2 3 1 15 2 16 2 3 1 17 2 1 2 3 4 5 18 2 6 7 8 3 1 1 2 19 2 20 2 3 1 21 2 22 2 3 1 23 2 24 2 3 1 25 2 26 2 3 1 27 2 28

More information

main.dvi

main.dvi CAD 2001 12 1 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape ref0177/html/index.html.,, View Encoding Japanese

More information

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 1030195 15 2 10 1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 4-3-3 47 5 52 53 54 55 ii 1 VHDL IC VHDL 5 2 3 IC 4 5 1 2

More information

入院操作マニュアル(第10版3部).PDF

入院操作マニュアル(第10版3部).PDF 101 5010 Project code name ORCA - 97 - Copyright(C) 2006 JMARI 1 1 3 [ 1 ] [ 2 ] 1 2 [ 3 ] 2 3 1 1 [ ] 2 2 [ ] F12 Project code name ORCA - 98 - Copyright(C) 2006 JMARI 33 [ ] [ ] [ ] [1 2 3 ] [ ] [ ]F1

More information

Report Template

Report Template 1 ( ) 4... 4... 4 ispvm system... 5... 6... 6... 7 I/O... 7 USB... 9... 12 ( )... 14... 15 ( ) 16... 16 Dual Boot... 16 Primary Image file... 19 USERCODE/UES... 21 I/O... 22... 24 ATE... 26 SVF... 29 SVF...

More information

WinDriver PCI Quick Start Guide

WinDriver PCI Quick Start Guide WinDriver PCI/PCI Express/PCMCIA 5! WinDriver (1) DriverWizard (2) DriverWizard WinDriver (1) Windows 98/Me/2000/XP/Server 2003/Vista Windows CE.NET Windows Embedded CE v6.00 Windows Mobile 5.0/6.0 Linux

More information

PLDとFPGA

PLDとFPGA PLDFPGA 2002/12 PLDFPGA PLD:Programmable Logic Device FPGA:Field Programmable Gate Array Field: Gate Array: LSI MPGA:Mask Programmable Gate Array» FPGA:»» 2 FPGA FPGALSI FPGA FPGA Altera, Xilinx FPGA DVD

More information

untitled

untitled ... 2 FDB... 2... 3... 4... 7... 7 ADD SWITCH FILTER... 8 ADD SWITCH MACFILTER...... 10 DELETE SWITCH FILTER... 12 DELETE SWITCH MACFILTER.... 13 DISABLE SWITCH AGEINGTIMER... 14 DISABLE SWITCH FILTER

More information

GA-1200J

GA-1200J GA-1200J 1 1. 2. 3. 4. 2 5. 3 PDF 4 PDF PDF PDF PDF PDF PDF PDF PDF 5 6 ...1...2...4 1...12 2...16...18 3...22 4...24 5 TopAccess TopAccess...28 6 TopAccess...32...34 7 ...43...55 7 TopAccess...68 8 TopAccess

More information

...5...6...7...8...9...10...12...12...12...18...21...23...23...23...24...24...24...24...25...26...26...26...27...33...33...33...33...33...34...35...36

...5...6...7...8...9...10...12...12...12...18...21...23...23...23...24...24...24...24...25...26...26...26...27...33...33...33...33...33...34...35...36 REVISION 2.85(6).H ...5...6...7...8...9...10...12...12...12...18...21...23...23...23...24...24...24...24...25...26...26...26...27...33...33...33...33...33...34...35...36...36...36...37...38...39 2 ...39...42...42...42...43...43...44...45...46...46...47...48...48...49...50...51...52...53...55...56...56...58...60...62...64...66...68...68...69...71...71...71...71...72...72...73...74...74...74...74

More information

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

if clear = 1 then Q <=  ; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst = VHDL 2 1 VHDL 1 VHDL FPGA VHDL 2 HDL VHDL 2.1 D 1 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; regs.vhdl entity regs is clk, rst : in std_logic; clear : in std_logic; we

More information

オンチップ・メモリ クイック・ガイド for Cyclone III

オンチップ・メモリ クイック・ガイド for Cyclone III ver.9.1 2010 年 1 月 1. はじめに アルテラ社製 FPGA デバイスにおいてオンチップ メモリ (FPGA 内部で RAM や ROM などを構成 ) を実現するには Memory Compiler メガファンクションを使用します Memory Compiler メガファンクションは Cyclone シリーズ, Arria シリーズ, Stratix シリーズ, HardCopy

More information

microSTATION Operation guide

microSTATION Operation guide J 2 - ii iii iv 1 1 2 4 7 8 9 10 11 1 5 6 3 2 10 15 9 11 12 13 14 3 7 6 5 4 3 2 1 4 5 PROGRAM OSC 1 MS1 (Multisample) Drum Kit MS2 (Multisample) MS3 (Multisample) Insert / Master / Total Effect IFX 1 MFX

More information

Design at a higher level

Design at a higher level Meropa FAST 97 98 10 HLS, Mapping, Timing, HDL, GUI, Chip design Cadence, Synopsys, Sente, Triquest Ericsson, LSI Logic 1980 RTL RTL gates Applicability of design methodologies given constant size of

More information

Express5800/R110a-1Hユーザーズガイド

Express5800/R110a-1Hユーザーズガイド 4 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Xeon Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0B60: DIMM group #1 has been disabled. : Press to resume, to

More information

POE.dvi

POE.dvi PoE... 2 PoE... 2... 2... 3... 3... 5... 5 DISABLE POE PORT... 6 ENABLE POE PORT... 7 SET POE DETECT.... 8 SETPOEPORT... 9 SET POE THRESHOLD... 10 SHOW POE... 11 PoE CentreCOM 8624PS 2.8 1 PoE Power over

More information

7 シリーズ FPGA ライブラリ ガイド (HDL 用)

7 シリーズ FPGA ライブラリ ガイド (HDL 用) UG768 (V 13.3) 2011 年 10 月 26 日 Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely for use in the development of designs to operate with

More information

DocuWide 2051/2051MF 補足説明書

DocuWide 2051/2051MF 補足説明書 ëêèõ . 2 3 4 5 6 7 8 9 0 2 3 4 [PLOTTER CONFIGURATION] [DocuWide 2050/205 Version 2.2.0] [SERIAL] BAUD_RATE =9600 DATA_BIT =7 STOP_BIT = PARITY =EVEN HANDSHAKE =XON/XOFF EOP_TIMEOUT_VALUE =0 OUTPUT RESPONSE

More information

PowerPoint Presentation

PowerPoint Presentation SSPI Embedded System ユーザーズマニュアル Tecstar Page: 1 Agenda 1. SSPI Embedded System 概要 2. SSPI Embedded の特徴 3. Deployment Toolの起動方法について 4. SSPI Embedded 用意されているファイル 5. ソースファイルについて 6. SSPI Embedded ユーザーフロー 7.

More information

Report Template

Report Template 日本語マニュアル 第 16 章 ( 本 日本語マニュアルは 日本語による理解のため一助として提供しています その作成にあたっては各トピックについて それぞれ可能な限り正確を期しておりますが 必ずしも網羅的ではなく 或いは最新でない可能性があります また 意図せずオリジナル英語版オンラインヘルプやリリースノートなどと不一致がある場合もあり得ます 不明箇所について又は疑義が生じた場合は ラティスセミコンダクター正規代理店の技術サポート担当にお問い合わせ頂くか

More information

FPGA TU0135 (v1.0) FPGA Desktop NanoBoard FPGA CUSTOM_INSTRUMENT FPGA GUI ( ) IO GUI IO DelphiScript Desktop NanoBoard NB2DSK01 FPGA Desktop

FPGA TU0135 (v1.0) FPGA Desktop NanoBoard FPGA CUSTOM_INSTRUMENT FPGA GUI ( ) IO GUI IO DelphiScript Desktop NanoBoard NB2DSK01 FPGA Desktop TU0135 (v1.0) 2008 5 17 Desktop NanoBoard FPGA CUSTOM_INSTRUMENT GUI ( ) IO GUI IO DelphiScript Desktop NanoBoard NB2DSK01 Desktop NanoBoard 8 DIP LED DAUGHTER BD TEST/RESET DIP ( ) DAUGHTER BD TEST/RESET

More information

POE.dvi

POE.dvi PoE... 2 PoE... 2... 2... 3... 3... 5... 5 DISABLE POE PORT... 6 ENABLE POE PORT... 8 SET POE DETECT.... 10 SETPOEPORT... 11 SET POE THRESHOLD... 13 SHOW POE... 14 SHOW POE PORT... 17 PoE CentreCOM FS900M

More information

N Express5800/R320a-E4 N Express5800/R320a-M4 ユーザーズガイド

N Express5800/R320a-E4  N Express5800/R320a-M4  ユーザーズガイド 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

Express5800/R320a-E4, Express5800/R320b-M4ユーザーズガイド

Express5800/R320a-E4, Express5800/R320b-M4ユーザーズガイド 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

PowerPoint Presentation

PowerPoint Presentation VME Embedded System ユーザーズマニュアル ~ Slim VME Embedded ~ Tecstar Page: 1 Agenda 1. VME Embedded System 概要 2. VME Embedded の特徴 3. Embedded Overview 4. VMEファイルとHEXファイルについて 5. Slim VME について 6. Deployment Toolの起動方法について

More information

ANDIAMO Manual

ANDIAMO Manual DirectOut Technologies D.O.TEC ANDIAMO Version 1.0 Copyright Note page 2 / 28 Table of contents Table of contents About This Manual 4 How to Use This Manual 4 Conventions 4 CHAPTER 1: Overview 5 Introduction

More information

Nios II ハードウェア・チュートリアル

Nios II ハードウェア・チュートリアル Nios II ver. 7.1 2007 8 1. Nios II FPGA Nios II Quaruts II 7.1 Nios II 7.1 Nios II Cyclone II count_binary 2. 2-1. http://www.altera.com/literature/lit-nio2.jsp 2-2. Nios II Quartus II FEATURE Nios II

More information

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration 5. Stratix IV SIV52005-2.0 Stratix IV GX PMA BER FPGA PMA CMU PLL Pphased-Locked Loop CDR 5 1 5 3 5 5 Quartus II MegaWizard Plug-In Manager 5 42 5 47 rx_tx_duplex_sel[1:0] 5 49 logical_channel_address

More information

Express5800/320Fa-L/320Fa-LR

Express5800/320Fa-L/320Fa-LR 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

2 (4)-7

2 (4)-7 2 (4)-7 (4)-7 3 4 p r f > 5 6 7 8 9 10 11 r q!1 o!0!2!3!4!5 w e t y u i!6!7 q w e r t y 12 u i o!0!1!7!2!3!4!5 p r f >!6!7 13 !8!8!9!9 @0 @0 14 @1 @2 @3 @4 @5 @6 @7 @8 @9 @1 @2 @3 @5 @6 @7 @8 @9 @4 15

More information

DB0

DB0 IRQ CS# A0 RD# WR# DB0- CPU I/F FIFO/RAM 88 Timing Control Key In Control Scan Counter SHIFT CNTl/STB RL0-# SL0- BD# RESET CLK Display RAM 8 Display Drive OUTB0- OUTA0- RL# RL# RL# RL# RESET RD# WR# GND

More information

4

4 I/O 2AO 0/4-20mA / DC6-18V 16Bit Ver. 1.0.0 2 750-563 Copyright 2006 by WAGO Kontakttechnik GmbH All rights reserved. 136-0071 1-5-7 ND TEL 03-5627-2059 FAX 03-5627-2055 http://www.wago.co.jp/io/ WAGO

More information

N12866N2P-H.PDF

N12866N2P-H.PDF 16Mx64bits PC133 SDRAM SO DIMM Based on 16Mx16 SDRAM with LVTTL, 4 banks & 8K Refresh (16M x 16bit) /. / 1 A0 ~ A12 BA0, BA1 CK0, CK1 CKE0 /S0 /RAS /CAS /WE DQM0 ~ DQM7 DQ0 ~ DQ63 SA0~2 SDA SCL VCC 3.3

More information

cpu2007lectureno2.ppt

cpu2007lectureno2.ppt Cache Cache Cache cache cache 17.10.2007 1 17.10.2007 2 Cache Register:FF circuits Cache:Bipolar,CMOS SRAM Main Storage:SRAM,DRAM Disk Cache:DRAM 17.10.2007 3 SRAM Cell Structure (1 bit) 17.10.2007 4 temporal

More information

mbed祭りMar2016_プルアップ.key

mbed祭りMar2016_プルアップ.key 1 2 4 5 Table 16. Static characteristics (LPC1100, LPC1100L series) continued T amb = 40 C to +85 C, unless otherwise specified. Symbol Parameter Conditions Min Typ [1] Max Unit Standard port pins, RESET

More information

4

4 I/O 2AO DC0-10V/ 10V 16Bit Ver. 1.0.0 2 750-562 Copyright 2006 by WAGO Kontakttechnik GmbH All rights reserved. 136-0071 1-5-7 ND TEL 03-5627-2059 FAX 03-5627-2055 http://www.wago.co.jp/io/ WAGO Kontakttechnik

More information

Jlspec

Jlspec 1OFF 通常 OFF 通常 2 ON 設定内容の初期化を行う ( 工場出荷状態 ) OFF 通常 3 ON 自己診断 / 設定内容の印字を行う 4OFF 通常 %!PS-Adobe-2.0 /Courier findfont 10 scalefont setfont /LEFT 36 def /TOP 792 def /PITCH 12 def /LF {show /x LEFT def /y

More information

2

2 REVISION 2.85(6).I 2 3 4 5 8 24 32 37 83 87 88 88 89 90 1 91 1 6 7 8 KDC200 ユーザーマニュアル 1.1 同梱物 本機のパッケージには 以下の物が同梱されています 1 2 3 4 本体 バーコード Data Collector 1 台 USB ケーブル 1本 ネックストラップ 1 本 ソフトウェアとユーザーマニュアルを含む CD-ROM

More information

User Manual, Anybus Communicator for DeviceNet

User Manual, Anybus Communicator for DeviceNet User Manual Anybus Communicator for DeviceNet Rev. 2.52 HMS Industrial Networks AB Germany Japan Sweden U.S.A. France Italy China + 49-721 - 96472-0 + 81-45 - 478-5340 + 46-35 - 17 29 20 + 1-312 - 829-0601

More information

untitled

untitled PoE... 2 PoE... 2... 2... 3... 3... 4... 4... 6... 6 DISABLE POE PORT... 7 ENABLE POE PORT... 9 SET POE DETECT.... 11 SET POE GUARDBAND... 12 SET POE MANAGEMENT...... 13 SETPOEPORT... 14 SET POE THRESHOLD...

More information

ザイリンクス 7 シリーズ FPGA ライブラリ ガイド (HDL 用)

ザイリンクス 7 シリーズ FPGA ライブラリ ガイド (HDL 用) ザイリンクス 7 シリーズ FPGA ライブラリガイド (HDL 用 ) UG768 (v13.1) 2011 年 3 月 1 日 Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely for use in the development

More information

2.5. Verilog 19 Z= X + Y - Z A+B LD ADD SUB ST (X<<1)+(Y<<1) X 1 2 LD SL ST 2 10

2.5. Verilog 19 Z= X + Y - Z A+B LD ADD SUB ST (X<<1)+(Y<<1) X 1 2 LD SL ST 2 10 2.5. Verilog 19 Z= X + Y - Z A+B LD 0 0001 0000 ADD 1 0110 0001 SUB 2 0111 0010 ST 2 1000 0010 (X

More information

MS-1J/MS-1WJ(形名:MS-1/MS-1W)取扱説明書 - 詳細- 技術情報編

MS-1J/MS-1WJ(形名:MS-1/MS-1W)取扱説明書 - 詳細- 技術情報編 720 x 400 37.9 85.0 VESA TEXT 640 x 480 31.5 59.9 VESA VGA (60Hz) 640 x 480 37.9 72.8 VESA VGA (72Hz) 640 x 480 37.5 75.0 VESA VGA (75Hz) 640 x 480 43.3 85.0 VESA VGA (85Hz) 800 x 600 35.2 56.3 VESA SVGA

More information

本機をお使いの方へ

本機をお使いの方へ MA46H/S Windows 98 / NT 4.0 Windows 98 / NT 4.0 MA46H/S BIOS 1999 10 808-877675-132-A 3 AT 6. 1. BIOS P.7 1. Windows 98 Windows Windows 98 Microsoft Windows 98 Windows NT 4.0 Microsoft Windows NT Workstation

More information

SNMPSimple Network Management Protocol 1SNMPv1 2cSNMPv2c SNMP IP SNMP viewers SNMP IP SNMP IP viewers 1: 1. SNMP ENABLE SNMP

SNMPSimple Network Management Protocol 1SNMPv1 2cSNMPv2c SNMP IP SNMP viewers SNMP IP SNMP IP viewers 1: 1. SNMP ENABLE SNMP SNMP... 2... 2... 3... 5... 5 ADD SNMP COMMUNITY...... 6 CREATE SNMP COMMUNITY.... 8 DELETE SNMP COMMUNITY.... 10 DESTROY SNMP COMMUNITY... 12 DISABLE SNMP..... 14 DISABLE SNMP COMMUNITY... 15 DISABLE

More information

GA-1190J

GA-1190J GA-1190J 1 1. 2. 3. 4. 2 5. 3 PDF 4 PDF PDF PDF PDF PDF PDF PDF PDF 5 6 ...1...2...4 1...12 2...16...18 3...22 PCL/PS...23 4...26 5...30 ETHERNET...31 TCP/IP...33 IPX/SPX...38 AppleTalk...40 HTTP...42

More information

CP-X608J_表紙_裏表紙.indd

CP-X608J_表紙_裏表紙.indd AUDIO IN 1 AUDIO IN 2 SD CARD RGB OUT Y VIDEO S-VIDEO AUX I/O DC 5V 0.5A R L R L AUDIO IN 3 AUDIO IN 4 AUDIO OUT LAN RGB1 RGB2 M1-D CB/PB CR/PR I REMOTE CONTROL (3.5Φ) CONTROL O AC IN K STANDBY/ON VIDEO

More information

Chip PlannerによるECO

Chip PlannerによるECO 13. Chip Planner ECO QII52017-8.0.0 ECO Engineering Change Orders Chip Planner ECO Chip Planner FPGA LAB LE ALM ECO ECO ECO ECO Chip Planner Chip Planner ECO LogicLock Chip Planner Quartus II Volume 2

More information

5V 2.4 DSOF 4 1 1-1 1-2 5V 1-3 SET RESET 5V 5V 1-4 1 2 3 4 5 6 7 8 1 2 3 4 5 6 7 1 2 3 4 5 6 7 8 9 10 1 2 3 4 5 1 2 3 4 5 2 2-1 SET RESET 5V 5V 2-2 1 2 3 5V 5V 1 2 3 4 2-3 2-4

More information

16soukatsu_p1_40.ai

16soukatsu_p1_40.ai 2 2016 DATA. 01 3 DATA. 02 4 DATA. 03 5 DATA. 04 6 DATA. 05 7 DATA. 06 8 DATA. 07 9 DATA. 08 DATA. 09 DATA. 10 DATA. 11 DATA. 12 DATA. 13 DATA. 14 10 11 12 13 COLUMN 1416 17 18 19 DATA. 15 20 DATA. 16

More information

1 2

1 2 1 1 2 1 2 3 4 5 3 2 3 4 4 1 2 3 4 5 5 5 6 1 1 2 1 8 1 3 1 9 2 10 2 3 1 11 2 12 2 3 1 13 14 2 2 3 1 15 2 1 2 3 4 5 16 2 6 7 8 3 1 1 2 17 2 18 2 3 1 19 2 20 2 3 1 21 2 22 2 3 1 23 2 24 2 3 1 25 2 26 2 3

More information

2-2 デザイン ガイドライン 表 2-1: 容量と分配 A10-MEMORY タイプ Arria 10 GX Arria 10 GT Arria 10 SX 製品ライン ブロック数 M20K RAM ビット数 (Kb) ブロック数 MLAB RAM ビット数 (Kb) トータル RAM ビット数

2-2 デザイン ガイドライン 表 2-1: 容量と分配 A10-MEMORY タイプ Arria 10 GX Arria 10 GT Arria 10 SX 製品ライン ブロック数 M20K RAM ビット数 (Kb) ブロック数 MLAB RAM ビット数 (Kb) トータル RAM ビット数 2 A10-MEMORY 署名 デバイス内のエンベデッド メモリ ブロックには柔軟性があり デザイン要件に合った最適な小規模メモリ アレイおよび大規模メモリ アレイを提供できるようデザインされています 関連情報 Arria 10 Device Handbook: Known Issues >Arria 10 Device Handbook の章にて予定される更新をリストします エンベデッド メモリのタイプ

More information

RAID RAID 0 RAID 1 RAID 5 RAID * ( -1) * ( /2) * RAID A. SATA SSD B. BIOS SATA ( 1) C. RAID BIOS RAID D. RAID/AHCI 2 SATA SSD ( 1) ( ( 3) 2

RAID RAID 0 RAID 1 RAID 5 RAID * ( -1) * ( /2) * RAID A. SATA SSD B. BIOS SATA ( 1) C. RAID BIOS RAID D. RAID/AHCI 2 SATA SSD ( 1) ( ( 3) 2 RAID SATA... 2 RAID/AHCI... 16 Intel Optane... 19 Intel Virtual RAID on CPU Intel VROC... 21 RAID RAID 0 RAID 1 RAID 5 RAID 10 2 2 3 4 * ( -1) * ( /2) * RAID A. SATA SSD B. BIOS SATA ( 1) C. RAID BIOS

More information

SET 5V RESET 1 1-1 SET SET SET SET SET SET 1-2 SET 1-3 SET SET 5V RESE SET AP MODE RT 5V 1-4 1 2 3 4 5 6 7 8 1 2 3 4 5 6 7 1 2 3 4 5 6 7 8 9 10 11 1 2 3 4 5 1 2 3 4 5 6 1 2 3 4 5

More information

? FPGA FPGA FPGA : : : ? ( ) (FFT) ( ) (Localization) ? : 0. 1 2 3 0. 4 5 6 7 3 8 6 1 5 4 9 2 0. 0 5 6 0 8 8 ( ) ? : LU Ax = b LU : Ax = 211 410 221 x 1 x 2 x 3 = 1 0 0 21 1 2 1 0 0 1 2 x = LUx = b 1 31

More information

プロセッサ・アーキテクチャ

プロセッサ・アーキテクチャ 2. NII51002-8.0.0 Nios II Nios II Nios II 2-3 2-4 2-4 2-6 2-7 2-9 I/O 2-18 JTAG Nios II ISA ISA Nios II Nios II Nios II 2 1 Nios II Altera Corporation 2 1 2 1. Nios II Nios II Processor Core JTAG interface

More information

1 2

1 2 1 1 2 3 1 2 3 4 5 2 3 4 4 1 2 3 4 5 5 5 6 1 1 2 3 1 8 1 3 1 9 2 10 2 3 1 11 2 12 13 3 1 2 2 14 2 3 1 15 2 16 2 3 1 17 2 18 2 3 1 19 3 20 3 3 1 21 3 22 3 3 1 23 3 24 3 3 1 25 3 26 3 3 1 27 3 28 3 3 1 29

More information

-----------------------------------------------------------------------------------------1 --------------------------------------------------------------------------------------1 -------------------------------------------------------------------------------------1

More information

›¼’à”v“lŠÍ1−ª

›¼’à”v“lŠÍ1−ª 3 1 1 2 3 4 5 6 7 8 8 10 12 14 16 18 20 22 24 2 1 2 3 4 5 6 7 8 9 10 11 12 26 28 30 32 34 36 38 40 42 44 46 48 50 4 3 1 2 3 4 5 52 54 56 58 60 6 62 7 8 9 10 64 66 68 70 72 5 1 1 4 2 5 6 6 7 1 8 1 9 2 10

More information