Microsoft PowerPoint - ch1.ppt

Size: px
Start display at page:

Download "Microsoft PowerPoint - ch1.ppt"

Transcription

1 論理回路 ( 基礎 ) 法政大学 情報科学部 大森健児

2 参考書

3 論理演算 () AND,OR,NOT,XOR AND OR NOT XOR

4 論理演算 (2) NAND,NOR NAND NOR

5 前提 結論 If A then B は A が真のとき B が真であるならば この文は真であり A が偽のときは B が真であろうとなかろうとこの文は真である A が真のとき B が偽であればこの文は偽である すなわち A +B である

6 論理ゲート

7 半加算器 A B 和 桁上り

8 全加算器 A B 繰上り 和 桁上り

9 半加算器より全加算器を A B 半加算器 繰上り 半加算器 OR 桁上り C

10 論理式の変形 () Constant X+=X, X+=, X*=X, X*= Idempotent X+X=X, X*X=X Involution (X ) =X Complementary X+X =, X*X = Commutative X+Y=Y+X, X*Y=Y*X

11 論理式の変形 (2) Associative (X+Y)+Z=X+(Y+Z), (X*Y)*Z=X*(Y*Z) Distributive X*(Y+Z)=X*Y+X*Z, X+(Y*Z)=(X+Y)*(X+Z) Simplification X*Y+X*Y =X, (X+Y)*(X+Y )=X X+X*Y=X, X*(X+Y)=X (X+Y )*Y=X*Y, (X*Y )+Y=X+Y

12 論理式の変形 (3) DeMorgan s (X+Y+Z+ ) =X *Y *Z * (X*Y*Z* ) =X +Y +Z + Multiplying & Factoring (X+Y)*(X +Z)=X*Z+X *Y X*Y+X *Z=(X+Z)*(X +Y)

13 例 Theorem (Idempotent): (a) a + a = a (b) aa = a Theorem 2 (Constant): (a) a + = (b) a = Theorem 3 (Involution) a = a Properties of and elements: OR AND Complement a + = a = ' = a + = a = a ' =

14 例 2 Theorem 4 (Absorption) (a) a + ab = a (b) a(a + b) = a Examples: (X + Y) + (X + Y)Z = X + Y [T4(a)] AB'(AB' + B'C) = AB' [T4(b)] Theorem 5 (a) a + a'b = a + b (b) a(a' + b) = ab Examples: B + AB'C'D = B + AC'D [T5(a)] (X + Y)((X + Y)' + Z) = (X + Y)Z [T5(b)]

15 例 3 Theorem 6 (a) ab + ab' = a Examples: ABC + AB'C = AC [T6(a)] (b) (a + b)(a + b') = a (W' + X' + Y' + Z')(W' + X' + Y' + Z)(W' + X' + Y + Z')(W' + X' + Y + Z) = (W' + X' + Y')(W' + X' + Y + Z')(W' + X' + Y + Z) [T6(b)] = (W' + X' + Y')(W' + X' + Y) [T6(b)] = (W' + X') [T6(b)]

16 例 4 Theorem 7 (a) ab + ab'c = ab + ac (b) (a + b)(a + b' + c) = (a + b)(a + c) Examples: wy' + wx'y + wxyz + wxz' = wy' + wx'y + wxy + wxz' [T7(a)] = wy' + wy + wxz' [T7(a)] = w + wxz' [T7(a)] = w [T7(a)] (x'y' + z)(w + x'y' + z') = (x'y' + z)(w + x'y') [T7(b)]

17 例 5 Theorem 8 (DeMorgan's Theorem) (a) (a + b)' = a'b' (b) (ab)' = a' + b' Generalized DeMorgan's Theorem (a) (a + b + z)' = a'b' z' (b) (ab z)' = a' + b' + z' Examples: (a + bc)' = (a + (bc))' = a'(bc)' [T8(a)] = a'(b' + c') [T8(b)] = a'b' + a'c' Note: (a + bc)' a'b' + c'

18 例 6 More Examples for DeMorgan's Theorem (a(b + z(x + a')))' = a' + (b + z(x + a'))' [T8(b)] = a' + b' (z(x + a'))' [T8(a)] = a' + b' (z' + (x + a')') [T8(b)] = a' + b' (z' + x'(a')') [T8(a)] = a' + b' (z' + x'a) [T3] = a' + b' (z' + x') [T5(a)]

19 例 7 More Examples for DeMorgan's Theorem (a(b + c) + a'b)' = (ab + ac + a'b)' = (b + ac)' [T6(a)] = b'(ac)' [T8(a)] = b'(a' + c') [T8(b)]

20 例 8 Theorem 9 (Consensus) (a) ab + a'c + bc = ab + a'c (b) (a + b)(a' + c)(b + c) = (a + b)(a' + c) Examples: AB + A'CD + BCD = AB + A'CD [T9(a)] (a + b')(a' + c)(b' + c) = (a + b')(a' + c) [T9(b)] ABC + A'D + B'D + CD = ABC + (A' + B')D + CD [P5(b)] = ABC + (AB)'D + CD [T8(b)] = ABC + (AB)'D [T9(a)] = ABC + (A' + B')D [T8(b)] = ABC + A'D + B'D

21 標準形 積和標準形 (Sum of Products) 例 ABC+BC D+A CD 和積標準形 (Product of Sums) 例 (A+B+C)(B+C +D)(A +C+D) 項という 項という

22 スイッチング関数 スイッチング代数 : 要素 K = {, } の集合を持つブール代数 2 n 変数では 2n の関数が存在する AB f f f 2 f 3 f 4 f 5 f 6 f 7 f 8 f 9 f f f 2 f 3 f 4 f 5 スイッチング関数は上の表で または下の論理式で表わす ( インデックスの 2 進数が関数の値に対応 ) f (A,B)=, f 6 (A,B) = AB' + A'B, f (A,B) = AB + A'B + A'B' = A' + B,...

23 真理表 () ab f(a,b)=a+b ab f(a,b)=ab a f(a)=a'

24 真理表 (2) f(a,b,c) = AB + A'C + AC' ABC f(a,b,c) ABC f(a,b,c) FFF F FFT T FTF F FTT T TFF T TFT F TTF T TTT T

25 最小項 最大項 積の項が全ての変数をそれぞれひとつだけ含んでいるとき その項を最小項という 和の項が全ての変数をそれぞれひとつだけ含んでいるとき その項を最大項という 最小項だけからなる積和標準形を主加法標準形という 最大項だけからなる和積標準形を主乗法標準形という

26 最小項と最小項のコード 主加法標準形 : 最小項の和として表す. 例 : f (A,B,C) = A'BC' + ABC' + A'BC + ABC 3 変数での最小項 Minterm Minterm Code Minterm Number A'B'C' m A'B'C m A'BC' m 2 A'BC m 3 AB'C' m 4 AB'C m 5 ABC' m 6 ABC m 7

27 主加法標準形 Shannon's expansion theorem (a). f(x, x 2,, x n ) = x f(, x 2,, x n ) + (x )' f(, x 2,, x n ) (b). f(x, x 2,, x n ) = [x + f(, x 2,, x n )] [(x )' + f(, x 2,, x n )] 例 f(a,b,c) = AB + AC' + A'C = A f(,b,c) + A' f(,b,c) = A( B + C' + ' C) + A'( B + C' + ' C) = A(B + C') + A'C = B[A(+C') + A'C] + B'[A( + C') + A'C] = B[A + A'C] + B'[AC' + A'C] = AB + A'BC + AB'C' + A'B'C = C[AB + A'B + AB' ' + A'B' ] + C'[AB + A'B + AB' ' + A'B' ] = ABC + A'BC + A'B'C + ABC' + AB'C インデックスは最小項の値を2 進数 = Σm(, 3, 4, 6, 7) = f + f 3 + f 4 + f 6 + f 7 で表わしたもの

28 最大項と最大項のコード 主乗法標準形 : 最大項の積として表す 例 : f 2 (A,B,C) = (A+B+C)(A+B+C')(A'+B+C)(A'+B+C') 3 変数での最大項 最小項と逆であることに注意 Maxterm Maxterm Code Maxterm Number A+B+C M A+B+C' M A+B'+C M 2 A+B'+C' M 3 A'+B+C M 4 A'+B+C' M 5 A'+B'+C M 6 A'+B'+C' M 7

29 ゲート回路 (TTL) NAND 74(2 入力 ),74(3 入力 ),742(4 入力 ),743(8 入力 ) NOT 744 AND 748(2 入力 ) OR 7432(2 入力 ) XOR 7486(2 入力 )

30 電気信号と論理値 正論理 負論理 論理値 に設定されている信号はアクティブ 真などといわれる 正論理では信号がハイのとき真である 負論理では信号がローのとき真である

31 ゲート回路の表記 a AND f(a, b) = ab b a OR f(a, b) = a + b b NOT a f(a) = a a NAND f(a, b) = ab b a NOR f(a, b) = a + b b EXCLUSIVE a f(a, b) = a b OR b Symbol set AND OR NOT a b a b a b a NAND b NOR a b EXCLUSIVE a OR b Symbol set 2 (ANSI/IEEE Standard 9-984) & ³ & ³ = f(a, b) = ab f(a, b) = a + b f(a) = a f(a, b) = ab f(a, b) = a + b f(a, b) = a b

32 ゲート回路 (IC) Vcc 4B 4A 4Y 3B 3A 3Y Vcc 4Y 4B 4A 3Y 3B 3A A B Y 2A 2B 6 2Y 7 GND 74: Y = AB Quadruple two-input NAND gates Y A B 2Y 2A 6 2B 742: Y = A + B Quadruple two-input NOR gates 7 GND Vcc 6A 6Y 5A 5Y 4A 4Y Vcc 4B 4A 4Y 3B 3A 3Y A Y 2A 2Y 3A 744: Y = A Hex inverters 6 3Y 7 GND A B Y 2A 2B 6 2Y 748: Y = AB Quadruple two-input AND gates 7 GND

33 ゲート回路 (IC) Vcc C Y 3C 3B 3A 3Y Vcc 2D 2C NC 2B 2A 2Y A B 2A 2B 2C Y 74: Y = ABC Triple three-input NAND gates 7 GND 2 3 A B NC C D Y 742: Y = ABCD Dual four-input NAND gates 7 GND

34 ゲート回路 (IC) Vcc NC H G NC NC Y Vcc 4B 4A 4Y 3B 3A 3Y A B C D E : Y = ABCDEFGH 8-input NAND gate 6 F 7 GND 2 3 A B Y 2A 2B Y 7432: Y = A + B Quadruple two-input OR gates 7 GND Vcc 4B 4A 4Y 3B 3A 3Y A B Y 2A 2B Y 7 GND 7486: Y = A Å B Quadruple two-input exclusive-or gates

35 AND AND a b f AND (a, b) =ab A B Y L L H H L H L H L L L H A B A B (c) & Y Y (a) (b) (d) (a) AND logic function. (b) Electronic AND gate. (c) Standard symbol. (d) IEEE block symbol.

36 OR OR a b f OR (a, b) =a + b A B Y L L H H L H L H L H H H A B A B (c) Y Y (a) (b) (a) OR logic function. (b) Electronic OR gate. (c) Standard symbol. (d) IEEE block symbol. (d)

37 NOT NOT A Y a fnot(a) =a A Y (c) L H H L A Y (a) (b) (d) (a) NOT logic function. (b) Electronic NOT gate. (c) Standard symbol. (d) IEEE block symbol.

38 負論理 () 負論理での ANDゲートの利用 A B Y (a) A B (b) Y a b a b (c) (d) y = a + b y = ab a b= a+ b = f OR ( a, b ) y= ( a) + ( b ) = a+ b= for( a, b)

39 負論理 (2) 負論理での ORゲートの利用 A B Y (a) A B (b) Y a b a b (c) (d) y = ab y = a + b y= a+ b= a+ b= a b = f AND y= ( a) ( b ) = a b= fand( a, b) ( a, b )

40 煙検知器 例 : 煙検知器 部品 : 2 個の煙検知器 スプリンクラー 警報機 動作 : いずれかの検知器が煙を検知したときはスプリンクラーが作動 両方のときは警報機がなる Signals: : 検知器の出力はローのときアクティブ : スプリンクラーの入力はローのときアクティブ : 警報機の入力はローのときアクティブ SPK = D+ D2 DIAL= D D2 D, D2 SPK DIAL

41 煙検知器 Logic diagram of the smoke alarm system Smoke detectors D D2 G D + D2 Sprinkler SPK G2 D D2 Telephone dialer DIAL

42 NAND NAND a b fnand(a, b) =ab (a) A B Y L L L H H L H H (b) H H H L A B Y A B Y A B & Y (c) (d) (e) (a) NAND logic function (b) Electronic NAND gate (c) Standard symbol (d) IEEE block symbol

43 NAND AND, OR, NOT は NAND から合成可 a b ab f(a, b) = ab = ab a f(a, a) = a a = a AND gate NOT gate a b a b OR gate f(a, b) =a + b = a + b

44 NOR NOR a b f NOR (a, b) =a + b (a) A B Y L L L H H L H H (b) H L L L A B Y A B Y A B ³ Y (c) (d) (e) (a) NAND logic function (b) Electronic NAND gate (c) Standard symbol (d) IEEE block symbol

45 NOR AND, OR, NOT は NOR から合成可 a b a + b f(a, b) =a + b a f(a, a) =a + a = a OR gate NOT gate a b a b AND gate f(a, b) =ab = ab

46 XOR Exclusive-OR (XOR) a b a b+ ab f XOR (a, b) = a b A B Y L L L L H H H L H H H L A B Y (a) XOR logic function A B = Y (b) Electronic XOR gate (c) Standard symbol (d) IEEE block symbol

47 パリティー回路 または を取る信号を並列に 4 つ入力し の数が奇数であるとき を そうでないとき を出力する回路の論理式を積和標準形で示しなさい また NAND だけを使った論理式も示しなさい なお 入力は A,B,C,D とし 出力は X とする

48 エンコーダ つだけが をとり 他は をとる 4 つの信号を並列に入力し これを 2 進数に変える回路を考えなさい なお 入力は A,B,C,D とし ビットはこの順に並んでおり A は最下位とする また 出力は X,Y とし X を最下位とする さらに A が のとき YX=, B が のとき YX=, C が のとき YX=, D が のとき YX= とする また が つでないときは Valid Bit が になるものとし そうでないときは になるものとする

HW-Slides-04.ppt

HW-Slides-04.ppt ハードウェア実験 組み込みシステム入門第 4 回 2012 年 10 月 11 日 IC TRAINER の導入 2 ブレッドボードとは何か! 手引き書 P8 半田付けせずに 簡単にリード線を差し込むだけで回路の動作を調べることができるボード! 部品挿入エリアでは ABCDE が縦に裏側で接続されている! 電源ラインでは 横に接続されている! 慣例として! 赤 : + 電源! 青 :- 電源または

More information

スライド 1

スライド 1 ブール代数 ブール代数 集合 { 0, 1 } の上で演算 AND, OR, NOT からなる数学的体系 何のため? ある演算をどのような回路で実現すればよいのか? どうすれば回路が小さくなるのか? どうすれば回路が速く動くのか? 3 復習 : 真理値表とゲート記号 真理値表 A B A B 0 0 0 0 1 0 1 0 0 1 1 1 A B A+B 0 0 0 0 1 1 1 0 1 1 1

More information

授業のあとで 情報処理工学 : 第 3 回 10 進数を 16 進数に変換する方法と 16 進数を 10 進数に変換する方法は 標準的な方法でも良いですか? 履修申告は済みましたか? 割り算 方法 ) 54 余り 6 16 ) 3 余り 3 ) 0 第 4 回へ 201

授業のあとで 情報処理工学 : 第 3 回 10 進数を 16 進数に変換する方法と 16 進数を 10 進数に変換する方法は 標準的な方法でも良いですか? 履修申告は済みましたか? 割り算 方法 ) 54 余り 6 16 ) 3 余り 3 ) 0 第 4 回へ 201 授業のあとで 情報処理工学 : 第 3 回 10 進数を 16 進数に変換する方法と 16 進数を 10 進数に変換する方法は 標準的な方法でも良いですか? 履修申告は済みましたか? 割り算 方法 54 10 36 16 16 ) 54 余り 6 16 ) 3 余り 3 ) 0 第 4 回へ 2013/10/30 2 授業のあとで (#2) したがって 54 10 36 16 ここまでの復習 2/10/16

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2016 年度 5 セメスター クラス C3 D1 D2 D3 計算機工学 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2018 年度クラス C3 D1 D2 D3 情報科学基礎 I 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x n ), i

More information

04年度LS民法Ⅰ教材改訂版.PDF

04年度LS民法Ⅰ教材改訂版.PDF ?? A AB A B C AB A B A B A B A A B A 98 A B A B A B A B B A A B AB AB A B A BB A B A B A B A B A B A AB A B B A B AB A A C AB A C A A B A B B A B A B B A B A B B A B A B A B A B A B A B A B

More information

7 27 7.1........................................ 27 7.2.......................................... 28 1 ( a 3 = 3 = 3 a a > 0(a a a a < 0(a a a -1 1 6

7 27 7.1........................................ 27 7.2.......................................... 28 1 ( a 3 = 3 = 3 a a > 0(a a a a < 0(a a a -1 1 6 26 11 5 1 ( 2 2 2 3 5 3.1...................................... 5 3.2....................................... 5 3.3....................................... 6 3.4....................................... 7

More information

A_chapter3.dvi

A_chapter3.dvi : a b c d 2: x x y y 3: x y w 3.. 3.2 2. 3.3 3. 3.4 (x, y,, w) = (,,, )xy w (,,, )xȳ w (,,, ) xy w (,,, )xy w (,,, )xȳ w (,,, ) xy w (,,, )xy w (,,, ) xȳw (,,, )xȳw (,,, ) xyw, F F = xy w x w xy w xy w

More information

離散数学

離散数学 離散数学 ブール代数 落合秀也 前回の復習 : 命題計算 キーワード 文 複合文 結合子 命題 恒真 矛盾 論理同値 条件文 重条件文 論法 論理含意 記号 P(p,q,r, ),,,,,,, 2 今日のテーマ : ブール代数 ブール代数 ブール代数と束 そして 順序 加法標準形とカルノー図 3 今日のテーマ : ブール代数 ブール代数 ブール代数と束 そして 順序 加法標準形とカルノー図 4 ブール代数の法則

More information

1990 IMO 1990/1/15 1:00-4:00 1 N N N 1, N 1 N 2, N 2 N 3 N 3 2 x x + 52 = 3 x x , A, B, C 3,, A B, C 2,,,, 7, A, B, C

1990 IMO 1990/1/15 1:00-4:00 1 N N N 1, N 1 N 2, N 2 N 3 N 3 2 x x + 52 = 3 x x , A, B, C 3,, A B, C 2,,,, 7, A, B, C 0 9 (1990 1999 ) 10 (2000 ) 1900 1994 1995 1999 2 SAT ACT 1 1990 IMO 1990/1/15 1:00-4:00 1 N 1990 9 N N 1, N 1 N 2, N 2 N 3 N 3 2 x 2 + 25x + 52 = 3 x 2 + 25x + 80 3 2, 3 0 4 A, B, C 3,, A B, C 2,,,, 7,

More information

Microsoft PowerPoint - 7.Arithmetic.ppt

Microsoft PowerPoint - 7.Arithmetic.ppt 第 7 章デジタル演算回路 1 デジタル信号処理音声, 音楽, 通信信号 信号 = 符号付き 2 進データ 負の数値の表現方法 2 2 進数 n ビット n-1 =Σb i 2 i 0 2 の補数 +=2 n n-1 n-1 2 n =1+Σb i 2 i +Σb i 2 i 0 0 n-1 =2 n ー =1+Σb i 2 i 0 3 2 進数の補数 2 の補数 各桁のビットを反転した後で最下位に

More information

.1 A cos 2π 3 sin 2π 3 sin 2π 3 cos 2π 3 T ra 2 deta T ra 2 deta T ra 2 deta a + d 2 ad bc a 2 + d 2 + ad + bc A 3 a b a 2 + bc ba + d c d ca + d bc +

.1 A cos 2π 3 sin 2π 3 sin 2π 3 cos 2π 3 T ra 2 deta T ra 2 deta T ra 2 deta a + d 2 ad bc a 2 + d 2 + ad + bc A 3 a b a 2 + bc ba + d c d ca + d bc + .1 n.1 1 A T ra A A a b c d A 2 a b a b c d c d a 2 + bc ab + bd ac + cd bc + d 2 a 2 + bc ba + d ca + d bc + d 2 A a + d b c T ra A T ra A 2 A 2 A A 2 A 2 A n A A n cos 2π sin 2π n n A k sin 2π cos 2π

More information

このスライドは以下の URL からダウンロード可能です 2

このスライドは以下の URL からダウンロード可能です   2 計算機学 伊藤彰則 aito@spcom.ecei.tohoku.ac.jp @akinori_ito 1 このスライドは以下の URL からダウンロード可能です http://www.spcom.ecei.tohoku.ac.jp/~aito/comp/ 2 参考書の紹介 計算機学入門デジタル世界の原理を学ぶ阿曽弘具著共立出版 2,900 以前教科書だった本の改訂版 講義の内容と一致しており 説明は詳しい

More information

48 * *2

48 * *2 374-1- 17 2 1 1 B A C A C 48 *2 49-2- 2 176 176 *2 -3- B A A B B C A B A C 1 B C B C 2 B C 94 2 B C 3 1 6 2 8 1 177 C B C C C A D A A B A 7 B C C A 3 C A 187 187 C B 10 AC 187-4- 10 C C B B B B A B 2 BC

More information

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 算術論理演算ユニットの設計 ( 教科書 4.5 節 ) yi = fi (x, x2, x3,..., xm) (for i n) 基本的な組合せ論理回路 : インバータ,AND ゲート,OR ゲート, y n 組合せ論理回路 ( 復習 ) 組合せ論理回路 : 出力値が入力値のみの関数となっている論理回路. 論理関数 f: {, } m {, } n を実現.( フィードバック ループや記憶回路を含まない

More information

Microsoft Word - 19-d代 試é¨fi 解ç�fl.docx

Microsoft Word - 19-d代 試é¨fi 解ç�fl.docx 2019 年度ディジタル代数期末試験解答例 再評価試験は期末試験と同程度の難しさである. しっかり準備して受けるように. 1. アドレスが 4 バイトで表わされた画像処理専用プロセッサが幾つかのデータを吐き出して停まってしまった. そのデータの 1 つはレジスタ R0 の中身で,16 進表示すると (BD80) 16 であった. このデータに関して, 以下の問に対する回答を対応する箱内に書け. (1)

More information

1.1 1 A

1.1 1 A . A..2 2 2. () (xyz) ( xyz) ( xy z) = (x x)yz ( xy z) = yz ( xy z) = y(z ( x z)) = y((z x)(z z)) = y( x z) (2) (3) M aj (x, y, M aj ( x, ȳ, z)) = xy ȳm aj ( x, ȳ, z) M aj ( x, ȳ, z)x M aj (x, y, z) x =

More information

Microsoft PowerPoint - LogicCircuits01.pptx

Microsoft PowerPoint - LogicCircuits01.pptx 論理回路 第 回論理回路の数学的基本 - ブール代数 http://www.info.kindai.ac.jp/lc 38 号館 4 階 N-4 内線 5459 takasi-i@info.kindai.ac.jp 本科目の内容 電子計算機 computer の構成 ソフトウェア 複数のプログラムの組み合わせ オペレーティングシステム アプリケーション等 ハードウェア 複数の回路 circuit の組み合わせ

More information

a (a + ), a + a > (a + ), a + 4 a < a 4 a,,, y y = + a y = + a, y = a y = ( + a) ( x) + ( a) x, x y,y a y y y ( + a : a ) ( a : a > ) y = (a + ) y = a

a (a + ), a + a > (a + ), a + 4 a < a 4 a,,, y y = + a y = + a, y = a y = ( + a) ( x) + ( a) x, x y,y a y y y ( + a : a ) ( a : a > ) y = (a + ) y = a [] a x f(x) = ( + a)( x) + ( a)x f(x) = ( a + ) x + a + () x f(x) a a + a > a + () x f(x) a (a + ) a x 4 f (x) = ( + a) ( x) + ( a) x = ( a + a) x + a + = ( a + ) x + a +, () a + a f(x) f(x) = f() = a

More information

ORIGINAL TEXT I II A B 1 4 13 21 27 44 54 64 84 98 113 126 138 146 165 175 181 188 198 213 225 234 244 261 268 273 2 281 I II A B 292 3 I II A B c 1 1 (1) x 2 + 4xy + 4y 2 x 2y 2 (2) 8x 2 + 16xy + 6y 2

More information

Microsoft PowerPoint LCB_14_論理回路シミュレータ.ppt

Microsoft PowerPoint LCB_14_論理回路シミュレータ.ppt ( 第 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 論理回路シミュレータ. 論理回路の基本 ( 復習 ). シミュレータ (Multiim). 回路シミュレータの概要. 設計実例 : H,F, 簡易電卓など ( 論理回路 Ⅰ) の期末試験 実施日 : 8/5( 金 ) : @ 教室 ( 定規 OK, 参照ダメ ) 成績評価 : 中間 5%, 期末 5% ( 出席率 8% 以上の学生が評価対象

More information

熊本県数学問題正解

熊本県数学問題正解 00 y O x Typed by L A TEX ε ( ) (00 ) 5 4 4 ( ) http://www.ocn.ne.jp/ oboetene/plan/. ( ) (009 ) ( ).. http://www.ocn.ne.jp/ oboetene/plan/eng.html 8 i i..................................... ( )0... (

More information

(1)デジタル回路の基礎v160415

(1)デジタル回路の基礎v160415 2016.4.15 訂正 p.12 4. 図, 表 4 行目 の下, 表の場合は表の下である. の 下 を 上 に訂正 第 1 回デジタル回路の基礎 1. 実験目的論理回路 (Logic circuit) はブール代数を使って論理演算を行う電気回路である. 基本的な論理演算を論理ゲート (Logic gate), または単にゲートとも呼ぶ. 基本的な論理ゲートとして AND( 論理積 ),OR( 論理和

More information

Microsoft Word - 論理回路10.doc

Microsoft Word - 論理回路10.doc 2. 論理設計 () 組合せ回路. 概説 表 SCII コードの例 アナログ装置では量や数を 電圧の大きさ や 針の振れる角度 などで表現している それに対して, 進 2 進 6 進 英数文字 コンピュータなどのディジタル装置では量や数を2 35 23 # 進数で符号化し, 表現している 我々人間は数を符 号化するために 2 9 という 通りの文字を用いているが, ディジタル装置の中では 47 48

More information

R R 16 ( 3 )

R R 16   ( 3 ) (017 ) 9 4 7 ( ) ( 3 ) ( 010 ) 1 (P3) 1 11 (P4) 1 1 (P4) 1 (P15) 1 (P16) (P0) 3 (P18) 3 4 (P3) 4 3 4 31 1 5 3 5 4 6 5 9 51 9 5 9 6 9 61 9 6 α β 9 63 û 11 64 R 1 65 13 66 14 7 14 71 15 7 R R 16 http://wwwecoosaka-uacjp/~tazak/class/017

More information

untitled

untitled 8016! [! A]10060 3 1 (1) 1-1 4 (3) 3 3 (1) 3 0 4 1 3 f0x 1=(1+a)(1-x)+(-a)x=(-1-a+-a)x+a+1=(-3a+1)x+a+1 =(- a+ )x+a+1 (1) 0 ( x ( 1f0x1 a( 1 3 f 0x1f00=a+1= 1 a+ a 1 3 f 0x1f01=-a+= 1 a+ 0 ( x ( 1 f0x

More information

2 ver.10.7 論理回路 ( 原理と設計 ) 3 1 3. 組み合わせ論理回路の簡単化 同じ論理関数でも 回路の段数の深さ 使う論理素子の総数など 基準の違いによって複雑さが異なる ( 回路の設計コストに影響する ) 論理関数を簡単化する方法はいろいろ知られているが 数変数程度の論理関数を簡単化するときに有効な方法としてカルノー図が知られている ( 実際の論理回路はもっと多変数であるから 実用的な方法のわけではない

More information

高ゼミサポSelectⅢ数学Ⅰ_解答.indd

高ゼミサポSelectⅢ数学Ⅰ_解答.indd 数と式 ⑴ 氏点00 次の式を展開せよ ( 各 6 点 ) ⑴ (a-)(a -a+) ⑵ (x+y+)(x+y-5) 次の式を因数分解せよ (⑴⑵ 各 6 点, ⑶⑷ 各 8 点 ) ⑴ x y+x -x-6y ⑵ x -x - ⑶ a +5b ⑷ (x+y+z+)(x+)+yz 数と式 ⑵ 氏点00 次の問いに答えよ ( 各 6 点 ) ⑴ 次の循環小数を分数で表せ. a-5 = ⑵ 次の等式を満たす実数

More information

Microsoft PowerPoint - 11.ppt

Microsoft PowerPoint - 11.ppt 多段論理合成 ( 前半概要 ) 第 章多段論理合成 年 月改訂 論理合成システム 積項を用いたファクタリング TVF 論理式の割り算 関数分解 回路の変換 //5 多段論理合成 //5 多段論理合成 LSI の設計システム 論理合成システム Loic Sntesis Sstem 半導体技術に独立 半導体技術に依存 動作記術機能記術 ネットリスト ネットリスト レイアウト 動作記述言語, 機能記述言語論理式,

More information

Microsoft PowerPoint LC1_14_論理回路シミュレータ.ppt

Microsoft PowerPoint LC1_14_論理回路シミュレータ.ppt の期末試験 実施日 : 7/( 金 ) 限 @ 教室 ( 参照不可, 定規 OK) 成績評価 : 中間 5%, 期末 5%( 試験成績のみ ) ( 第 回 ) 特別講義 : 論理回路シミュレータ. 論理回路の基本 ( 復習 ). シミュレータ (Multiim). 回路シミュレータの概要. 設計実例 : H,F, 簡易電卓など 出題 : 前半 (~6 回 )/, 後半 (8 回 ~)/ 教科書 +(

More information

IMO 1 n, 21n n (x + 2x 1) + (x 2x 1) = A, x, (a) A = 2, (b) A = 1, (c) A = 2?, 3 a, b, c cos x a cos 2 x + b cos x + c = 0 cos 2x a

IMO 1 n, 21n n (x + 2x 1) + (x 2x 1) = A, x, (a) A = 2, (b) A = 1, (c) A = 2?, 3 a, b, c cos x a cos 2 x + b cos x + c = 0 cos 2x a 1 40 (1959 1999 ) (IMO) 41 (2000 ) WEB 1 1959 1 IMO 1 n, 21n + 4 13n + 3 2 (x + 2x 1) + (x 2x 1) = A, x, (a) A = 2, (b) A = 1, (c) A = 2?, 3 a, b, c cos x a cos 2 x + b cos x + c = 0 cos 2x a = 4, b =

More information

x, y x 3 y xy 3 x 2 y + xy 2 x 3 + y 3 = x 3 y xy 3 x 2 y + xy 2 x 3 + y 3 = 15 xy (x y) (x + y) xy (x y) (x y) ( x 2 + xy + y 2) = 15 (x y)

x, y x 3 y xy 3 x 2 y + xy 2 x 3 + y 3 = x 3 y xy 3 x 2 y + xy 2 x 3 + y 3 = 15 xy (x y) (x + y) xy (x y) (x y) ( x 2 + xy + y 2) = 15 (x y) x, y x 3 y xy 3 x 2 y + xy 2 x 3 + y 3 = 15 1 1977 x 3 y xy 3 x 2 y + xy 2 x 3 + y 3 = 15 xy (x y) (x + y) xy (x y) (x y) ( x 2 + xy + y 2) = 15 (x y) ( x 2 y + xy 2 x 2 2xy y 2) = 15 (x y) (x + y) (xy

More information

Handsout3.ppt

Handsout3.ppt 論理の合成 HDLからの合成 n HDLから初期回路を合成する u レジスタの分離 u 二段 ( 多段 ) 論理回路への変形 n 二段論理回路の分割 n 多段論理回路への変形 n 多段論理回路の最適化 n テクノロジマッピング u 面積, 速度, 消費電力を考慮したライブラリの割当 1 レジスタの分離 process (clk) begin if clk event and clk = 1 then

More information

1 1 3 ABCD ABD AC BD E E BD 1 : 2 (1) AB = AD =, AB AD = (2) AE = AB + (3) A F AD AE 2 = AF = AB + AD AF AE = t AC = t AE AC FC = t = (4) ABD ABCD 1 1

1 1 3 ABCD ABD AC BD E E BD 1 : 2 (1) AB = AD =, AB AD = (2) AE = AB + (3) A F AD AE 2 = AF = AB + AD AF AE = t AC = t AE AC FC = t = (4) ABD ABCD 1 1 ABCD ABD AC BD E E BD : () AB = AD =, AB AD = () AE = AB + () A F AD AE = AF = AB + AD AF AE = t AC = t AE AC FC = t = (4) ABD ABCD AB + AD AB + 7 9 AD AB + AD AB + 9 7 4 9 AD () AB sin π = AB = ABD AD

More information

Microsoft Word - 実験4_FPGA実験2_2015

Microsoft Word - 実験4_FPGA実験2_2015 FPGA の実験 Ⅱ 1. 目的 (1)FPGA を用いて組合せ回路や順序回路を設計する方法を理解する (2) スイッチや表示器の動作を理解し 入出力信号を正しく扱う 2. スケジュール項目 FPGAの実験 Ⅱ( その1) FPGAの実験 Ⅱ( その2) FPGAの実験 Ⅱ( その3) FPGAの実験 Ⅱ( その4) FPGAの実験 Ⅱ( その5) FPGAの実験 Ⅱ( その6) FPGAの実験 Ⅱ(

More information

1 (1) vs. (2) (2) (a)(c) (a) (b) (c) 31 2 (a) (b) (c) LENCHAR

1 (1) vs. (2) (2) (a)(c) (a) (b) (c) 31 2 (a) (b) (c) LENCHAR () 601 1 () 265 OK 36.11.16 20 604 266 601 30.4.5 (1) 91621 3037 (2) 20-12.2 20-13 (3) ex. 2540-64 - LENCHAR 1 (1) vs. (2) (2) 605 50.2.13 41.4.27 10 10 40.3.17 (a)(c) 2 1 10 (a) (b) (c) 31 2 (a) (b) (c)

More information

Microsoft PowerPoint ppt

Microsoft PowerPoint ppt . 6.6( 木 ) 代数系 (algebraic system) 多項式 ( 教科書 pp.5-56) 環 ( 教科書 pp.57-6) 教科書 野崎昭弘 : 離散系の数学 近代科学社 多項式 (polynomial) 係数 a n,a n-,,a,a R と変数 x R についての R 上の ( 変数 ) 多項式 P(x)=a n x n + a n- x n- + + a x+a n= のとき

More information

1

1 005 11 http://www.hyuki.com/girl/ http://www.hyuki.com/story/tetora.html http://www.hyuki.com/ Hiroshi Yuki c 005, All rights reserved. 1 1 3 (a + b)(a b) = a b (x + y)(x y) = x y a b x y a b x y 4 5 6

More information

18 ( ) I II III A B C(100 ) 1, 2, 3, 5 I II A B (100 ) 1, 2, 3 I II A B (80 ) 6 8 I II III A B C(80 ) 1 n (1 + x) n (1) n C 1 + n C

18 ( ) I II III A B C(100 ) 1, 2, 3, 5 I II A B (100 ) 1, 2, 3 I II A B (80 ) 6 8 I II III A B C(80 ) 1 n (1 + x) n (1) n C 1 + n C 8 ( ) 8 5 4 I II III A B C( ),,, 5 I II A B ( ),, I II A B (8 ) 6 8 I II III A B C(8 ) n ( + x) n () n C + n C + + n C n = 7 n () 7 9 C : y = x x A(, 6) () A C () C P AP Q () () () 4 A(,, ) B(,, ) C(,,

More information

2014.3.10 @stu.hirosaki-u.ac.jp 1 1 1.1 2 3 ( 1) x ( ) 0 1 ( 2)NOT 0 NOT 1 1 NOT 0 ( 3)AND 1 AND 1 3 AND 0 ( 4)OR 0 OR 0 3 OR 1 0 1 x NOT x x AND x x OR x + 1 1 0 x x 1 x 0 x 0 x 1 1.2 n ( ) 1 ( ) n x

More information

座標変換におけるテンソル成分の変換行列

座標変換におけるテンソル成分の変換行列 座標変換におけるテンソル成分の変換行列 座標変換におけるテンソル成分の変換関係は 次元数によらず階数によって定義される変換行列で整理することができる 位置ベクトルの変換行列を D としてそれを示そう D の行列式を ( = D ) とするとき 鏡映や回映といった pseudo rotation に対しては = -1 である が問題になる基底は 対称操作に含まれる pseudo rotation に依存する

More information

HITACHI 液晶プロジェクター CP-AX3505J/CP-AW3005J 取扱説明書 -詳細版- 【技術情報編】

HITACHI 液晶プロジェクター CP-AX3505J/CP-AW3005J 取扱説明書 -詳細版- 【技術情報編】 B A C E D 1 3 5 7 9 11 13 15 17 19 2 4 6 8 10 12 14 16 18 H G I F J M N L K Y CB/PB CR/PR COMPONENT VIDEO OUT RS-232C LAN RS-232C LAN LAN BE EF 03 06 00 2A D3 01 00 00 60 00 00 BE EF 03 06 00 BA D2 01

More information

取扱説明書 -詳細版- 液晶プロジェクター CP-AW3019WNJ

取扱説明書 -詳細版- 液晶プロジェクター CP-AW3019WNJ B A C D E F K I M L J H G N O Q P Y CB/PB CR/PR COMPONENT VIDEO OUT RS-232C LAN RS-232C LAN LAN BE EF 03 06 00 2A D3 01 00 00 60 00 00 BE EF 03 06 00 BA D2 01 00 00 60 01 00 BE EF 03 06 00 19 D3 02 00

More information

1 1 2 1 3 1 4 2 4.1 AKB............................................... 2 4.2......................................... 6 4.3...........................

1 1 2 1 3 1 4 2 4.1 AKB............................................... 2 4.2......................................... 6 4.3........................... 24 3 28 : 1 1 2 1 3 1 4 2 4.1 AKB............................................... 2 4.2......................................... 6 4.3............................................. 9 5 9 5.1.........................................

More information

2002.N.x.h.L.......g9/20

2002.N.x.h.L.......g9/20 1 2 3 4 5 6 1 2 3 4 5 8 9 1 11 11 12 13 k 14 l 16 m 17 n 18 o 19 k 2 l 2 m 21 n 21 o 22 p 23 q 23 r 24 24 25 26 27 28 k 28 l 29 m 29 3 31 34 42 44 1, 8, 6, 4, 2, 1,2 1, 8 6 4 2 1, 8, 6, 4, 2, 1,2 1, 8

More information

Microsoft PowerPoint - 4.CMOSLogic.ppt

Microsoft PowerPoint - 4.CMOSLogic.ppt 第 4 章 CMOS 論理回路 (1) CMOS インバータ 2008/11/18 広島大学岩田穆 1 抵抗負荷のインバータ V dd ( 正電源 ) R: 負荷抵抗 In Vin Out Vout n-mos 駆動トランジスタ グランド 2008/11/18 広島大学岩田穆 2 抵抗負荷のインバータ V gs I d Vds n-mos 駆動トランジスタ ドレイン電流 I d (n-mos) n-mosの特性

More information

WJ-HD SHIFT /0 PULL Digital Disk Recorder WJ-HD 316

WJ-HD SHIFT /0 PULL Digital Disk Recorder WJ-HD 316 WJ-HD36 SHIFT 3 4 5 6 7 8 9 0/0 PULL 3 4 5 6 Digital Disk Recorder WJ-HD 36 q w e r t y 3 4 5 6 7 8 9 0 3 4 5 q w 6 q w e r t y 7 SHIFT 3 4 5 6 7 8 9 0/0 HDD HDD 3 4 5 6 8 9 PULL Digital Disk Recorder

More information

(2000 )

(2000 ) (000) < > = = = (BC 67» BC 1) 3.14 10 (= ) 18 ( 00 ) ( ¼"½ '"½ &) ¼ 18 ¼ 0 ¼ =3:141596535897933846 ¼ 1 5cm ` ¼ = ` 5 = ` 10 () ` =10¼ (cm) (1) 3cm () r () () (1) r () r 1 4 (3) r, 60 ± 1 < > µ AB ` µ ±

More information

( )

( ) 18 10 01 ( ) 1 2018 4 1.1 2018............................... 4 1.2 2018......................... 5 2 2017 7 2.1 2017............................... 7 2.2 2017......................... 8 3 2016 9 3.1 2016...............................

More information

行列代数2010A

行列代数2010A a ij i j 1) i +j i, j) ij ij 1 j a i1 a ij a i a 1 a j a ij 1) i +j 1,j 1,j +1 a i1,1 a i1,j 1 a i1,j +1 a i1, a i +1,1 a i +1.j 1 a i +1,j +1 a i +1, a 1 a,j 1 a,j +1 a, ij i j 1,j 1,j +1 ij 1) i +j a

More information

フリップフロップ

フリップフロップ 第 3 章フリップ フロップ 大阪大学大学院情報科学研究科 今井正治 imai@ist.osaka-u.ac.jp http://www-ise1.ist.osaka-u.ac.jp/~imai/ 2005/10/17 2006, Masaharu Imai 1 講義内容 フリップ フロップの基本原理 RS フリップ フロップ D ラッチ D フリップ フロップ JK フリップ フロップ T フリップ

More information

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな 第 回 VHDL 演習組み合せ論理回路 VHDL に関する演習を行う 今回は, 組み合せ論理回路の記述について学ぶ - 論理回路の VHDL 記述の基本 同時処理文を並べることで記述できる 部品の接続関係を記述 順番は関係ない process 文の内部では, 順次処理文を使う process 文 つで, つの同時処理文になる順次処理文は, 回路の動作を 逐次処理的 に ( 手続き処理型プログラム言語のように

More information

Catch Surfboard Co. 2018 HARDGOODS DELIVERY END of March 2018 !"#$"%&'%()(*#+&,-.&/-01.2&3&$4(*&!"#$"%&'%()(*#+&,-.&/-01.2&!"#$"%&'%()(*#+&,-.&/-01.2&!"#$"%&'%()(*#+&,-.&/-01.2&!"#$"%&'%()(*#+&,-.&/-01.2&

More information

iii 1 1 1 1................................ 1 2.......................... 3 3.............................. 5 4................................ 7 5................................ 9 6............................

More information

linearal1.dvi

linearal1.dvi 19 4 30 I 1 1 11 1 12 2 13 3 131 3 132 4 133 5 134 6 14 7 2 9 21 9 211 9 212 10 213 13 214 14 22 15 221 15 222 16 223 17 224 20 3 21 31 21 32 21 33 22 34 23 341 23 342 24 343 27 344 29 35 31 351 31 352

More information

新たな基礎年金制度の構築に向けて

新たな基礎年金制度の構築に向けて [ ] 1 1 4 60 1 ( 1 ) 1 1 1 4 1 1 1 1 1 4 1 2 1 1 1 ( ) 2 1 1 1 1 1 1 1996 1 3 4.3(2) 1997 1 65 1 1 2 1/3 ( )2/3 1 1/3 ( ) 1 1 2 3 2 4 6 2.1 1 2 1 ( ) 13 1 1 1 1 2 2 ( ) ( ) 1 ( ) 60 1 1 2.2 (1) (3) ( 9

More information

WJ-HD SHIFT /0 PULL Digital Disk Recorder WJ-HD 350

WJ-HD SHIFT /0 PULL Digital Disk Recorder WJ-HD 350 WJ-HD350 SHIFT 3 4 5 6 7 8 9 0/0 PULL 3 4 5 6 350 Digital Disk Recorder WJ-HD 350 3 q w e r t y u 4 5 6 7 8 9 0 3 4 5 6 q w 7 q w e r t y u 8 9 SHIFT 3 4 5 6 7 8 9 0/0 PULL HDD HDD 3 4 5 6 Digital Disk

More information

40 6 y mx x, y 0, 0 x 0. x,y 0,0 y x + y x 0 mx x + mx m + m m 7 sin y x, x x sin y x x. x sin y x,y 0,0 x 0. 8 x r cos θ y r sin θ x, y 0, 0, r 0. x,

40 6 y mx x, y 0, 0 x 0. x,y 0,0 y x + y x 0 mx x + mx m + m m 7 sin y x, x x sin y x x. x sin y x,y 0,0 x 0. 8 x r cos θ y r sin θ x, y 0, 0, r 0. x, 9.. x + y + 0. x,y, x,y, x r cos θ y r sin θ xy x y x,y 0,0 4. x, y 0, 0, r 0. xy x + y r 0 r cos θ sin θ r cos θ sin θ θ 4 y mx x, y 0, 0 x 0. x,y 0,0 x x + y x 0 x x + mx + m m x r cos θ 5 x, y 0, 0,

More information

III No (i) (ii) (iii) (iv) (v) (vi) x 2 3xy + 2 lim. (x,y) (1,0) x 2 + y 2 lim (x,y) (0,0) lim (x,y) (0,0) lim (x,y) (0,0) 5x 2 y x 2 + y 2. xy x2 + y

III No (i) (ii) (iii) (iv) (v) (vi) x 2 3xy + 2 lim. (x,y) (1,0) x 2 + y 2 lim (x,y) (0,0) lim (x,y) (0,0) lim (x,y) (0,0) 5x 2 y x 2 + y 2. xy x2 + y III No (i) (ii) (iii) (iv) (v) (vi) x 2 3xy + 2. (x,y) (1,0) x 2 + y 2 5x 2 y x 2 + y 2. xy x2 + y 2. 2x + y 3 x 2 + y 2 + 5. sin(x 2 + y 2 ). x 2 + y 2 sin(x 2 y + xy 2 ). xy (i) (ii) (iii) 2xy x 2 +

More information

憲法h1out

憲法h1out m n mnm mnn m m m m m m. x x x ax bxc a x x bb ac a fxax bxc fxx x ax bxca b ac x x ax bxca x x x.x x x x x x xxx x x xxx x x xxx x x xx x x x axbcxdacx adbcxbd x xxx m n mnm mnn m m m m m m m m

More information

HW-Slides-05.ppt

HW-Slides-05.ppt ハードウェア実験 組み込みシステム入門第 5 回 2012 年 10 月 18 日 順序論理回路の実験 このスライドの ゲートの動作記述の部分は 藤井先生のスライドから多くをいただいています 藤井先生に慎んでお礼申し上げます 2 今日の内容! 以下の論理回路を動作させる 1. D フリップフロップ回路 2. 4 進カウンタ回路 ( 同期式 ) 3. 10 進カウンタ回路! シフトレジスタを作成して

More information

untitled

untitled 18 18 8 17 18 8 19 3. II 3-8 18 9:00~10:30? 3 30 3 a b a x n nx n-1 x n n+1 x / n+1 log log = logos + arithmos n+1 x / n+1 incompleteness theorem log b = = rosário Euclid Maya-glyph quipe 9 number digits

More information

x = a 1 f (a r, a + r) f(a) r a f f(a) 2 2. (a, b) 2 f (a, b) r f(a, b) r (a, b) f f(a, b)

x = a 1 f (a r, a + r) f(a) r a f f(a) 2 2. (a, b) 2 f (a, b) r f(a, b) r (a, b) f f(a, b) 2011 I 2 II III 17, 18, 19 7 7 1 2 2 2 1 2 1 1 1.1.............................. 2 1.2 : 1.................... 4 1.2.1 2............................... 5 1.3 : 2.................... 5 1.3.1 2.....................................

More information

プログラマブル論理デバイス

プログラマブル論理デバイス 第 8 章プログラマブル論理デバイス 大阪大学大学院情報科学研究科今井正治 E-mail: imai@ist.osaka-u.ac.jp http://www-ise.ist.osaka-u.ac.jp/~imai/ 26/2/5 26, Masaharu Imai 講義内容 PLDとは何か PLA FPGA Gate Arra 26/2/5 26, Masaharu Imai 2 PLD とは何か

More information

HITACHI 液晶プロジェクター CP-EX301NJ/CP-EW301NJ 取扱説明書 -詳細版- 【技術情報編】 日本語

HITACHI 液晶プロジェクター CP-EX301NJ/CP-EW301NJ 取扱説明書 -詳細版- 【技術情報編】 日本語 A B C D E F G H I 1 3 5 7 9 11 13 15 17 19 2 4 6 8 10 12 14 16 18 K L J Y CB/PB CR/PR COMPONENT VIDEO OUT RS-232C RS-232C RS-232C Cable (cross) LAN cable (CAT-5 or greater) LAN LAN LAN LAN RS-232C BE

More information

II A A441 : October 02, 2014 Version : Kawahira, Tomoki TA (Kondo, Hirotaka )

II A A441 : October 02, 2014 Version : Kawahira, Tomoki TA (Kondo, Hirotaka ) II 214-1 : October 2, 214 Version : 1.1 Kawahira, Tomoki TA (Kondo, Hirotaka ) http://www.math.nagoya-u.ac.jp/~kawahira/courses/14w-biseki.html pdf 1 2 1 9 1 16 1 23 1 3 11 6 11 13 11 2 11 27 12 4 12 11

More information

8 i, III,,,, III,, :!,,,, :!,,,,, 4:!,,,,,,!,,,, OK! 5:!,,,,,,,,,, OK 6:!, 0, 3:!,,,,! 7:!,,,,,, ii,,,,,, ( ),, :, ( ), ( ), :... : 3 ( )...,, () : ( )..., :,,, ( ), (,,, ),, (ϵ δ ), ( ), (ˆ ˆ;),,,,,,!,,,,.,,

More information

Jacobson Prime Avoidance

Jacobson Prime Avoidance 2016 2017 2 22 1 1 3 2 4 2.1 Jacobson................. 4 2.2.................... 5 3 6 3.1 Prime Avoidance....................... 7 3.2............................. 8 3.3..............................

More information

II Time-stamp: <05/09/30 17:14:06 waki> ii

II Time-stamp: <05/09/30 17:14:06 waki> ii II waki@cc.hirosaki-u.ac.jp 18 1 30 II Time-stamp: ii 1 1 1.1.................................................. 1 1.2................................................... 3 1.3..................................................

More information

3 1 1 BCA ACD HP A AB BC ABC ONP x AM, CN x 30 DM DM! CN CN AM AMD 10 1 AB AC

3 1 1 BCA ACD HP A AB BC ABC ONP x AM, CN x 30 DM DM! CN CN AM AMD 10 1 AB AC 3 BCA ACD HP A AB BC ABC ONP x AM, CN x 30 DM DM!CN CN AM AMD 0 AB AC AMD3AMB3030 x x x 0,60,50,30,30 ABCD AC, BD ABD, DBC, BCA, ACD BDA x BDC 80 AB AD ABD sin x sin AD AC ACD sin sin x AC ABC sin AB sin

More information

<91E63589F161>

<91E63589F161> ハードウェア実験 組み込みシステム入門第 5 回 2010 年 10 月 21 日 順序論理回路の実験 前回予告した今回の内容 次回も IC トレーナを使って 順序論理回路についての実験を行います 内部に 状態 を持つ場合の動作記述について 理解します 個々の IC を接続し SW 入力と LED の点灯表示とで論理回路としての動作を検証します それぞれの IC( 回路素子 ) ごとに真理値表を作成します

More information

Digital Disk Recorder WJ-HD316A 1 2 SHIFT /0 PULL WJ-HD 316A

Digital Disk Recorder WJ-HD316A 1 2 SHIFT /0 PULL WJ-HD 316A Digital Disk Recorder WJ-HD36A SHIFT 3 4 5 6 7 8 9 0/0 PULL 3 4 5 6 WJ-HD 36A 3 q w e r t y u 4 5 6 7 8 9 0 3 4 5 6 q w 7 q w e r t y 8 9 SHIFT 3 4 5 6 7 8 9 0/0 HDD HDD 3 4 5 6 0 PULL Digital Disk

More information

0.6 A = ( 0 ),. () A. () x n+ = x n+ + x n (n ) {x n }, x, x., (x, x ) = (0, ) e, (x, x ) = (, 0) e, {x n }, T, e, e T A. (3) A n {x n }, (x, x ) = (,

0.6 A = ( 0 ),. () A. () x n+ = x n+ + x n (n ) {x n }, x, x., (x, x ) = (0, ) e, (x, x ) = (, 0) e, {x n }, T, e, e T A. (3) A n {x n }, (x, x ) = (, [ ], IC 0. A, B, C (, 0, 0), (0,, 0), (,, ) () CA CB ACBD D () ACB θ cos θ (3) ABC (4) ABC ( 9) ( s090304) 0. 3, O(0, 0, 0), A(,, 3), B( 3,, ),. () AOB () AOB ( 8) ( s8066) 0.3 O xyz, P x Q, OP = P Q =

More information

140 120 100 80 60 40 20 0 115 107 102 99 95 97 95 97 98 100 64 72 37 60 50 53 50 36 32 18 H18 H19 H20 H21 H22 H23 H24 H25 H26 H27 1 100 () 80 60 40 20 0 1 19 16 10 11 6 8 9 5 10 35 76 83 73 68 46 44 H11

More information

add1 2 β β - conversion (λx.x + 1(2 β x + 1 x λ f(x, y = 2 x + y 2 λ(x, y.2 x + y 1 λy.2 x + y λx.(λy.2 x + y x λy.2 x + y EXAMPLE (λ(x, y.2

add1 2 β β - conversion (λx.x + 1(2 β x + 1 x λ f(x, y = 2 x + y 2 λ(x, y.2 x + y 1 λy.2 x + y λx.(λy.2 x + y x λy.2 x + y EXAMPLE (λ(x, y.2 output: 2011,11,10 2.1 λ λ β λ λ - abstraction λ λ - binding 1 add1 + add1(x = x + 1 add1 λx.x + 1 x + 1 add1 function application 2 add1 add1(2 g.yamadatakahiro@gmail.com 1 add1 2 β β - conversion (λx.x

More information

1 1.1 R (ring) R1 R4 R1 R (commutative [abelian] group) R2 a, b, c R (ab)c = a(bc) (associative law) R3 a, b, c R a(b + c) = ab + ac, (a + b)c = ac +

1 1.1 R (ring) R1 R4 R1 R (commutative [abelian] group) R2 a, b, c R (ab)c = a(bc) (associative law) R3 a, b, c R a(b + c) = ab + ac, (a + b)c = ac + ALGEBRA II Hiroshi SUZUKI Department of Mathematics International Christian University 2004 1 1 1 2 2 1 3 3 1 4 4 1 5 5 1 6 6 1 7 7 1 7.1....................... 7 1 7.2........................... 7 4 8

More information

/02/18

/02/18 3 09/0/8 i III,,,, III,?,,,,,,,,,,,,,,,,,,,,?,?,,,,,,,,,,,,,,!!!,? 3,,,, ii,,,!,,,, OK! :!,,,, :!,,,,,, 3:!,, 4:!,,,, 5:!,,! 7:!,,,,, 8:!,! 9:!,,,,,,,,, ( ),, :, ( ), ( ), 6:!,,, :... : 3 ( )... iii,,

More information

, = = 7 6 = 42, =

, = = 7 6 = 42, = http://www.ss.u-tokai.ac.jp/~mahoro/2016autumn/alg_intro/ 1 1 2016.9.26, http://www.ss.u-tokai.ac.jp/~mahoro/2016autumn/alg_intro/ 1.1 1 214 132 = 28258 2 + 1 + 4 1 + 3 + 2 = 7 6 = 42, 4 + 2 = 6 2 + 8

More information

ii

ii ii iii 1 1 1.1..................................... 1 1.2................................... 3 1.3........................... 4 2 9 2.1.................................. 9 2.2...............................

More information

Microsoft PowerPoint LC_15.ppt

Microsoft PowerPoint LC_15.ppt ( 第 15 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 言語を使った設計 (2) 2.1 HDL 設計入門 2.2 FPGA ボードの設計デモ配布資料 VHDL の言語構造と基本文法 2.1 HDL 設計入門 EDAツール : メンター社製品が有名 FPGAベンダーのSW 1 1 仕様設計 にも簡易機能あり 2 3 2 HDLコード記述 3 論理シミュレーション 4 4 論理合成

More information

3-category

3-category 3-category alg-d http://alg-d.com/math/kan_extension/ 2018 年 8 月 29 日 次元がもう一つ上がり,2-morphism の間の射も存在するのが 3-category である. 即ち定義. (at-at)- 豊穣圏を strict 3-category という. 3-category の場合も weak バージョンがあり, それを tricategory

More information

A(6, 13) B(1, 1) 65 y C 2 A(2, 1) B( 3, 2) C 66 x + 2y 1 = 0 2 A(1, 1) B(3, 0) P 67 3 A(3, 3) B(1, 2) C(4, 0) (1) ABC G (2) 3 A B C P 6

A(6, 13) B(1, 1) 65 y C 2 A(2, 1) B( 3, 2) C 66 x + 2y 1 = 0 2 A(1, 1) B(3, 0) P 67 3 A(3, 3) B(1, 2) C(4, 0) (1) ABC G (2) 3 A B C P 6 1 1 1.1 64 A6, 1) B1, 1) 65 C A, 1) B, ) C 66 + 1 = 0 A1, 1) B, 0) P 67 A, ) B1, ) C4, 0) 1) ABC G ) A B C P 64 A 1, 1) B, ) AB AB = 1) + 1) A 1, 1) 1 B, ) 1 65 66 65 C0, k) 66 1 p, p) 1 1 A B AB A 67

More information

Microsoft Word - 0-オリエンテーション.doc

Microsoft Word - 0-オリエンテーション.doc 論理回路 (1 年前期金 3 限履修コード T513) 論理回路はコンピュータの算数 ( 数学ではない ) 身につけないと デジタルシステムを何ら理解することはできない 0. オリエンテーション授業中 質問はいつでも 大きな声ですること 0.1. 自己紹介川口博 (S430807 神戸市垂水区産 明石市育ち ) 明石高専電気工学科 修士 ( 千葉大学電子工学専攻 ) コナミ アーケードゲーム H/W

More information

18 5 10 1 1 1.1 1.1.1 P Q P Q, P, Q P Q P Q P Q, P, Q 2 1 1.1.2 P.Q T F Z R 0 1 x, y x + y x y x y = y x x (y z) = (x y) z x + y = y + x x + (y + z) = (x + y) + z P.Q V = {T, F } V P.Q P.Q T F T F 1.1.3

More information

院試例題

院試例題 情報数学 例 1 以下の問いに答えなさい (1) 二つの整数 (integer) 247 と 165 の最大公約数 (greatest common divisor) d を求めなさい (2) (1) で求めた d について247m + 165n = d となるような整数 m, n の組を一つ求めなさい (3) 以下の一次合同方程式 (congruence equation) を満たす整数 x (0

More information

vol.31_H1-H4.ai

vol.31_H1-H4.ai http://www.jmdp.or.jp/ http://www.donorsnet.jp/ CONTENTS 29 8,715 Vol. 31 2 3 ac ad bc bd ab cd 4 Point! Point! Point! 5 Point! Point! 6 7 314 611 122 4 125 2 72 2 102 3 2 260 312 0 3 14 3 14 18 14 60

More information

... 1... 2... 2... 3... 3... 4... 4... 5... 6 (a) 20... 6 (b) 10... 7 (c) 10... 8 (d) 24... 8 (e) 7... 9 (f) 7... 10... 10 (a) 12... 10 (b) 34... 11..

... 1... 2... 2... 3... 3... 4... 4... 5... 6 (a) 20... 6 (b) 10... 7 (c) 10... 8 (d) 24... 8 (e) 7... 9 (f) 7... 10... 10 (a) 12... 10 (b) 34... 11.. ... 1... 2... 2... 3... 3... 4... 4... 5... 6 (a) 20... 6 (b) 10... 7 (c) 10... 8 (d) 24... 8 (e) 7... 9 (f) 7... 10... 10 (a) 12... 10 (b) 34... 11... 13 (a) 7... 13 (b) 8... 14 (c) 19... 14... 16...

More information

2005

2005 20 30 8 3 190 60 A,B 67,2000 98 20 23,600 100 60 10 20 1 3 2 1 2 1 12 1 1 ( ) 340 20 20 30 50 50 ( ) 6 80 5 65 17 21 5 5 12 35 1 5 20 3 3,456,871 2,539,950 916,921 18 10 29 5 3 JC-V 2 ( ) 1 17 3 1 6

More information

6. Euler x

6. Euler x ...............................................................................3......................................... 4.4................................... 5.5......................................

More information

オートマトン 形式言語及び演習 1. 有限オートマトンとは 酒井正彦 形式言語 言語とは : 文字列の集合例 : 偶数個の 1 の後に 0 を持つ列からなる集合 {0, 110, 11110,

オートマトン 形式言語及び演習 1. 有限オートマトンとは 酒井正彦   形式言語 言語とは : 文字列の集合例 : 偶数個の 1 の後に 0 を持つ列からなる集合 {0, 110, 11110, オートマトン 形式言語及び演習 1 有限オートマトンとは 酒井正彦 wwwtrscssinagoya-uacjp/~sakai/lecture/automata/ 形式言語 言語とは : 文字列の集合例 : 偶数個の 1 の後に 0 を持つ列からなる集合 {0, 110, 11110, } 形式言語 : 数学モデルに基づいて定義された言語 認識機械 : 文字列が該当言語に属するか? 文字列 機械 受理

More information

1. 2 P 2 (x, y) 2 x y (0, 0) R 2 = {(x, y) x, y R} x, y R P = (x, y) O = (0, 0) OP ( ) OP x x, y y ( ) x v = y ( ) x 2 1 v = P = (x, y) y ( x y ) 2 (x

1. 2 P 2 (x, y) 2 x y (0, 0) R 2 = {(x, y) x, y R} x, y R P = (x, y) O = (0, 0) OP ( ) OP x x, y y ( ) x v = y ( ) x 2 1 v = P = (x, y) y ( x y ) 2 (x . P (, (0, 0 R {(,, R}, R P (, O (0, 0 OP OP, v v P (, ( (, (, { R, R} v (, (, (,, z 3 w z R 3,, z R z n R n.,..., n R n n w, t w ( z z Ke Words:. A P 3 0 B P 0 a. A P b B P 3. A π/90 B a + b c π/ 3. +

More information

2 2 1 (LOOKUP ) VLOOKUP(HLOOKUP) JR () 1 2 (S101.xlsx() 1 3 (1) C2) (2) (C3) (3) 2 (C4,C5) (4) (C6,C7) 1.1 (C6) C5 4 C6 C

2 2 1 (LOOKUP ) VLOOKUP(HLOOKUP) JR () 1 2 (S101.xlsx() 1 3 (1) C2) (2) (C3) (3) 2 (C4,C5) (4) (C6,C7) 1.1 (C6) C5 4 C6 C 2 1 2 (lookup ) (1) LOOKUP (2) (ROUNDUP,ABS (3) (4) (5) 2 2 1 (LOOKUP ) VLOOKUP(HLOOKUP) JR () 1 2 (S101.xlsx() 1 3 (1) C2) (2) (C3) (3) 2 (C4,C5) (4) (C6,C7) 1.1 (C6) C5 4 C6 C5 4 4 4 154 154 !"#$ % &'()*

More information

PSCHG000.PS

PSCHG000.PS a b c a ac bc ab bc a b c a c a b bc a b c a ac bc ab bc a b c a ac bc ab bc a b c a ac bc ab bc de df d d d d df d d d d d d d a a b c a b b a b c a b c b a a a a b a b a

More information

入試の軌跡

入試の軌跡 4 y O x 4 Typed by L A TEX ε ) ) ) 6 4 ) 4 75 ) http://kumamoto.s.xrea.com/plan/.. PDF) Ctrl +L) Ctrl +) Ctrl + Ctrl + ) ) Alt + ) Alt + ) ESC. http://kumamoto.s.xrea.com/nyusi/kumadai kiseki ri i.pdf

More information

1 6 2011 3 2011 3 7 1 2 1.1....................................... 2 1.2................................. 3 1.3............................................. 4 6 2.1................................................

More information

,2,4

,2,4 2005 12 2006 1,2,4 iii 1 Hilbert 14 1 1.............................................. 1 2............................................... 2 3............................................... 3 4.............................................

More information

さくらの個別指導 ( さくら教育研究所 ) A 2 P Q 3 R S T R S T P Q ( ) ( ) m n m n m n n n

さくらの個別指導 ( さくら教育研究所 ) A 2 P Q 3 R S T R S T P Q ( ) ( ) m n m n m n n n 1 1.1 1.1.1 A 2 P Q 3 R S T R S T P 80 50 60 Q 90 40 70 80 50 60 90 40 70 8 5 6 1 1 2 9 4 7 2 1 2 3 1 2 m n m n m n n n n 1.1 8 5 6 9 4 7 2 6 0 8 2 3 2 2 2 1 2 1 1.1 2 4 7 1 1 3 7 5 2 3 5 0 3 4 1 6 9 1

More information