mega88A.pdf

Size: px
Start display at page:

Download "mega88A.pdf"

Transcription

1 ATmega48A, ATmega48PA, ATmega88A, ATmega88PA, ATmega68A, ATmega68PA, ATmega328, ATmega328P 特徴 4/8/6/32Kハ イト実装書き込み可能フラッシュメモリ付き Atmel 8ヒ ットマイクロコントローラテ ータシート 高性能 低消費 Atmel AVR 8 ヒ ットマイクロコントローラ 進化した RISC 構造 強力な 29 命令 ( 多くは 周期実行 ) 32 個の ハ イト長汎用レシ スタ 完全なスタティック動作 2MHz 時 2MIPS に達する高速動作 2 周期乗算命令 高耐久不揮発性メモリ部 実装自己書き換え可能な 4K/8K/6K/32K ハ イト (2K/4K/8K/6K 語 ) フラッシュメモリ内蔵 256/52/52/K ハ イトの EEPROM 52/K/K/2K ハ イトの内蔵 SRAM 書き換え回数 :,/ フラッシュ,,/EEPROM テ ータ保持力 : 2 年 /85, 年 /25 個別施錠ヒ ットを持つ任意のフ ートコート 領域 チッフ 内フ ートフ ロク ラムによる実装書き換え 真の書き込み中の読み出し動作 ソフトウェア保護用の設定可能な施錠機能 Atmel QTouch ライフ ラリ支援 容量性接触の釦 滑動部 輪 QTouch と QMatrix の採取 64 までの感知チャネル 内蔵周辺機能 独立した前置分周器と比較機能付き 2 つの 8 ヒ ットタイマ / カウンタ 独立した前置分周器 比較 捕獲機能付き つの 6 ヒ ットタイマ / カウンタ 専用発振器と 8 ヒ ットタイマ / カウンタによる実時間計数器 (RTC) 6 つの PWM 出力 6 チャネル (PDIP,QFN/MLF28), 8 チャネル (TQFP,QFN/MLF32) の ヒ ット A/D 変換器 温度測定 設定可能な直列 USART 主装置 / 従装置動作 SPI 直列インターフェース ハ イト対応 2 線直列インターフェース (Philips I2C 互換 ) 設定可能な専用発振器付きウォッチト ック タイマ アナロク 比較器 ヒ ン変化での割り込みと起動復帰 特殊マイクロコントローラ機能 電源 ON リセット回路と設定可能な低電圧検出器 (BOD) 校正可能な内蔵 RC 発振器 外部及び内部の割り込み アイト ル A/D 変換雑音低減 ハ ワーセーフ ハ ワータ ウン スタンハ イ 拡張スタンハ イの 6 つの低消費動作 I/O と外囲器 23 ヒ ットの設定可能な I/O 28 ヒ ン PDIP 28 ハ ット QFN/MLF 32 リート TQFP 32 ハ ット QFN/MLF 動作温度 -4~85 動作電圧.8~5.5V 動作速度 ~4MHz/.8~5.5V ~MHz/2.7~5.5V ~2MHz/4.5~5.5V 代表消費電力 (MHz,.8V,25 ).2mA ( 活動動作 ).μa ( ハ ワータ ウン動作 ).75μA ( ハ ワーセーフ 動作,32kHz RTC を含む ) 本書は一般の方々の便宜のため有志により作成されたもので Atmel 社とは無関係であることを御承知ください しおりの [ はじめに ] での内容にご注意ください Rev. 827G-2/23, 827GJ2-/23

2 . ヒ ン配置 QFN/MLF28 目印 PD2 (INT/PCINT8) PD (TXD/PCINT7) PD (RXD/PCINT6) PC6 (RESET/PCINT4) PC5 (ADC5/SCL/PCINT3) PC4 (ADC4/SDA/PCINT2) PC3 (ADC3/PCINT) TQFP32 QFN/MLF32 目印 PD2 (INT/PCINT8) PD (TXD/PCINT7) PD (RXD/PCINT6) PC6 (RESET/PCINT4) PC5 (ADC5/SCL/PCINT3) PC4 (ADC4/SDA/PCINT2) PC3 (ADC3/PCINT) PC2 (ADC2/PCINT) (PCINT9/OC2B/INT) PD3 (PCINT2/XCK/T) PD4 VCC GND (PCINT6/XTAL/TOSC) PB6 (PCINT7/XTAL2/TOSC2) PB7 (PCINT2/OCB/T) PD ( 注 ) PC2 (ADC2/PCINT) PC (ADC/PCINT9) PC (ADC/PCINT8) GND AREF AVCC PB5 (SCK/PCINT5) (PCINT9/OC2B/INT) PD3 (PCINT2/XCK/T) PD4 GND VCC GND VCC (PCINT6/XTAL/TOSC) PB6 (PCINT7/XTAL2/TOSC2) PB ( 注 ) PC (ADC/PCINT9) PC (ADC/PCINT8) ADC7 GND AREF ADC6 AVCC PB5 (SCK/PCINT5) (PCINT22/OCA/AIN) PD6 (PCINT23/AIN) PD7 (PCINT/CLKO/ICP) PB (PCINT/OCA) PB (PCINT2/SS/OCB) PB2 (PCINT3/MOSI/OC2A) PB3 (PCINT4/MISO) PB4 注 : QFN/MLF の底面ハ ット は GND に半田付けされるべきです (PCINT2/OCB/T) PD5 (PCINT22/OCA/AIN) PD6 (PCINT23/AIN) PD7 (PCINT/CLKO/ICP) PB (PCINT/OCA) PB (PCINT2/SS/OCB) PB2 (PCINT3/MOSI/OC2A) PB3 (PCINT4/MISO) PB4 (PCINT4/RESET) PC6 (PCINT6/RXD) PD (PCINT7/TXD) PD (PCINT8/INT) PD2 (PCINT9/OC2B/INT) PD3 (PCINT2/XCK/T) PD4 VCC GND (PCINT6/XTAL/TOSC) PB6 (PCINT7/XTAL2/TOSC2) PB7 (PCINT2/OCB/T) PD5 (PCINT22/OCA/AIN) PD6 (PCINT23/AIN) PD7 (PCINT/CLKO/ICP) PB PDIP PC5 (ADC5/SCL/PCINT3) PC4 (ADC4/SDA/PCINT2) PC3 (ADC3/PCINT) PC2 (ADC2/PCINT) PC (ADC/PCINT9) PC (ADC/PCINT8) GND AREF AVCC PB5 (SCK/PCINT5) PB4 (MISO/PCINT4) PB3 (MOSI/OC2A/PCINT3) PB2 (SS/OCB/PCINT2) PB (OCA/PCINT) 表 -. 32UFBGAヒ ン配列 (ATmega328/328Pを除く) A PD2 PD PC6 PC4 PC2 PC B PD3 PD4 PD PC5 PC3 PC C GND GND ADC7 GND D VCC VCC AREF ADC6 E PB6 PD6 PB PB2 AVCC PB5 F PB7 PD5 PD7 PB PB3 PB4 ATmega48A/48PA/88A/88PA/68A/68PA/328/328P [ テ ータシート ] 2

3 .. ヒ ン概要... VCC..2. GND..3. PB7~PB ( ホ ートB) XTAL/XTAL2 TOSC/TOSC2..4. PC5~PC ( ホ ート C)..5. PC6/RESET..6. PD7~PD ( ホ ート D)..7. AVCC..8. AREF..9. ADC7,6 (TQFP,QFN/MLF32のみ) テ シ タル電源ヒ ン ク ラント ヒ ン ホ ートBは ( ヒ ット毎に選択される ) 内蔵フ ルアッフ 抵抗付きの8ヒ ットの双方向入出力ホ ートです ホ ートB 出力緩衝部は共に高い吐き出し / 吸い込み能力の対称駆動特性です 入力の時にフ ルアッフ 抵抗が有効の場合 外部的にLowへ引き込まれたホ ートBヒ ンにはソース電流が流れます リセット条件が有効になるとき クロックが動作していなくても ホ ートBヒ ンはHi-Zになります クロック選択ヒュース 設定に依存し PB6は発振器反転増幅器への入力や内部クロック操作回路の入力として使用されます クロック選択ヒュース 設定に依存し PB7は発振器反転増幅器からの出力として使用されます 校正付き内蔵 RC 発振器がチッフ ( システム ) クロック元として使用される場合 非同期状態レシ スタ (ASSR) の非同期動作 (AS2) ヒ ットが設定 () されると PB7,6は非同期タイマ / カウンタ2 用のTOSC2,ヒ ンとして使用されます ホ ートBの各特殊機能は48 頁の ホ ートBの交換機能 と7 頁の システムクロックとクロック選択 で詳しく述べられます ホ ートCは ( ヒ ット毎に選択される ) 内蔵フ ルアッフ 抵抗付きの7ヒ ットの双方向入出力ホ ートです ホ ートC 出力緩衝部は共に高い吐き出し / 吸い込み能力の対称駆動特性です 入力の時にフ ルアッフ 抵抗が有効の場合 外部的にLowへ引き込まれたホ ートCヒ ンにはソース電流が流れます リセット条件が有効になるとき クロックが動作していなくても ホ ートCヒ ンはHi-Zになります RSTDISBLヒュース がフ ロク ラム () されると PC6はI/Oヒ ンとして使用されます PC6の電気的特性がホ ートCの他のヒ ンのそれらと異なることに注意してください RSTDISBLヒュース が非フ ロク ラム () の場合 PC6はリセット入力として使用されます クロックが動作していなくても 最小ハ ルス幅より長いこのヒ ンのLowレヘ ルはリセットを生成します 最小ハ ルス幅は97 頁の表 で与えられます より短いハ ルスはリセットの生成が保証されません ホ ートCの各特殊機能は5 頁の ホ ートCの交換機能 で詳しく述べられます ホ ートDは ( ヒ ット毎に選択される ) 内蔵フ ルアッフ 抵抗付きの8ヒ ットの双方向入出力ホ ートです ホ ートD 出力緩衝部は共に高い吐き出し / 吸い込み能力の対称駆動特性です 入力の時にフ ルアッフ 抵抗が有効の場合 外部的にLowへ引き込まれたホ ートDヒ ンにはソース電流が流れます リセット条件が有効になるとき クロックが動作していなくても ホ ートDヒ ンはHi-Zになります ホ ートDの各特殊機能は53 頁の ホ ートDの交換機能 で詳しく述べられます AVCCはADC7,6 ホ ートC(3~) とA/D 変換器用供給電圧 ( 電源 ) ヒ ンです 例えA/D 変換が使用されなくても 外部的にVCCへ接続されるべきです A/D 変換が使用される場合 VCCから低域通過濾波器を通して接続されるべきです ホ ートC(5,4) がテ シ タル供給電圧 ( 電源 :VCC) を使用することに注意してください AREFはA/D 変換器用アナロク 基準 ( 電圧 ) ヒ ンです TQFPとQFN/MLF32 外囲器でのADC7,ADC6はA/D 変換器のアナロク 入力として取り扱います これらのヒ ンはアナロク 供給電源から電力供給され ヒ ットA/Dチャネルとして扱われます ATmega48A/48PA/88A/88PA/68A/68PA/328/328P [ テ ータシート ] 3

4 2. 概要 ATmega48A/48PA/88A/88PA/68A/68PA/328/328P は AVR RISC 構造の低消費 CMOS 8 ヒ ットマイクロコントローラです 周期で実行する強力な命令は MHz 当たり MIPS にも達し 実行速度対電力消費の最適化が容易に行えます 2.. 構成図 図 2-. 構成図 GND VCC ウォッチト ック タイマ ウォッチト ック 用内蔵 RC 発振器 電源監視 POR/BOD リセット テ ハ ック WIRE フ ロク ラミンク 回路 発振回路クロック発生器 フ ロク ラム用フラッシュメモリ SRAM EEPROM AVR CPU コア タイマ / カウンタ (8 ヒ ット ) 8bit DATA BUS タイマ / カウンタ (6 ヒ ット ) A/D 変換器 AVCC AREF GND タイマ / カウンタ 2 (8 ヒ ット ) アナロク 比較器 内部基準電圧 USART SPI 2 線直列インターフェース ホ ート D (8) ホ ート B (8) ホ ート C (7) PD~PD7 PB~PB7 RESET XTAL,XTAL2 PC~PC6 ADC6,7 (32P 外囲器のみ ) AVRは32 個の汎用レシ スタと豊富な命令群を兼ね備えています 32 個の全レシ スタはALU(Arithmetic Logic Unit) に直結され レシ スタ間命令はクロック周期で実行されます AVR 構造は現状のCISC 型マイクロコントローラに対し 最大 倍の単位処理量向上効果があります ATmega48A/48PA/88A/88PA/68A/68PA/328/328Pは書き込み中読み出し可能な能力を持つ4K/8K/6K/32Kハ イトの実装書き換え可能なフラッシュメモリと256/52/52/Kハ イトのEEPROM 52/K/K/2Kハ イトのSRAM 23 本の汎用入出力線 32 個の汎用レシ スタ 比較動作も含む柔軟な3つのタイマ / カウンタ 内部及び外部割り込み 設定変更可能な直列 USART ハ イト志向の2 線直列インターフェース SPI 直列ホ ート 8(32ヒ ン外囲器 ), 6(28ヒ ン外囲器 ) チャネルのヒ ット A/D 変換器 設定変更可能な内部発振器付きウォッチト ック タイマ ソフトウェアで選択できる5つの低消費動作機能を提供します アイト ル動作では動作を停止しますが SRAM タイマ/ カウンタ SPIホ ート 割り込み機能は有効で動作を継続します ハ ワータ ウン動作ではレシ スタの内容は保護されますが 発振器が停止するため 以降のハート ウェアリセットか外部割り込みまで他の全機能を禁止 ( 無効に ) します ハ ワーセーフ 動作では非同期タイマ用発振器が動作を継続し テ ハ イスのその他が停止中であっても基準タイマの継続が許されます A/D 変換雑音低減動作ではA/D 変換中の切り替え雑音を最小とするために 非同期タイマとA/D 変換器を除く周辺機能とCPUが停止します スタンハ イ動作ではクリスタル発振子 / セラミック振動子用発振器が動作し 一方テ ハ イスのその他は休止します これは低消費電力と非常に速い起動の組み合わせを許します ATmega48A/48PA/88A/88PA/68A/68PA/328/328P [ テ ータシート ] 4

5 Atmel はAVR マイクロコントローラに容量性接触釦 滑動器 輪の機能を組み込むためのQTouch ライフ ラリを提供します 特許権を持つ充電転移信号採取は強力な感知を提供し 接触キーの完全な反発運動報告を含み そしてキー事象の明白な検出のための隣接キー抑制 (AKS ) 技術を含みます 簡単に使えるQTouch Suiteツールチェーンはあなた自身の接触応用に対して調査 開発 そしてテ ハ ック を許します 本テ ハ イスはAtmelの高密度不揮発性メモリ技術を使用して製造されています 内蔵の実装書き換え (ISP) 可能なフ ロク ラム用フラッシュメモリは規定の不揮発性メモリ書き込み器 SPI 直列インターフェース経由 AVRコア上フ ートフ ロク ラムの実行によって再書き込みができます フ ートフ ロク ラムは応用領域フラッシュメモリ内の応用フ ロク ラムの読み込みにどのインターフェースでも使用できます フ ート領域フラッシュメモリ内のソフトウェアは真の 書き込み中の読み出し可 動作により 応用領域フラッシュメモリ更新中も実行を継続します モノリシックチッフ 上の自己実装書き換え可能なフラッシュメモリと 8ヒ ットRISC 型 CPUの組み合わせによるAtmel ATmega48A/48PA/88A/88PA/68A/68PA/328/ 328Pは多くの組み込み制御の応用に対して高度な柔軟性と対費用効果をもたらす強力なマイクロコントローラです ATmega48A/48PA/88A/88PA/68A/68PA/328/328P AVRはCコンハ イラ マクロアセンフ ラ テ ハ ッカ シミュレータ インサーキットエミューレータ 評価キットを含む専用のフ ロク ラム及びシステム開発ツールで支援されます 2.2. フ ロセッサ間の違い ATmega48A/48PA/88A/88PA/68A/68PA/328/328Pはメモリ容量 フ ートロータ 支援機能 割り込みヘ クタの大きさだけが異なります 表 2-. はこれらのテ ハ イスについてメモリ容量と割り込みヘ クタの大きさの違いを要約します 表 2-. メモリ容量対比表 テ ハ イス名 フラッシュメモリ EEPROM SRAM 割り込みヘ クタの大きさ ATmega48A/48PA 4Kハ イト 256ハ イト 52ハ イト 命令語 / ヘ クタ ATmega88A/88PA 8Kハ イト 52ハ イト Kハ イト 命令語 / ヘ クタ ATmega68A/68PA 6Kハ イト 52ハ イト Kハ イト 2 命令語 / ヘ クタ ATmega328/328P 32Kハ イト Kハ イト 2Kハ イト 2 命令語 / ヘ クタ ATmega88A/88PA/68A/68PA/328/328P は真の 書き込み中の読み出し可 自己フ ロク ラミンク 機構を支援します これは独立したフ ートロータ 領域で SPM 命令はその領域からだけ実行できます ATmega48A/48PA では 書き込み中の読み出し可 動作は支援されず 独立したフ ートロータ 領域もありません SPM 命令はフラッシュメモリ全体で実行できます 3. 資料 包括的なテ ータシート 応用記述 開発ツール群は でのタ ウンロート で利用可能です 4. テ ータ保持力 信頼性証明結果はテ ータ保持誤り率の反映を示し 2 年以上 /85 または 年以上 /25 で PPM よりずっと小さな値です 5. コート 例について この資料はテ ハ イスの様々な部分の使用法を手短に示す簡単なコート 例を含みます これらのコート 例はアセンフ ルまたはコンハ イルに先立ってテ ハ イス定義ヘッタ ファイルがインクルート されると仮定します 全てのCコンハ イラ製造業者がヘッタ ファイル内にヒ ット定義を含めるとは限らず またCでの割り込みの扱いがコンハ イラに依存することに注意してください より多くの詳細についてはCコンハ イラの資料で確認してください これらのコート 例はアセンフ ルまたはコンハ イルに先立ってテ ハ イス定義ファイルがインクルート されることが前提です 拡張 I/O 領域に配置した I/Oレシ スタに対し IN, OUT, SBIS, SBIC, CBI, SBI 命令は拡張 I/O 領域へのアクセスを許す命令に置き換えられなければなりません 代表的にはSBRS, SBRC, SBR, CBR 命令と組み合わせたLDS, STS 命令です 6. 容量性接触感知 AtmelのQTouchライフ ラリはAtmelのAVRマイクロコントローラ上の接触感知インターフェース用の解決策を使用するための単一物を提供します QTouchライフ ラリはQTouch とQMatrix 採取法用の支援を含みます 接触感知はQTouchライフ ラリをリンクすることによってどの応用にも容易に追加されます これは接触チャネルと感知器を定義するために簡単なAPIの組を用いて行われ そしてチャネル情報を取得して接触感知器の状態を決めるためにAPIを呼び出します QTouchライフ ラリは無料で以下の場所のAtmelのウェフ サイトからタ ウンロート することができます より多くの情報と実装の詳細についてはAtmelのウェフ サイトからも入手可能なQTouchライフ ラリ使用者の手引きを参照してください ATmega48A/48PA/88A/88PA/68A/68PA/328/328P [ テ ータシート ] 5

6 7. AVR CPU コア 7.. 概要 ここでは一般的な AVR コア構造について説明します この CPU コアの主な機能は正しいフ ロク ラム実行を保証することです 従って CPU はメモリアクセス 計算実行 周辺制御 割り込み操作ができなければなりません 最大効率と平行処理のため AVRはフ ロク ラムとテ ータに対してメモリとハ スを分離するハーハ ート 構造を使用します フ ロク ラムメモリ内の命令は単一段のハ イフ ラインで実行されます 命令の実行中に次の命令がフ ロク ラムメモリから事前取得されます この概念は全部のクロック周期で命令実行を可能にします フ ロク ラムメモリは実装書き換え可能なフラッシュメモリです 高速レシ スタファイルはクロック周期アクセスの32 個の8ヒ ット長汎用レシ スタを含みます これはクロック周期 ALU(Arithmetic Logic Unit) 操作を許します 代表的なALU 操作では2つのオヘ ラント がレシ スタファイルからの出力で クロック周期内でその操作が実行され その結果がレシ スタファイルに書き戻されます 32 個中の6つのレシ スタは効率的なアト レス計算ができるテ ータ空間アト レス指定用に3つの6ヒ ット長間接アト レスホ インタ用レシ スタとして使用されます これらアト レスホ インタのつはフ ロク ラム用フラッシュメモリ内の定数表参照用アト レスホ インタとしても使用できます これら6ヒ ット長付加機能レシ スタはX,Y,Zレシ スタで 本項内で後述されます ALUはレシ スタ間またはレシ スタと定数間の算術及び論理操作を支援します 単一レシ スタ操作もALUで実行できます 算術演算操作後 操作結果についての情報を反映するためにステータスレシ スタ (SREG) が更新されます 図 7-. AVR MCU 構造 フ ロク ラムカウンタ フ ロク ラム用フラッシュメモリ 命令レシ スタ 命令復号器 制御信号線 8-bit Data Bus 状態 / 制御 32 8 汎用レシ スタ 割り込み部 フ ロク ラムの流れは条件 / 無条件分岐や呼び出し命令によって提供され 全アト レス空間を直接アト レス指定できます AVR 命令の多くは 6ヒ ット語 ( ワート ) 形式です 全てのフ ロク ラムメモリのアト レスは ( 訳注 : 定数のみを除き )6または32ヒ ット長命令を含みます フ ロク ラム用フラッシュメモリ空間はフ ートフ ロク ラム領域と応用フ ロク ラム領域の2つに分けられます どちらの領域にも書き込み禁止や読み書き防止用の専用施錠ヒ ットがあります 応用フラッシュメモリ領域内に書き込むSPM 命令はフ ートフ ロク ラム領域内に属さ ( 存在し ) なければなりません 割り込みやサフ ルーチン呼び出し中 戻りアト レスを示すフ ロク ラムカウンタ (PC) はスタックに保存されます スタックは一般的なテ ータ用 SRAM 上に実際には割り当てられ 従ってスタック容量は全 SRAM 容量とSRAM 使用量でのみ制限されます 全ての使用者フ ロク ラムはリセット処理ルーチンで ( サフ ルーチン呼び出しや割り込みが実行される前に ) スタックホ インタ (SP) を初期化しなければなりません SPはI/O 空間で読み書きアクセスが可能です テ ータ用 SRAMはAVR 構造で支援される5つの異なるアト レス指定種別を通して容易にアクセスできます AVR 構造に於けるメモリ空間は全て直線的な普通のメモリ配置です 柔軟な割り込み部にはI/O 空間の各制御レシ スタとステータスレシ スタ (SREG) の特別な全割り込み許可 (I) ヒ ットがあります 全ての割り込みは割り込みヘ クタ表に個別の割り込みヘ クタを持ちます 割り込みには割り込みヘ クタ表の位置に従う優先順があります 下位側割り込みヘ クタアト レスが高い優先順位です I/Oメモリ空間は制御レシ スタ SPI 他のI/O 機能としてCPU 周辺機能用の64アト レスを含みます I/Oメモリは直接またはレシ スタファイルの次のテ ータ空間位置 $2~$5Fとしてアクセスできます 加えてATmega48A/48PA/88A/88PA/68A/68PA/328/328PにはST/STS/STDと LD/LDS/LDD 命令だけ使用できるSRAM 内の $6~$FFに拡張 I/O 空間があります 7.2. ALU (Arithmetic Logic Unit) 高性能なAVRのALUは32 個の全汎用レシ スタとの直結で動作します 汎用レシ スタ間または汎用レシ スタと即値間の演算操作は単一クロック周期内で実行されます ALU 操作は算術演算 論理演算 ヒ ット操作の3つの主な種類に大別されます 符号付きと符号なし両方の乗算と固定小数点形式を支援する乗算器 ( 乗算命令 ) も提供する構造の実装 ( 製品 ) もあります 詳細記述については 命令要約 項をご覧ください 間接(Indirect) アドレス指定直接(Direct) アドレス指定ALU テ ータ用 SRAM EEPROM 汎用入出力部 SPI 部 ウォッチト ック タイマ アナロク 比較器 周辺機能部 周辺機能部 2 ~ 周辺機能部 n ATmega48A/48PA/88A/88PA/68A/68PA/328/328P [ テ ータシート ] 6

7 7.3. ステータスレシ スタ ステータスレシ スタは最も直前に実行した演算命令の結果についての情報を含みます この情報は条件処理を行うためのフ ロク ラムの流れ変更に使用できます ステータスレシ スタは 命令セット参考書 で詳述したように 全てのALU 操作後 更新されることに注目してください これは多くの場合でそれ用の比較命令使用の必要をなくし 高速でより少ないコート に帰着します ステータスレシ スタは割り込み処理ルーチン移行時の保存と割り込みからの復帰時の回復 ( 復帰 ) が自動的に行われません これはソフトウェアによって扱われなければなりません SREG - ステータスレシ スタ (Status Register) AVRのステータスレシ スタ (SREG) は次のように定義されます ヒ ット $3F ($5F) I T H S V N Z C Read/Write 初期値 SREG ヒ ット7 - I : 全割り込み許可 (Global Interrupt Enable) 全割り込み許可ヒ ットは割り込みが許可されるために設定 () されなければなりません その時に個別割り込み許可制御は独立した制御レシ スタで行われます 全割り込み許可ヒ ットが解除 () されると 個別割り込み許可設定に拘らず どの割り込みも許可されません I ヒ ットは割り込みが起こった後にハート ウェアによって解除 () され 後続の割り込みを許可するために RETI 命令によって設定 () されます Iヒ ットは 命令一式参考書 で記述されるようにSEIやCLI 命令で応用 ( フ ロク ラム ) によって設定 () や解除 () もできます ヒ ット6 - T : ヒ ット変数 (Bit Copy Storage) ヒ ット複写命令 BLD(Bit LoaD) とBST(Bit STore) は操作したヒ ットの転送元または転送先として このTヒ ットを使用します レシ スタファイルのレシ スタからのヒ ットはBST 命令によってTに複写でき Tのヒ ットはBLD 命令によってレシ スタファイルのレシ スタ内のヒ ットに複写できます ヒ ット5 - H : ハーフキャリーフラク (Half Carry Flag) ハーフキャリー (H) フラク はいくつかの算術操作でのハーフキャリーを示します ハーフキャリーはBCD 演算に有用です 詳細情報については 命令要約 記述をご覧ください ヒ ット4 - S : 符号 (Sign Bit, S= N Ex-OR V) Sフラク は常に負 (N) フラク と2の補数溢れ (V) フラク の排他的論理和です 詳細情報については 命令要約 記述をご覧ください ヒ ット3 - V : 2の補数溢れフラク (2's Complement Overflow Flag) 2の補数溢れ (V) フラク は2の補数算術演算を支援します 詳細情報については 命令要約 記述をご覧ください ヒ ット2 - N : 負フラク (Negative Flag) 負 (N) フラク は算術及び論理操作での負の結果 (MSB=) を示します 詳細情報については 命令要約 記述をご覧ください ヒ ット - Z : セ ロフラク (Zero Flag) セ ロ (Z) フラク は算術及び論理操作でのセ ロ () の結果を示します 詳細情報については 命令要約 記述をご覧ください ヒ ット - C : キャリーフラク (Carry Flag) キャリー (C) フラク は算術及び論理操作でのキャリー ( またはホ ロー ) を示します 詳細情報については 命令要約 記述をご覧ください ATmega48A/48PA/88A/88PA/68A/68PA/328/328P [ テ ータシート ] 7

8 7.4. 汎用レシ スタファイル このレシ スタファイルはAVRの増強したRISC 命令群用に最適化されています 必要な効率と柔軟性を達成するために 次の入出力機構がレシ スタファイルによって支援されます つの8ヒ ット出力オヘ ラント とつの8ヒ ットの結果入力 2つの8ヒ ット出力オヘ ラント とつの8ヒ ットの結果入力 2つの8ヒ ット出力オヘ ラント とつの6ヒ ットの結果入力 つの6ヒ ット出力オヘ ラント とつの6ヒ ットの結果入力図 7-2. はCPU 内の32 個の汎用作業レシ スタの構造を示します レシ スタファイルを操作する殆どの命令は全てのレシ スタに直接アクセスし それらの殆どは単一周期命令です 図 7-2. で示されるように各レシ スタは使用者テ ータ空間の最初の32 位置へ直接配置することで それらはテ ータメモリアト レスも割り当てられます 例え物理的にSRAM 位置として実装されていなくても X,Y,Zレシ スタ ( ホ インタ ) がレシ スタファイル内のどのレシ スタの指示にも設定できるように このメモリ構成は非常に柔軟なレシ スタのアクセスを提供します 図 7-2. AVR CPU 汎用レシ スタ構成図 7 アト レス R $ R $ R2 $2 汎用レシ スタファイル ~ R3 R4 R5 R6 R7 ~ R26 R27 R28 R29 R3 R3 $D $E $F $ $ $A $B $C $D $E $F X レシ スタ Y レシ スタ Z レシ スタ 下位ハ イト上位ハ イト下位ハ イト上位ハ イト下位ハ イト上位ハ イト Xレシ スタ, Yレシ スタ, Zレシ スタ R26~R3レシ スタには通常用途の使用にいくつかの追加機能があります これらのレシ スタはテ ータ空間の間接アト レス指定用の6ヒ ットアト レスホ インタです 3つのX,Y,Z 間接アト レスレシ スタは図 7-3. で記載したように定義されます 種々のアト レス指定種別で これらのアト レスレシ スタは固定変位 自動増加 自動減少としての機能を持ちます ( 詳細については 命令セット参考書 をご覧ください ) 図 7-3. X,Y,Zレシ スタ構成図 5 XH ( 上位 ) XL ( 下位 ) X レシ スタ 7 R27 ($B) 7 R26 ($A) 5 YH ( 上位 ) YL ( 下位 ) Y レシ スタ 7 R29 ($D) 7 R28 ($C) 5 ZH ( 上位 ) ZL ( 下位 ) Z レシ スタ 7 R3 ($F) 7 R3 ($E) 7.5. スタックホ インタ スタックは主に一時テ ータの保存 局所変数の保存 割り込みとサフ ルーチン呼び出し後の戻りアト レスの保存に使用されます スタックが高位 メモリから低位メモリへ伸長するように実行されることに注意してください スタックホ インタレシ スタは常にこのスタックの先頭 ( 訳注 : 次に使用さ れるべき位置 ) を指し示します スタックホ インタはサフ ルーチンや割り込みのスタックが配置されるテ ータSRAMのスタック領域を指し示します ス タックPUSH 命令はスタックホ インタを減らします テ ータSRAM 内のスタック空間はサフ ルーチン呼び出しの実行や割り込みの許可の何れにも先立ってフ ロク ラムによって定義されなければな りません 初期スタックホ インタ値は内部 SRAMの最終アト レスに等しく スタックホ インタはSRAMの先頭以上に設定されなければなりません 頁の図 8-3. をご覧ください スタックホ インタの詳細については表 7-. をご覧ください 表 7-. スタックホ インタ命令 命令 スタックホ インタ 内容 PUSH - テ ータがスタック上に押し込まれます CALL,ICALL,RCALL -2 サフ ルーチン呼び出しまたは割り込みでの戻りアト レスがスタック上に押し込まれます POP + テ ータがスタックから引き出されます RET,RETI +2 サフ ルーチンまたは割り込みからの復帰での戻りアト レスがスタックから引き出されます AVR のスタックホ インタは I/O 空間内の 2 つの 8 ヒ ットレシ スタとして実装されます 実際に使用されるヒ ット数は ( そのテ ハ イス ) 実装に依存します SPL だけが必要とされる程に小さい AVR 構造の実装 ( テ ハ イス ) のテ ータ空間もあることに注意してください その場合 SPH レシ スタは存在しません ATmega48A/48PA/88A/88PA/68A/68PA/328/328P [ テ ータシート ] 8

9 7.5.. SPH,SPL (SP) - スタックホ インタ (Stack Pointer) ヒ ット $3E ($5E) Read/Write 初期値ヒ ット $3D ($5D) Read/Write 初期値 (SP) (SP) SP9 SP8 R RAMEND R RAMEND R RAMEND R RAMEND RAMEND RAMEND RAMEND RAMEND SP7 SP6 SP5 SP4 SP3 SP2 SP SP RAMEND RAMEND RAMEND RAMEND RAMEND RAMEND RAMEND RAMEND SPH SPL ( 訳補 ) 内蔵 SRAM は ATmega48A/48PA が 52 ハ イト ($~$2FF) ATmega88A/88PA/68A/68PA が K ハ イト ($~$4FF) ATmega328/328P が 2K ハ イト ($~$8FF) です 従って ATmega48A/48PA では SPH の SP, ATmega88A/88PA/68A/ 68PA では SP が利用できません RAMEND は ATmega48A/48PA が $2FF( ) ATmega88A/88PA/6 8A/68PA が $4FF( ) ATmega328/328P が $8FF( ) です 7.6. 命令実行タイミンク 本項は命令実行の一般的なアクセスタイミンク の概念を記述します AVR CPUはチッフ ( テ ハ イス ) 用に選択したクロック元から直接的に生成したCPUクロック (clkcpu) によって駆動されます 内部クロック分周は使用されません 図 7-4. はハーハ ート 構造と高速アクセスレシ スタファイルの概念によって可能とされる並列の命令取得と命令実行を示します これは機能対費用 機能対クロック 機能対電源部に関する好結果と対応するMHzあたりMIPS を達成するための基本的なハ イフ ラインの概念です 図 7-5. はレシ スタファイルに対する内部タイミンク の概念を示します 単一クロック周期で2つのレシ スタオヘ ラント を使用するALU 操作が実行され その結果が転送先レシ スタへ書き戻されます 図 7-4. 命令の取得と実行の並列動作 CPUクロック clkcpu 初回命令取得初回命令実行 / 第 2 命令取得第 2 命令実行 / 第 3 命令取得第 3 命令実行 / 第 4 命令取得図 7-5. 周期 ALU 命令 CPUクロック clkcpu 総合実行時間レシ スタオヘ ラント 取得 ALU 演算実行結果書き戻し T T2 T3 T4 T T2 T3 T リセットと割り込みの扱い AVRは多くの異なる割り込み元を提供します これらの割り込みと独立したリセットヘ クタ各々はフ ロク ラムメモリ空間内に独立したフ ロク ラムヘ クタを持ちます 全ての割り込みは割り込みを許可するために ステータスレシ スタ (SREG) の全割り込み許可 (I) ヒ ットと共に論理 が書かれなければならない個別の許可ヒ ットを割り当てられます BLB2またはBLB2 フ ート施錠ヒ ットがフ ロク ラム () されると フ ロク ラムカウンタ値によっては割り込みが自動的に禁止されるかもしれません この特質はソフトウェア保護を改善します 詳細については8 頁の メモリフ ロク ラミンク 項をご覧ください 既定でのフ ロク ラムメモリ空間の最下位アト レスはリセットと割り込みヘ クタとして定義されます ヘ クタの完全な一覧は34 頁の 割り込み で示されます この一覧は各種割り込みの優先順位も決めます 下位側アト レスがより高い優先順位です リセットが最高優先順位で次が外部割り込み要求 (INT) です 割り込みヘ クタはMCU 制御レシ スタ (MCUCR) の割り込みヘ クタ選択 (IVSEL) ヒ ットの設定 () によってフ ートフラッシュ領域先頭へ移動できます より多くの情報については34 頁の 割り込み を参照してください リセットヘ クタもBOOTRSTヒュース のフ ロク ラム () によってフ ートフラッシュ領域先頭へ移動できます 7 頁の フ ートロータ ( 書き込み中読み出し可能な自己フ ロク ラミンク ) をご覧ください [ 次頁へ続く ] ATmega48A/48PA/88A/88PA/68A/68PA/328/328P [ テ ータシート ] 9

10 割り込みが起こると全割り込み許可 (I) ヒ ットが解除 () され 全ての割り込みは禁止されます 使用者ソフトウェアは多重割り込みを許可するため 全割り込み許可 (I) ヒ ットへ論理 を書けます その後全ての許可した割り込みが現在の割り込みルーチンで割り込めます 全割り込み許可 (I) ヒ ットは割り込みからの復帰 (RETI) 命令が実行されると 自動的に設定 () されます 根本的に2つの割り込み形式があります つ目の形式は割り込み要求フラク を設定 (I) する事象によって起動されます これらの割り込みでは割り込み処理ルーチンを実行するために フ ロク ラムカウンタは対応する現実の割り込みヘ クタを指示し ハート ウェアが対応する割り込み要求フラク を解除 () します 割り込み要求フラク は解除 () されるべきフラク のヒ ット位置へ論理 を書くことによっても解除 () できます 対応する割り込み許可ヒ ットが解除 () されている間に割り込み条件が起こると 割り込み要求フラク が設定 () され 割り込みが許可されるか またはこのフラク がソフトウェアによって解除 () されるまで記憶 ( 保持 ) されます 同様に 全割り込み許可 (I) ヒ ットが解除 () されている間につまたはより多くの割り込み条件が起こると 対応する割り込み要求フラク が設定 () されて全割り込み許可 (I) ヒ ットが設定 () されるまで記憶され その (I=) 後で優先順に従って実行されます 2つ目の割り込み形式は割り込み条件が存在する限り起動し ( 続け ) ます これらの割り込みは必ずしも割り込み要求フラク を持っているとは限りません 割り込みが許可される前に割り込み条件が消滅すると この割り込みは起動されません AVRが割り込みから抜け出すと常に主フ ロク ラムへ戻り 何れかの保留割り込みが扱われる前につ以上の命令を実行します ステータスレシ スタ (SREG) は割り込みルーチンへ移行時の保存も 復帰時の再設定も自動的に行われないことに注意してください これはソフトウェアによって扱われなければなりません 割り込みを禁止するためにCLI 命令を使用すると 割り込みは直ちに禁止されます CLI 命令と同時に割り込みが起こっても CLI 命令後に割り込みは実行されません 次例は時間制限 EEPROM 書き込み手順中に割り込みを無効とするために これがどう使用できるかを示します アセンフ リ言語フ ロク ラム例 IN R6,SREG ; ステータスレシ スタを保存 CLI ;EEPROM 書き込み手順中割り込み禁止 SBI EECR,EEMPE ;EEPROM 主書き込み許可 SBI EECR,EEPE ;EEPROM 書き込み開始 OUT SREG,R6 ; ステータスレシ スタを復帰 C 言語フ ロク ラム例 char csreg; /* ステータスレシ スタ保存変数定義 */ csreg = SREG; /* ステータスレシ スタを保存 */ disable_interrupt(); /* EEPROM 書き込み手順中割り込み禁止 */ EECR = (<<EEMPE); /* EEPROM 主書き込み許可 */ EECR = (<<EEPE); /* EEPROM 書き込み開始 */ SREG = csreg: /* ステータスレシ スタを復帰 */ 割り込みを許可するために SEI 命令を使用すると 次例で示されるようにどの保留割り込みにも先立って SEI 命令の次の命令が実行されます アセンフ リ言語フ ロク ラム例 SEI ; 全割り込み許可 SLEEP ; 休止形態移行 ( 割り込み待ち ) C 言語フ ロク ラム例 enable_interrupt(); /* 全割り込み許可 */ sleep(); /* 休止形態移行 ( 割り込み待ち ) */ 注 : SLEEP 命令までは割り込み禁止 保留割り込み実行前に休止形態へ移行します 割り込み応答時間許可した全てのAVR 割り込みに対する割り込み実行応答は最小 4クロック周期です 4クロック周期後 実際の割り込み処理ルーチンに対するフ ロク ラムヘ クタアト レスが実行されます この4クロック周期時間中にフ ロク ラムカウンタ (PC) がスタック上に保存 ( フ ッシュ ) されます このヘ クタは標準的に割り込み処理ルーチンへの無条件分岐で この分岐は3( 訳補 : これはJMP 命令 =3を想定 RJMP 命令の場合は2) クロック周期要します 複数周期命令実行中に割り込みが起こると その割り込みが扱われる前に この命令が完了されます MCUが休止形態の時に割り込みが起こると 割り込み実行応答時間は4クロック周期増やされます この増加は選択した休止形態からの起動時間に加えてです 割り込み処理ルーチンからの復帰は4クロック周期要します これらの4クロック周期中 フ ロク ラムカウンタ (PC:2ハ イト) がスタックから取り戻され ( ホ ッフ ) スタックホ インタは増加され (+2) ステータスレシ スタ (SREG) の全割り込み許可 (I) ヒ ットが設定 () されます ATmega48A/48PA/88A/88PA/68A/68PA/328/328P [ テ ータシート ]

11 8. AVR のメモリ 8.. 概要本項は ATmega48A/48PA/88A/88PA/68A/68PA/328/328P の各種メモリを記述します AVR 構造にはフ ロク ラムメモリ空間とテ ータメモリ空間の 2 つの主なメモリ空間を持ちます 加えて これらのテ ハ イスはテ ータ保存用 EEPROM メモリが特徴です 3 つのメモリ空間全ては一般的な直線的アト レスです 8.2. 実装書き換え可能なフ ロク ラム用フラッシュメモリこれらのテ ハ イスはフ ロク ラム保存用に実装書き換え可能な4 K/8K/6K/32Kハ イトのフラッシュメモリをチッフ 上に含みます 全てのAVR 命令が6または32ヒ ット幅のため フラッシュメモリは2K/4K/8K/6K 6ヒ ットとして構成されます ソフトウェア保護のため フラッシュフ ロク ラムメモリ空間はATmega88A/88 PA/68A/68PA/328/328Pに於いてフ ートフ ロク ラム領域と応用フ ロク ラム領域の2つに分けられます より多くの詳細については8 頁の SPM 命令制御 / 状態レシ スタ 節のSPM 操作許可 (SPMEN) の記述をご覧ください 図 8-. ATmega48A/ 48PAフ ロク ラムメモリ配置図 $ 応用フ ロク ラム用フラッシュメモリ フラッシュメモリは最低, 回の消去 / 書き込み回数耐久性があります ATmega48A/48PA/88A/88PA/68A/68PA/328/328Pのフ ロク ラムカウンタ (PC) は/2/3/4ヒ ット幅で 従って2K/4K/8K/6Kフ ロク ラムメモリ位置のアト レス指定です フ ートフ ロク ラム領域の操作と関係するソフトウェア保護用フ ート施錠ヒ ットは66 頁の 自己フ ロク ラミンク - ATmega48A/48PA と7 頁の フ ートロータ ( 書き込み中読み出し可能な自己フ ロク ラミンク ) で詳細に記述されます 8 頁の メモリフ ロク ラミンク はSPIまたは並列フ ロク ラミンク 動作でのフラッシュメモリフ ロク ラミンク の詳細な記述を含みます 定数表は全てのフ ロク ラムメモリアト レス空間に配置できます (LPM 命令記述参照 ) 命令の取得と実行のタイミンク 図は9 頁の 命令実行タイミンク で示されます 8.3. テ ータ用 SRAM メモリ図 8-3. はATmega48A/48PA/88A/88PA/68A/68PA/328/32 8PのSRAMメモリ構成方法を示します ATmega48A/48PA/88A/88PA/68A/68PA/328/328PはIN やOUT 命令で予約した64 位置で支援されるよりも多くの周辺機能部を持つ複合マイクロコントローラです SRAM( テ ータ空間 ) 内 $6~$FFの拡張 I/O 空間に対してはLD/LDS/LDDとST/STS /STD 命令だけが使用できます 下位 768/28/28/234テ ータメモリ位置はレシ スタファイル I/O メモリ 拡張 I/Oメモリ テ ータ用内蔵 SRAMに充てます 先頭の32 位置はレシ スタファイル 次の64 位置は標準 I/Oメモリ その次の 6 位置は拡張 I/Oメモリ そして次の52/24/24/248 位置はテ ータ用内蔵 SRAMに充てます $7FF 図 8-3. テ ータメモリ配置図 レシ スタファイル (32 8) I/Oレシ スタ (64 8) 拡張 I/Oレシ スタ (6 8) 内蔵 SRAM (52/K/K/2K 8) 図 8-2. ATmega88A/88PA/68A/ 68PA/328/328Pフ ロク ラムメモリ配置図 $ R ~ R3 $ ~ $3F $6 ~ $FF $ ~ $xff 応用フ ロク ラム用フラッシュメモリ フ ートフ ロク ラム用フラッシュメモリ $ ~ $F $2 ~ $5F $6 ~ $FFF/$FFF/$3FFF 注 : 赤字は I/O アト レス $FF $ ~ $2FF/$4FF/$4FF/$8FF 直接 間接 変位付き間接 事前減少付き間接 事後増加付き間接の5つの異なるアト レス指定種別でテ ータメモリ ( 空間 ) を網羅します レシ スタファイル内のレシ スタR26~R3は間接アト レス指定ホ インタ用レシ スタが特徴です 直接アト レス指定はテ ータ空間全体に届きます 変位付き間接動作はYまたはZレシ スタで与えられる基準アト レスからの63アト レス位置に届きます 自動の事前減少付きと事後増加付きのレシ スタ間接アト レス指定動作を使用するとき ( 使用される )X,Y,Zアト レスレシ スタは減少 (-) または増加 (+) されます これらのテ ハ イスの32 個の汎用レシ スタ 64 個のI/Oレシ スタ 6 個の拡張 I/Oレシ スタ 52/K/K/2Kハ イトのテ ータ用内蔵 SRAMはこれら全てのアト レス指定種別を通して全部アクセスできます レシ スタファイルは8 頁の 汎用レシ スタファイル で記述されます テ ータメモリアクセスタイミンク 本節は内部メモリアクセスに対する一般的なアクセスタイミンク の概念を記述します テ ータ用内蔵 SRAMアクセスは図 8-4. で記載されるように2clkCPU 周期で実行されます ( 訳注 ) 内蔵 SRAMのアクセスを含む代表的な命令はT,T2の 2 周期で実行され Tで対象アト レスを取得 /( 算出 )/ 確定し T2で実際のアクセスが行われます 後続する (T) は次の命令のTです 図 8-4. テ ータ用内蔵 SRAM アクセス周期 CPU クロック clkcpu 書き込み 読み込み アト レス テ ータ WR テ ータ RD T T2 (T) 直前のアト レス 有効アト レス ATmega48A/48PA/88A/88PA/68A/68PA/328/328P [ テ ータシート ]

12 8.4. テ ータ用 EEPROM メモリ ATmega48A/48PA/88A/88PA/68A/68PA/328/328Pは256/52/52/Kハ イトのテ ータ用 EEPROMを含みます それは単一ハ イトが読み書きできる分離したテ ータ空間として構成されます EEPROMは最低, 回の消去 / 書き込み回数の耐久性があります CPU とEEPROM 間のアクセスは以降のEEPROMアト レスレシ スタ EEPROMテ ータレシ スタ EEPROM 制御レシ スタで詳細に記述されます 8 頁の メモリフ ロク ラミンク はSPIまたは並列フ ロク ラミンク の詳細な記述を含みます EEPROMアクセス EEPROMアクセスレシ スタはI/O 空間でアクセス可能です EEPROMの消去 / 書き込み ( 訳注 : 原文はアクセス ) 時間は表 8-. で与えられます ( 書き込みは ) 自己タイミンク 機能ですが 使用者ソフトウェアは次ハ イトが書ける時を検知してください 使用者コート がEEPROMに書く命令を含む場合 いくつかの予防処置が取られねばなりません 厳重に濾波した電源では電源投入 / 切断でVCCが緩やかに上昇または下降しそうです これはテ ハ イスが何周期かの時間 使用されるクロック周波数に於いて最小として示されるより低い電圧で走行する原因になります これらの状態で問題を避ける方法の詳細については以下の EEPROMテ ータ化けの防止 をご覧ください 予期せぬEEPROM 書き込みを防止するため特別な書き込み手順に従わなければなりません この詳細についてはEEPROM 制御レシ スタの説明と4 頁の 非分離ハ イト書き込み と 分離ハ イト書き込み を参照してください ( 訳注 : 本行内容追加 ) EEPROMが読まれると CPUは次の命令が実行される前に4クロック周期停止されます EEPROMが書かれると CPUは次の命令が実行される前に2クロック周期停止されます EEPROMテ ータ化けの防止低 VCCの期間中 正しく動作するための供給電圧がCPUとEEPROMに対して低すぎるためにEEPROMテ ータが化け得ます これらの問題はEEPROMを使用する基板段階の装置と同じで 同じ設計上の解決策が適用されるべきです EEPROMテ ータ化けは電圧が低すぎる時の2つの状態によって起こされ得ます つ目として EEPROMへの通常の書き込み手順は正しく動作するための最低電圧が必要です 2つ目として 供給電圧が低すぎると CPU 自身が命令を間違って実行し得ます EEPROMテ ータ化けは次の推奨設計によって容易に避けられます 不充分な供給電源電圧の期間中 AVRのRESETを活性 (Low) に保ってください これは内蔵低電圧検出器 (BOD) を許可することによって行えます 内蔵 BODの検出電圧が必要とした検出電圧と一致しない場合 外部低 VCCリセット保護回路が使用できます 書き込み動作実行中にリセットが起こると この書き込み操作は供給電源電圧が充分ならば ( 継続 ) 完了されます 8.5. I/O メモリ ( レシ スタ ) ATmega48A/48PA/88A/88PA/68A/68PA/328/328PのI/O 空間定義は45 頁の レシ スタ要約 で示されます ATmega48A/48PA/88A/88PA/68A/68PA/328/328Pの全てのI/Oと周辺機能はI/O 空間に配置されます 全てのI/O 位置はI/O 空間と32 個の汎用作業レシ スタ間のテ ータ転送を行うLD/LDS/LDD 命令とST/STS/STD 命令によってアクセスされます アト レス範囲 $~ $F 内のI/Oレシ スタはSBI 命令とCBI 命令の使用で直接的にヒ ットアクセス可能です これらのレシ スタではSBISとSBIC 命令の使用によって単一ヒ ット値が検査できます より多くの詳細については 命令要約 項を参照してください I/O 指定命令 INとOUTを使用するとき I/Oアト レス $~$3Fが使用されなければなりません LD 命令とST 命令を使用し テ ータ空間としてI/Oレシ スタをアクセスするとき これらのアト レスに $2が加算されなければなりません ATmega48A/48PA/88A/88PA/68A/68PA/328/328PはINやOUT 命令で予約した64 位置で支援されるより多くの周辺機能部を持つ複合マイクロコントローラです SRAM( テ ータ空間 ) 内 $6~$FFの拡張 I/O 領域に対しては LD/LDS/LDDとST/STS/STD 命令だけが使用できます 将来のテ ハ イスとの共通性を保つため アクセスされる場合 予約ヒ ットはが書かれるべきです 予約済みI/Oメモリアト レスは決して書かれるべきではありません 状態フラク のいくつかはそれらへ論理 を書くことによって解除 () されます CBIとSBI 命令は他の多くのAVRの様ではなく 指定ヒ ットだけを操作し 従って状態フラク のようなものを含むレシ スタに使用できることに注意してください CBIとSBI 命令は (I/Oアト レス)$~$Fのレシ スタでのみ動作します I/Oと周辺制御レシ スタは以降の項で説明されます 汎用 I/Oレシ スタ ATmega48A/48PA/88A/88PA/68A/68PA/328/328Pは3つの汎用 I/Oレシ スタを含みます これらのレシ スタはどの情報の格納にも使用でき 特に全体変数や状態フラク の格納に有用です (I/O) アト レス範囲 $~$Fの汎用 I/Oレシ スタはSBI,CBI,SBIS,SBIC 命令の使用で直接ヒ ットアクセスが可能です ATmega48A/48PA/88A/88PA/68A/68PA/328/328P [ テ ータシート ] 2

13 8.6. メモリ関係レシ スタ EEARH,EEARL (EEAR) - EEPROM アト レスレシ スタ (EEPROM Address Register) ヒ ット $22 ($42) Read/Write 初期値ヒ ット $2 ($4) Read/Write 初期値 (EEAR9) (EEAR8) R R R R R R 不定 不定 EEAR7 EEAR6 EEAR5 EEAR4 EEAR3 EEAR2 EEAR EEAR 不定 不定 不定 不定 不定 不定 不定 不定 EEARH EEARL ヒ ット 5~ - 予約 (Reserved) これらのヒ ットは予約されており 常に として読まれます ヒ ット 9~ - EEAR9~ : EEPROM アト レス (EEPROM Address) EEPROMアト レスレシ スタ (EEARHとEEARL) は256/52/52/Kハ イトEEPROM 空間のEEPROMアト レスを指定します EEPROMテ ータハ イトは~255/5/5/23 間で直線的に配されます EEARの初期値は不定です EEPROMがアクセスされるであろう前に適切な値が書かれねばなりません 注 : EEAR9はATmega48A/48PA/88A/88PA/68A/68PA EEAR8はATmega48A/48PAの未使用ヒ ットで 常にが書かれなければなりません EEDR - EEPROMテ ータレシ スタ (EEPROM Data Register) ヒ ット $2 ($4) (MSB) (LSB) Read/Write 初期値 ヒ ット7~ - EEDR7~ : EEPROMテ ータ (EEPROM Data) EEPROM 書き込み操作に対してEEDRはEEPROMアト レスレシ スタ (EEAR) で与えたアト レスのEEPROMへ書かれるべきテ ータを含みます EEPROM 読み込み操作に対してEEDRはEEARで与えたアト レスのEEPROMから読み出したテ ータを含みます EECR - EEPROM 制御レシ スタ (EEPROM Control Register) ヒ ット $F ($3F) - - EEPM EEPM EERIE EEMPE EEPE EERE Read/Write R R 初期値 不定 不定 不定 ヒ ット7,6 - 予約 (Reserved) これらのヒ ットは予約されており 常にとして読まれます ヒ ット5,4 - EEPM, : EEPROMフ ロク ラミンク 種別 (EEPROM Programing Mode Bits) EEPROMフ ロク ラミンク 種別ヒ ット設定はEEPROMフ ロ表 8-. EEPROMフ ロク ラミンク 種別ク ラミンク 許可 (EEPE) 書き込み時にどのフ ロク ラミンク EEPM EEPM フ ロク ラミンク 時間動作動作が起動されるかを定義します つの非分離 3.4ms 操作での消去と書き込み ( 非分離操作 ) 操作 ( 旧値消去と新値書き込み ) または2つの異なる操作として消去と書き込み操作を分離してテ ータ.8ms 消去のみ をフ ロク ラムする ( 書く ) ことが可能です 各動作に対するフ ロク ラミンク 時間は表 8-. で示されます EEPE が設定 () されている間はEEPMnへのどの書き込.8ms - 書き込みのみ将来使用に予約 みも無視されます リセット中 EEPMnヒ ットはEEPROMがフ ロク ラミンク 作業中を除いて '' にリセットされます ヒ ット3 - EERIE : EEPROM 操作可割り込み許可 (EEPROM Ready Interrupt Enable) EERIEの 書き込みはステータスレシ スタ (SREG) の全割り込み許可 (I) ヒ ットが設定 () されているなら EEPROM 操作可割り込みを許可します EERIEの 書き込みは この割り込みを禁止します EEPROM 操作可割り込みは不揮発性メモリ ( フラッシュメモリとEEPROM) がフ ロク ラミンク の準備可ならば継続する割り込みを発生します EEPROM 書き込みとSPM 命令の間 本割り込みは生成されません EEDR EECR ATmega48A/48PA/88A/88PA/68A/68PA/328/328P [ テ ータシート ] 3

14 ヒ ット 2 - EEMPE : EEPROM 主フ ロク ラム許可 (EEPROM Master Program Enable) EEMPE ヒ ットは EEPROM フ ロク ラム許可 (EEPE) ヒ ットの 書き込みが有効か無効かどちらかを決めます EEMPE が設定 () されると 4 クロック周期内の EEPE 設定 () は選択したアト レスの EEPROM をフ ロク ラムします EEMPE が なら EEPE 設定 () は無効です EEMPE がソフトウェアによって設定 () されてしまうと 4 クロック周期後にハート ウェアがこのヒ ットを に解除します EEPROM 書き込み手順については次の EEPE 記述をご覧ください ヒ ット - EEPE : EEPROM フ ロク ラム許可 (EEPROM Program Enable) EEPROMフ ロク ラム許可信号 (EEPE) はEEPROMへのフ ロク ラミンク 許可信号です EEPEが (を) 書かれると EEPROMはEEPMnヒ ット設定に従ってフ ロク ラムされます 論理 がEEPEへ書かれる前にEEPROM 主フ ロク ラム許可 (EEMPE) ヒ ットはを書かれなければならず さもなければEEPROM 書き込み ( 消去 ) は行われません EEPROMを書くとき 次の手順に従うべきです ( 手順 3と4の順番は重要ではありません ) EEPROMフ ロク ラム許可 (EEPE) ヒ ットがになるまで待ちます 2 SPM 制御 / 状態レシ スタ (SPMCSR) のSPM 操作許可 (SPMEN) ヒ ットがになるまで待ちます 3 今回のEEPROMアト レスをEEPROMアト レスレシ スタ (EEAR) に書きます ( 任意 省略可 ) 4 今回のEEPROMテ ータをEEPROMテ ータレシ スタ (EEDR) に書きます ( 任意 省略可 ) 5 EEPROM 制御レシ スタ (EECR) のEEPROM 主フ ロク ラム許可 (EEMPE) ヒ ットにを EEPROMフ ロク ラム許可 (EEPE) ヒ ットにを同時に書きます 6 EEMPEヒ ット設定後 4クロック周期内にEEPROMフ ロク ラム許可 (EEPE) ヒ ットへ論理 を書きます CPUがフラッシュメモリ書き込み中 EEPROMはフ ロク ラム ( 書き込みが ) できません ソフトウェアは新規 EEPROM 書き込みを始める前にフラッシュメモリのフ ロク ラミンク が完了されていることを検査しなければなりません 2はソフトウェアがフラッシュメモリをフ ロク ラム ( 書き込みを ) することをCPUに許すフ ートロータ を含む場合だけ関係します フラッシュメモリが決してCPUによって更新されないなら 2は省略できます フ ートフ ロク ラミンク についての詳細に関しては7 頁の フ ートロータ ( 書き込み中読み出し可能な自己フロク ラミンク ) をご覧ください 警告 : 手順 5と6 間の割り込みはEEPROM 主フ ロク ラム許可が時間超過するため 書き込み周期失敗になります EEPROMをアクセスする割り込みルーチンが他のEEPROMアクセスを中断し EEARかEEDRが変更されると 中断したEEPROMアクセスを失敗させます これらの問題を避けるため 全ての手順中 ステータスレシ スタ (SREG) の全割り込み許可 (I) ヒ ットは解除 () されていることが推奨されます 書き込み ( フ ロク ラミンク ) アクセス時間が経過されると EEPROMフ ロク ラム許可 (EEPE) ヒ ットはハート ウェアによって解除 () されます EEPEが設定 () されてしまうと 次の命令が実行される前にCPUは2 周期停止されます ヒ ット - EERE : EEPROM 読み込み許可 (EEPROM Read Enable) EEPROM 読み込み許可信号 (EERE) はEEPROMへの読み込みストローフ です EEARに正しいアト レスが設定されると EEPROM 読み出しを起動するためにEEREヒ ットはを書かれなければなりません EEPROM 読み出しアクセスは ( その ) 命令で行われ 要求したテ ータは直ちに利用できます EEPROMが読まれるとき 次の命令が実行される前にCPUは4 周期停止されます 使用者は読み込み操作を始める前にEEPEヒ ットをホ ーリンク すべきです 書き込み ( フ ロク ラム ) 操作実行中の場合 EEPROMアト レスレシ スタ (EEAR) の変更もEEPROM 読み込みもできません EEPROM アクセスの時間に校正済み内蔵 RC 発振器が使用されます 表 8-2. は CPU からの EEPROM アクセスに対する代表的な書き込み時間を示します 表 8-2. EEPROM 書き込み時間項目校正付き内蔵 RC 発振器周期数 EEPROM 書き込み (CPU) 26,368 Typ 3.3ms ( 訳注 ) 参考のため 以下の EEPROM アクセス方法を追加しました 8.6.a. 非分離ハ イトフ ロク ラミンク 非分離ハ イトフ ロク ラミンク の使用は最も簡単な動作です EEPROMにハ イトを書くとき 使用者はEEARにアト レス EEDRにテ ータを書かなければなりません EEPMnヒ ットが '' ならば (EEMPEがを書かれる後の4 周期内の )EEPEの 書き込みは消去 / 書き込み動作を起動します 消去と書き込みの両周期は 操作で行われ 総フ ロク ラミンク 時間は表 8-. で与えられます EEPEヒ ットは消去と書き込み動作が完了されるまで設定 () に留まります テ ハ イスがフ ロク ラミンク 動作中 他のどのEEPROM 操作の実行も不可能です 8.6.b. 分離ハ イトフ ロク ラミンク 2つの異なる操作として消去と書き込み周期を分離することが可能です これは或る時間制限 ( 代表的には電源電圧不足 ) に対してシステムが短いアクセス時間を必要とする場合に有用かもしれません この方法の優位性を得るため 書かれるべき位置が書き込み操作前に消去されてしまっていることが必要とされます しかし 消去と書き込みが分離されるため 時間が重大な操作の実行をシステムが許す時 ( 代表的には電源投入後 ) に消去操作を行うことが可能です ATmega48A/48PA/88A/88PA/68A/68PA/328/328P [ テ ータシート ] 4

15 8.6.c. 消去ハ イトを消去するにはアト レスがEEARに書かれなければなりません EEPMnヒ ットが '' なら (EEMPEがを書かれた後の4 周期内の ) EEPEの 書き込みは消去動作だけを起動します ( フ ロク ラミンク 時間は表 8-. で与えられます ) EEPEヒ ットは消去動作が完了されるまで設定 () に留まります テ ハ イスがフ ロク ラミンク 動作中 他のどのEEPROM 操作の実行も不可能です 8.6.d. 書き込み ( 特定 ) 位置を書くため 使用者はEEARにアト レス EEDRにテ ータを書かなければなりません EEPMnヒ ットが '' なら (EEMPEがを書かれる後の4 周期内の )EEPEの 書き込みは書き込み動作だけを起動します ( フ ロク ラミンク 時間は表 8-. で与えられます ) EEPEヒ ットは書き込み動作が完了されるまで設定 () に留まります 書かれるべき位置が書き込み前に消去されてしまっていなければ 元の保存したテ ータは失ったとみなされなければなりません テ ハ イスがフ ロク ラミンク 動作中 他のどのEEPROM 操作の実行も不可能です 次のコート 例はアセンフ リ言語と C 言語での EEPROM 消去 書き込み または非分離書き込み関数を示します 本例は ( 例えば全割り込み禁止によって ) 割り込みが制御され これらの関数実行中に割り込みが起きない前提です 本例はソフトウェア内にフラッシュフ ートロータ が無い前提でもあります そのようなコート が存在する場合 EEPROM 書き込み関数は何れかが実行する SPM 命令の完了も待たねばなりません ( 訳注 : 共通性から次例は補足修正しています ) アセンフ リ言語フ ロク ラム例 EEPROM_WR: SBIC EECR,EEPE ;EEPROMフ ロク ラミンク 完了ならばスキッフ RJMP EEPROM_WR ; 以前のEEPROMフ ロク ラミンク 完了まで待機 ; LDI R9,(<<EEPM) (<<EEPM) ; フ ロク ラミンク 種別値取得 ( 本例は非分離 ) OUT EECR,R9 ; 対応フ ロク ラミンク 種別設定 OUT EEARH,R8 ;EEPROMアト レス上位ハ イト設定 OUT EEARL,R7 ;EEPROMアト レス下位ハ イト設定 OUT EEDR,R6 ;EEPROM 書き込み値を設定 SBI EECR,EEMPE ;EEPROM 主フ ロク ラム許可ヒ ット設定 SBI EECR,EEPE ;EEPROMフ ロク ラミンク 開始( フ ロク ラム許可ヒ ット設定 ) RET ; 呼び出し元へ復帰 C 言語フ ロク ラム例 void EEPROM_write(unsigned int uiaddress, unsigned char ucdata) { while(eecr & (<<EEPE)); /* 以前のEEPROMフ ロク ラミンク 完了まで待機 */ EECR = (<<EEPM) (<<EEPM); /* 対応フ ロク ラミンク 種別設定 */ EEAR = uiaddress; /* EEPROMアト レス設定 */ EEDR = ucdata; /* EEPROM 書き込み値を設定 */ EECR = (<<EEMPE); /* EEPROM 主フ ロク ラム許可 */ EECR = (<<EEPE); /* EEPROMフ ロク ラミンク 開始 */ } 次のコート 例はアセンフ リ言語と C 言語での EEPROM 読み込み関数を示します 本例は割り込みが制御され これらの関数実行中に割り込みが起きない前提です アセンフ リ言語フ ロク ラム例 EEPROM_RD: SBIC EECR,EEPE ;EEPROMフ ロク ラミンク 完了ならばスキッフ RJMP EEPROM_RD ; 以前のEEPROMフ ロク ラミンク 完了まで待機 ; OUT EEARH,R8 ;EEPROMアト レス上位ハ イト設定 OUT EEARL,R7 ;EEPROMアト レス下位ハ イト設定 SBI EECR,EERE ;EEPROM 読み出し開始 ( 読み込み許可ヒ ット設定 ) IN R6,EEDR ;EEPROM 読み出し値を取得 RET ; 呼び出し元へ復帰 C 言語フ ロク ラム例 unsigned char EEPROM_read(unsigned int uiaddress) { while(eecr & (<<EEPE)); /* 以前のEEPROMフ ロク ラミンク 完了まで待機 */ EEAR = uiaddress; /* EEPROMアト レス設定 */ EECR = (<<EERE); /* EEPROM 読み出し開始 */ return EEDR; /* EEPROM 読み出し値を取得, 復帰 */ } ATmega48A/48PA/88A/88PA/68A/68PA/328/328P [ テ ータシート ] 5

16 GPIOR2 - 汎用 I/O レシ スタ 2 (General Purpose I/O Register 2) ヒ ット $2B ($4B) Read/Write 初期値 (MSB) (LSB) GPIOR - 汎用 I/O レシ スタ (General Purpose I/O Register ) ヒ ット $2A ($4A) Read/Write 初期値 (MSB) (LSB) GPIOR - 汎用 I/O レシ スタ (General Purpose I/O Register ) ヒ ット $E ($3E) Read/Write 初期値 (MSB) (LSB) GPIOR2 GPIOR GPIOR ATmega48A/48PA/88A/88PA/68A/68PA/328/328P [ テ ータシート ] 6

17 9. システムクロックとクロック選択 9.. クロック系統とその配給 図 9-. は AVR 内の主要なクロック系統とその配給を示します 全てのクロックが与えられた時間有効である必要はありません 消費電力低減のため 23 頁の 電力管理と休止形態 で記述される各種休止形態の使用によって 使用されない部分のクロックを停止することができます クロック系統は以下で詳細に示されます 図 9-. クロックの配給 非同期タイマ / カウンタ clkasy clki/o 汎用入出力 A/D 変換器 CPU コア SRAM clkadc clkcpu AVRクロック clkflash 制御回路リセット回路ウォッチト ック タイマクロック源ウォッチト ック システムクロック前置分周器クロックウォッチト ック 用内蔵 RC 発振器クロック多重器 フラッシュメモリ EEPROM タイマ / カウンタ用発振器 外部クロック信号 クリスタル用発振器 低周波数用クリスタル発振器 校正付き内蔵 RC 発振器 9... CPU クロック clkcpu I/O クロック clki/o フラッシュクロック clkflash 非同期タイマクロック clkasy A/D 変換クロック clkadc CPUクロックはAVRコアの動作と関係する系統の部分に配給されます このような部分の例は汎用レシ スタファイル ステータスレシ スタ スタックホ インタを保持するテ ータメモリです CPUクロックの停止はコアが一般的な操作や計算を実行することを禁止します I/Oクロックはタイマ / カウンタ SPI USARTのようなI/O 部の大部分で使用されます I/Oクロックは外部割り込み部でも使用されますが いくつかの外部割り込みは例えI/Oクロックが停止されても検出されることをこのような割り込みに許す非同期論理回路によって検出されることに注意してください 2 線直列インターフェース (TWI) 部署の開始条件検出はclkI/Oが停止される時に非同期で実行され 全休止形態でTWIのアト レス認証を可能とすることにも注意してください ( 訳注 : 原書本位置での修正誤りを修正 ) フラッシュクロックはフラッシュメモリインターフェースの動作を制御します このフラッシュクロックは常にCPUクロックと同時に活動します 非同期タイマクロックは外部 32kHzクロック用クリスタルから直接的にクロック駆動されることを非同期タイマ / カウンタに許します この専用クロック範囲はテ ハ イスが休止形態の時でも このタイマ / カウンタの実時間計数器としての使用を許します A/D 変換器には専用のクロック範囲が提供されます これはテ シ タル回路によって生成された雑音を低減するためにCPUとI/Oクロックの停止を許します これはより正確なA/D 変換結果を与えます 9.2. クロック元このテ ハ イスには右で示されるようにフラッシュヒュース ヒ ットによって選択可能な後続のクロック元選択があります 選択したクロック元からのクロックはAVRクロック発生器への入力で 適切な部署へ配給されます 表 9-. クロック元選択 クロック元 CKSEL3~ 外部クリスタル低電力発振器 ~ 外部クリスタル全振幅発振器 ~ 外部低周波数クリスタル発振器 ~ 28kHz 内部 (WDT) 発振器 校正付き内蔵 RC 発振器 外部クロック信号 ( 予約 ) 注 : = 非フ ロク ラム = フ ロク ラム 既定のクロック元このテ ハ イスは8.MHzの校正付き内蔵 RC 発振器でCKDIV8ヒュース がフ ロク ラム () され 結果として.MHzのシステムクロックで出荷されます 起動時間は計時完了周期が許可され 最大に設定されます (CKSEL=, SUT=, CKDIV8= フ ロク ラム ()) この既定設定は全ての使用者が実装または並列書き込み器を使用して それらを希望したクロック元設定にできることを保証します ATmega48A/48PA/88A/88PA/68A/68PA/328/328P [ テ ータシート ] 7

18 クロック起動手順 何れのクロック元も発振を開始するための充分な VCC と それが安定であると考えられるのに先立って最低発振周期数が必要です 充分な VCC を保証するために その他全てのリセット元によってテ ハ イスリセットが開放された後 テ ハ イスは起動遅延時間 (ttout) の内部リセットを発生します 28 頁からの システム制御とリセット は この内部リセットに対する起動条件を記述します この遅延 (ttout) はウォッチト ック 発振器で計時され 遅延周期数は SUT と CKSEL ヒュース により設定されます 選択可能な遅延は表 9-2. で示されます 26 頁の 代表特性 で示されるように ウォッチト ック 発振器の周波数は電圧に依存します 表 9-2. WDT 発振器の代表計時完了値 周期数 VCC=3.V VCC=5.V 周期数 ms ms 4.3ms 4.ms 52 69ms 65ms 8K (892) 遅延の主な目的はAVRが最小 VCCを印加されるまでAVRをリセットに保つことです この遅延は実電圧を監視しませんので VCC 上昇時間より長い遅延を選ぶことが必要とされるべきです これが不可能な場合 内部または外部の低電圧検出回路 (BOD) が使用されるべきです BOD 回路がリセットを開放する前に充分なVCCを保証するでしょうから 起動遅延時間は禁止され得ます 低電圧検出回路 (BOD) なしでの起動遅延時間の禁止は推奨されません この発振器はクロックが安定と考えられるのに先立って最低クロック数を発振することを必要とされます 内部リフ ルカウンタは発振器の出力クロックを監視し 与えられたクロック周期数に対して内部リセットを活性 ( 有効 ) に保ちます このリセットはその後に開放され テ ハ イスが実行を開始します 推奨発振器起動時間はクロック種別に依存し 外部的に印加されたクロックに対する6 周期から 低周波数クリスタルに対する32K 周期まで変化します クロックについての起動手順は計時完了遅延とテ ハ イスがリセットから起動するときの起動時間の両方を含みます ハ ワータ ウンまたはハ ワーセーフ から起動するとき VCCは充分な電圧であると認識され 起動時間だけが含められます 9.3. クリスタル用低電力発振器 XTALとXTAL2は図 9-2. で示されるように チッフ 上の発振器としての使用に設定できる反転増幅器の各々 入力と出力です クリスタル発振子またはセラミック振動子のどちらでも使用できます この発振器はXTAL2 出力上の電圧振幅を減少した低電力発振器です これは最低消費電力を与えますが 他のクロック入力を駆動する能力はなく 雑音が多い環境で より雑音の影響を受け易くなります これらの場合には9 頁の クリスタル用全振幅発振器 を参照してください CとC2はクリスタル発振子とセラミック振動子の両方について常に等しくすべきです このコンテ ンサの最適値は使用するクリスタル発振子やセラミック振動子 浮遊容量の量 その環境の電磁雑音に依存します クリスタル発振子使用に対するコンテ ンサ選択について初期の指針のいくつかは表 9-3. で与えられます セラミック振動子については製造業者によって与えられたコンテ ンサ値が使用されるべきです 低電力発振器は示された周波数範囲で各々最適化された3つの異なる種別で動作できます この動作種別は表 9-3. で示されるようにCKSEL3~ヒュース によって選択されます CKSELヒュース はSUT,ヒュース と共に表 9-4. で示されるように起動時間を選択します 図 9-2. クリスタル発振子接続図表 9-3. クリスタル用低電力発振器動作種別注 : これは各周波数範囲に対する推奨 CKSEL C2 CKSEL3~ 周波数範囲推奨 C,2 容量設定です XTAL2 /TOSC2 ( 注 ).4~.9MHz - 注 : 周波数が仕様 (VCC 依存 ) 超の場合 CKDI C XTAL.9~3.MHz 2~22pF V8=が可能ですが 分周後クロックが仕様内 /TOSC であることを保証せねばなりません 3.~8.MHz 2~22pF GND 注 : 本選択はクリスタル発振子ではなく セラミック振 8.~6MHz 2~22pF 動子でのみ使用されるべきです 表 9-4. クリスタル発振子 / セラミック振動子用低電力発振器起動遅延時間選択表 CKSEL SUT, ハ ワータ ウン, ハ ワーセーフ からの起動遅延時間 258 CK 258 CK K CK K CK K CK 6K CK 6K CK 6K CK ( 注 ) ( 注 ) ( 注 2) ( 注 2) ( 注 2) リセットからの付加遅延時間 (VCC=5.V) 4 CK+4.ms 4 CK+65ms 4 CK 4 CK+4.ms 4 CK+65ms 4 CK 4 CK+4.ms 4 CK+65ms 推奨使用法 外部セラミック振動子 高速上昇電源外部セラミック振動子 低速上昇電源外部セラミック振動子 低電圧検出 (BOD) リセット許可外部セラミック振動子 高速上昇電源外部セラミック振動子 低速上昇電源外部クリスタル発振子 低電圧検出 (BOD) リセット許可外部クリスタル発振子 高速上昇電源外部クリスタル発振子 低速上昇電源 注 : これらの選択はテ ハ イスの最高周波数付近での動作でないとき 応用にとって起動での周波数安定性が重要でない場合だけ使用されるべきです これらの選択はクリスタル発振子用ではありません 注 2: これらの選択はセラミック振動子での使用を意図され 起動での周波数安定性を保証します テ ハ イスの最高周波数付近での動作でないとき 応用にとって起動での周波数安定性が重要でない場合はクリスタル発振子も使用できます ATmega48A/48PA/88A/88PA/68A/68PA/328/328P [ テ ータシート ] 8

19 9.4. クリスタル用全振幅発振器 XTALとXTAL2は図 9-3. で示されるように チッフ 上の発振器としての使用に設定できる反転増幅器の各々 入力と出力です クリスタル発振子またはセラミック振動子のどちらでも使用できます この発振器はXTAL2 出力上で供給電圧端振幅にする全振幅発振器です これは雑音が多い環境や他のクロック入力を駆動するのに適します は8 頁の クリスタル用低電力発振器 よりも多くなります 全振幅クリスタル発振器がVCC=2.7~5.5Vに対してのみ動作することに注意してください CとC2はクリスタル発振子とセラミック振動子の両方について常に等しくすべきです このコンテ ンサの最適値は使用するクリスタル発振子やセラミック振動子 浮遊容量の量 その環境の電磁雑音に依存します クリスタル発振子使用に対するコンテ ンサ選択について初期の指針のいくつかは表 9-5. で与えられます セラミック振動子については製造業者によって与えられたコンテ ンサ値が使用されるべきです この動作種別は表 9-5. で示されるようにCKSEL3~ヒュース によって選択されます 図 9-3. クリスタル発振子接続図 CKSEL ヒュース は SUT, ヒュース と共に表 9-6. で示されるように起動時間を選択します 表 9-5. クリスタル用全振幅発振器動作種別 CKSEL3~ 周波数範囲.4~2MHz 推奨 C,2 容量 2~22pF 注 : 周波数が仕様 (VCC 依存 ) 超の場合 CKDI V8= が可能ですが 分周後クロックが仕様内であることを保証せねばなりません 表 9-6. クリスタル発振子 / セラミック振動子用全振幅発振器起動遅延時間選択表 CKSEL SUT, ハ ワータ ウン, ハ ワーセーフ からの起動遅延時間 258 CK 258 CK K CK K CK K CK 6K CK 6K CK 6K CK ( 注 ) ( 注 ) ( 注 2) ( 注 2) ( 注 2) リセットからの付加遅延時間 (VCC=5.V) 4 CK+4.ms 4 CK+65ms 4 CK 4 CK+4.ms 4 CK+65ms 4 CK 4 CK+4.ms 4 CK+65ms 推奨使用法 C2 C 外部セラミック振動子 高速上昇電源外部セラミック振動子 低速上昇電源外部セラミック振動子 低電圧検出 (BOD) リセット許可外部セラミック振動子 高速上昇電源外部セラミック振動子 低速上昇電源外部クリスタル発振子 低電圧検出 (BOD) リセット許可外部クリスタル発振子 高速上昇電源外部クリスタル発振子 低速上昇電源 XTAL2 /TOSC2 XTAL /TOSC GND 注 : これらの選択はテ ハ イスの最高周波数付近での動作でないとき 応用にとって起動での周波数安定性が重要でない場合だけ使用されるべきです これらの選択はクリスタル発振子用ではありません 注 2: これらの選択はセラミック振動子での使用を意図され 起動での周波数安定性の保証します テ ハ イスの最高周波数付近での動作でないとき 応用にとって起動での周波数安定性が重要でない場合はクリスタル発振子も使用できます 9.5. 低周波数クリスタル用発振器低周波数クリスタル用発振器は時計用 kHzクリスタルでの使用に最適化されています クリスタル選択時 負荷容量とクリスタルの等価直列抵抗 (ESR) が考慮されなければなりません 両値はクリスタル販売業者によって指定されます ATmega48A/48PA/88A/88PA/68A/ 68PA/328/328Pの発振器は非常に低い電力消費用に最適化されており 故にクリスタル選択時 6.5pF,9pF,2.5pFでの推奨最大 ESRに関して表 9-7. をご覧ください 低周波数クリスタル用発振器は各 TOSCヒ ンに於いて表 9-8. で見られる内部負荷容量を提供します 表 9-7. 時計用 kHzクリスタル用発振器推奨最大 ESR 表 9-8. 低周波数クリスタル用発振器内部容量クリスタル負荷容量 (CL:pF) 最大 ESR (kω) ( 注 ) 容量 (pf) 32kHz 発振器形式 XTAL/TOSC XTAL2/TOSC システムクロック用発振器 タイマ / カウンタ用発振器 8 8 注 : 最大 ESRは特性を基にした代表値です 各 TOSCヒ ンで必要とする外部容量 (C) は次式を使用して計算できます Ce+Ci = 2 CL-Cs Ce : 8 頁の図 9-2. で記述されるように追加外部容量です Ci : 表 9-8. でのヒ ン容量です CL : クリスタル製造業者によって指定された32.768kHzクリスタル用の負荷容量です Cs : つのTOSCヒ ンに対する総浮遊容量です 表 9-8. で与えられたものより高い指定負荷容量 (CL) のクリスタルは8 頁の図 9-2. で記述するように付加外部容量 ( コンテ ンサ ) が必要です 低周波数クリスタル発振器は表 9-. で示されるように CKSELヒュース を '' または '' に設定することによって選択されなければなりません 起動時間は表 9-9. で示されるようにSUTヒュース によって決定されます ATmega48A/48PA/88A/88PA/68A/68PA/328/328P [ テ ータシート ] 9

20 表 9-9. 低周波数クリスタル発振器用起動遅延時間選択表 SUT, リセットからの付加遅延時間 (VCC=5.V) 4 CK 4 CK+4.ms 4 CK+65ms 推奨使用法 高速上昇電源またはBOD 許可低速上昇電源 起動時の周波数安定 ( 予約 ) 表 9-. 低周波数クリスタル発振器用起動時間選択表 CKSEL3~ ハ ワータ ウン, ハ ワーセーフ からの起動遅延時間 K CK 32K CK 推奨使用法 ( 注 ) 起動時の周波数安定 注 : これらの選択は起動時の周波数安定度が応用にとって重要でない場合だけ使用されるべきです 9.6. 校正付き内蔵 RC 発振器既定による校正された内蔵 RC 発振器は概ね8.MHzのクロックを供給します 電圧と温度に依存しますが このクロックは使用者によって高精度な校正ができます より多くの詳細については97 頁の表 29-. をご覧ください このテ ハ イスはCKDIV8ヒュース がフ ロク ラム () で出荷されます より多くの詳細については2 頁の システムクロック前置分周器 をご覧ください このクロックは表 9-. で示されるようにCKSELヒュース のフ ロク ラミンク によってシステムクロックとして選択できます 選択したなら 外部部品なしで動作します リセット中 ハート ウェアが発振校正 (OSCCAL) レシ スタに予めフ ロク ラムされた校正値ハ イトを設定し これによってRC 発振器を自動的に校正します この校正の精度は表 29-. で工場校正として示されます ソフトウェアからOSCCALレシ スタを変更することによって ( 発振校正レシ スタ (OSCCAL) 参照 ) 工場校正を使用するよりも高い精度を得ることができます この校正の精度は表 29-. で使用者校正として示されます この発振器がチッフ ( システム ) クロックとして使用されるとき ウォッチト ック 発振器は未だウォッチト ック タイマとリセット付加遅延タイマに使用されます 予め設定された校正値のより多くの情報については83 頁の 発振校正値ハ イト 項をご覧ください この発振器が選択されると 起動時間は表 9-2. で示されるようにSUTヒュース によって決定されます PB6(XTAL/TOSC) とPB7 (XTAL2/TOSC2) は標準 I/Oヒ ンまたはタイマ / カウンタ用発振器ヒ ンのどちらかとして使用できます ( 訳補 : 共通性から本行追加 ) 表 9-. 校正付き内蔵 RC 発振器動作種別注 : テ ハ イスはこの選択で出荷されます CKSEL3~ 周波数範囲 (MHz) 注 : この8MHz 周波数がテ ハ イス仕様 (VCCに依存) を越える場合 内部周波数を8 分 7.3~8. 周するためにCKDIV8ヒュース をフ ロク ラム () にできます 表 9-2. 校正付き内蔵 RC 発振器用起動遅延時間選択表 SUT, ( 注 ) ハ ワータ ウン, ハ ワーセーフ からの起動遅延時間 6 CK 6 CK 6 CK リセットからの付加遅延時間 (VCC=5.V) 4 CK ( 注 2) 4 CK+4.ms 4 CK+65ms 推奨使用法 低電圧検出リセット (BOD) 許可高速上昇電源低速上昇電源 注 : テ ハ イスはこの選択で出荷されます 注 2: RSTDISBL ヒュース がフ ロク ラム () されると フ ロク ラミンク 動作への移行可を保証するため 付加遅延時間は 4 CK+4.ms に増やされます kHz 内部発振器 ( 予約 ) 28kHz 内部発振器は28kHzのクロックを供給する低電力発振器です この周波数は3V,25 での公称値です 本クロックは表 9-3. で示されるようにCKSELヒュース を '' にフ ロク ラミンク ( 設定 ) することによってシステムクロックとして選択できます このクロック元が選択されると 起動時間は表 9-4. で示されるようにSUT ヒュース によって決定されます 表 kHz 内部発振器動作種別 CKSEL3~ 公称周波数 28kHz 注 : 28kHz 発振器は非常に低い電力のクロック元で 高精度用に設計されていないことに注意してください 表 kHz 内部発振器用起動遅延時間選択表 SUT, ハ ワータ ウン, ハ ワーセーフ からの起動遅延時間 6 CK 6 CK 6 CK リセットからの付加遅延時間 4 CK ( 注 ) 4 CK+4ms 4 CK+64ms 推奨使用法 低電圧検出 (BOD) リセット許可高速上昇電源低速上昇電源 ( 予約 ) 注 : RSTDISBL ヒュース がフ ロク ラム () されると フ ロク ラミンク 動作への移行可を保証するため 付加遅延時間は 4 CK+4.ms に増やされます ATmega48A/48PA/88A/88PA/68A/68PA/328/328P [ テ ータシート ] 2

21 9.8. 外部クロック信号 外部クロック元からテ ハ イスを駆動するには XTAL が図 9-4. で示されるように駆動されるべきです 外部クロックでテ ハ イスを走行するためには CKSEL ヒュース が '' にフ ロク ラム ( 設定 ) されなければなりません ( 表 9-5. 参照 ) 表 9-5. 外部クロック信号動作種別 CKSEL3~ 周波数範囲 ~2MHz このクロック元が選択されると 起動時間は表 9-6. で示されるように SUT ヒュース によって決定されます 図 9-4. 外部クロック信号駆動接続図 PB7 XTAL2 外部クロック信号 XTAL GND 表 9-6. 外部クロック信号駆動用起動遅延時間選択表 SUT, ハ ワータ ウン, ハ ワーセーフ からの起動遅延時間 6 CK 6 CK 6 CK リセットからの付加遅延時間 (VCC=5.V) 4 CK 4 CK+4.ms 4 CK+65ms 推奨使用法 低電圧検出 (BOD) リセット許可高速上昇電源低速上昇電源 ( 予約 ) 外部クロックを供給するとき MCUの安定な動作を保証するために供給したクロック周波数の急な変化を避けることが必要とされます 或るクロック周期から次への2% より大きな周波数変化は予測されない事態を引き起こします このようなクロック周波数での変化中 MCUはリセットに保たれるのを保証することが必要とされます システムクロック前置分周器が安定な動作を保証しながら 内部クロック周波数の実行時変更の実現に使用できることに注意してください 詳細については システムクロック前置分周器 を参照してください 9.9. クロック出力緩衝部 ( 外部クロック出力 ) このテ ハ イスはシステムクロックをCLKOヒ ンに出力できます 本出力を許可するにはCKOUTヒュース がフ ロク ラム () されなければなりません この動作はチッフ のクロックがシステム上の他の回路を駆動する時用です このヒュース がフ ロク ラム () されると I/Oヒ ンの標準動作は無視され このクロックはリセット中も出力されます CLKOがクロック出力を扱うとき 校正付き内蔵 RC 発振器を含む何れのクロック元も選択できます システムクロック前置分周器が使用されると CKOUTヒュース がフ ロク ラム () された時の出力は分周したシステムクロックです 9.. タイマ / カウンタ用発振器 ATmega48A/48PA/88A/88PA/68A/68PA/328/328Pは低周波数クリスタル用発振器とタイマ / カウンタ用発振器に対して同じクリスタル用発振器を使用します この発振器とクリスタルの必要条件については9 頁の 低周波数クリスタル用発振器 をご覧ください ATmega48A/48PA/88A/88PA/68A/68PA/328/328Pはタイマ / カウンタ用発振器 (TOSCとTOSC2) ヒ ンとXTA,XTAL2ヒ ンを共用します タイマ / カウンタ用発振器使用時 システムクロックはこの発振器周波数の4 倍を必要とします これとヒ ン共用のため タイマ / カウンタ用発振器はシステムクロック元として校正付き内蔵 RC 発振器選択時にだけ使用できます 非同期状態レシ スタ (ASSR) の外部クロック許可 (EXCLK) ヒ ットが論理 を書かれると TOSCに外部クロック元の印加が行えます 時計用 kHzクリスタルに代わる入力として外部クロックを選択する更なる記述については98 頁の タイマ / カウンタ2の非同期動作 をご覧ください 9.. システムクロック前置分周器 ATmega48A/48PA/88A/88PA/68A/68PA/328/328Pはシステムクロック前置分周器を持ち システムクロックはクロック前置分周レシ スタ (CL KPR) の設定によって分周できます この特徴 ( 機能 ) は必要とされる処理能力が低い時の消費電力削減に使用できます これは全クロック種別で使用でき CPUと全同期周辺機能のクロック周波数に影響を及ぼします clkcpu clkflash clki/o clkadcは表 9-7. で示された値によって分周されます 前置分周器設定間を切り替えるとき システムクロック前置分周器は中間 ( 経過途中 ) の周波数が直前の設定に対応するクロック周波数または新規設定に対応するクロック周波数のどちらよりも高くなく クロック系でク リッチが起きないことを保証します 前置分周器として実行するリフ ルカウンタは分周されないクロック周波数で走行し CPUのクロック周波数より速いかもしれません 従って例え ( カウンタ値が ) 読めるとしても 前置分周器の状態を決めることはできず から他へのクロック分周値切り替えを行う正確な時間は必ずしも予測できません CLKPS 値が書かれる時から新規クロック周波数が活性 ( 有効 ) になる前にT+T2~T+2 T2 間かかります この間で2つの有効なクロック端が生成されます ここでのTは直前のクロック周期 T2は新規前置分周器設定に対応する周期です 予期せぬクロック周波数の変更を防ぐため CLKPSヒ ットの変更は次の特別な書き込み手順に従わなければなりません クロック分周値変更許可 (CLKPCE) ヒ ットに CLKPR 内の他の全ヒ ットにを書きます 2 ( 次からの )4 周期以内にCLKPCEヒ ットをとする欲したCLKPS 値を書きます 前置分周器設定変更時 書き込み手続きが割り込まれないことを保証するため 割り込みは禁止されなければなりません ATmega48A/48PA/88A/88PA/68A/68PA/328/328P [ テ ータシート ] 2

22 9.2. クロック関係レシ スタ OSCCAL - 発振校正レシ スタ (Oscillator Calibration Register) ヒ ット ($66) Read/Write 初期値 CAL7 CAL6 CAL5 CAL4 CAL3 CAL2 CAL CAL テ ハ イス固有の校正値 OSCCAL ヒ ット 7~ - CAL7~ : 発振校正値 (Oscillator Calibration Value) 発振校正レシ スタは発振器周波数の偏差処理を省くための内蔵発振器の調整に使用されます チッフ のリセット中 97 頁の表 29-. で指定されるように工場で校正された周波数を与える 予めフ ロク ラムされた値が本レシ スタへ自動的に書かれます 応用ソフトウェアは発振器周波数を変更するために このレシ スタに書くことができます この発振器は表 29-. で指定される周波数に校正できます この範囲外への校正は推奨されません この発振器はフラッシュメモリとEEPROMの書き込みアクセス時間に使用され これらの書き込み時間はそれに応じて影響されることに注意してください フラッシュメモリまたはEEPROMが書かれる場合 8.8MHzより高く校正してはいけません そうでなければ フラッシュメモリまたはEEPROM 書き込みは失敗するかもしれません CAL7ヒ ットは発振器に関する操作範囲を決めます このヒ ットの () 設定は低周波数範囲になり () 設定は高周波数範囲になります この2つの周波数範囲は重複し 別の言葉では OSCCAL=$7F 設定はOSCCAL=$8 設定より高い周波数になります CAL6~ヒ ットは選択した範囲内の周波数調整に使用されます $ 設定はその範囲の最低周波数になり $7F 設定はその範囲の最高周波数になります CLKPR - クロック前置分周レシ スタ (Clock Prescale Register) ヒ ット ($6) CLKPCE CLKPS3 CLKPS2 CLKPS CLKPS Read/Write R R R 初期値 内容参照 内容参照 内容参照 内容参照 CLKPR ヒ ット7 - CLKPCE : クロック分周値変更許可 (Clock Prescaler Change Enable) CLKPSヒ ットの変更を許可するためにCLKPCEヒ ットは論理 を書かれなければなりません CLKPCEヒ ットは同時にCLKPRの他の全ヒ ットがを書かれる時だけ更新されます CLKPCEは書き込み後 4クロック周期またはCLKPSヒ ット書き込み時 ハート ウェアによって解除 () されます この制限時間 (4クロック周期) 内のCLKPCEヒ ット再書き込みは制限時間の延長もCLKPCEヒ ットの解除 () も行いません ヒ ット3~ - CLKPS3~ : クロック分周値選択 (Clock Prescaler Select Bits 3~) これらのヒ ットは選択したクロック元と内部システムクロック間の分周値を定義します これらのヒ ットは応用の必要条件に合わせた各種クロック周波数を実行時に書けます 分周値が使用されると 分周器はMCUへの主クロックを分周し 全ての同期周辺機能の速度が減じられます 分周値は表 9-7. で与えられます CKDIV8ヒュース がCLKPSヒ ットの初期値を決めます CKDIV8が非フ ロク ラム () にされると CLKPSヒ ットは '' にリセットされます CKDIV8がフ ロク ラム () されると CLKPSヒ ットは起動時に8 分周を与える '' にリセットされます 現在の動作条件でテ ハ イスの最高周波数より高い周波数のクロック元を選択した場合 この機能が使用されるべきです CKDIV8ヒュース 設定に拘らず どの値もCLKPSヒ ットへ書けることに注意してください 応用ソフトウェアは現在の動作条件でテ ハ イスの最高周波数より高い周波数のクロック元を選択した場合 充分な分周値が選択されることを保証しなければなりません このテ ハ イスはCKDIV8ヒュース がフ ロク ラム () で出荷されます 表 9-7. クロック前置分周器選択 CLKPS3 CLKPS2 CLKPS CLKPS 分周値 ( 数 ) ( 予約 ) ATmega48A/48PA/88A/88PA/68A/68PA/328/328P [ テ ータシート ] 22

23 . 電力管理と休止形態 休止形態は応用でMCU 内の未使用部を一時停止することを可能にし それによって節電します AVRは応用で必要な消費電力に仕立てることを使用者に許す様々な休止形態を提供します 許可したなら 低電圧検出器 (BOD) は休止期間中 電源電圧を積極的に監視します 更なる節電のため いくつかの休止形態種別でBODを禁止することが可能です より多くの詳細については以降の 低電圧検出器 (BOD) 禁止 をご覧ください.. 休止形態種別 7 頁の図 9-. はATmega48A/48PA/88A/88PA/68A/68PA/328/328Pの各種クロック系統とその配給を示します 本図は適切な休止形態を選択する助けになります 表 -. は各種休止形態 それらの起動元とBOD 禁止 ( 注 ) の可能性を示します 注 : 低電圧検出器 (BOD) 禁止はATmega48PA/88PA/68PA/328Pでだけ利用可能です 表 -. 各休止形態に於ける動作クロック範囲と復帰起動要因動作クロック範囲動作発振器復帰起動要因 ( 割り込み ) 休止種別 clkcpu clkflash clkio clkadc clkasy 主クロック供給元 タイマ用発振器 INT INT ヒ ン変化 TWI アト レス一致 タイマ / カウンタ 2 SPM EEPROM 操作可 A/D 変換完了 ウォッチト ック その他 I/O アイト ル 〇〇〇 〇 2 〇 〇 〇 〇 〇 〇 〇 A/D 変換雑音低減 〇〇 〇 2 3 〇 2 〇 〇 〇 ハ ワータ ウン 3 〇 〇 〇 ハ ワーセーフ 〇 2 3 〇 〇 〇 〇 スタンハ イ ( 注 ) 〇 3 〇 〇 〇 拡張スタンハ イ ( 注 ) 2 〇 2 3 〇 〇 〇 〇 注 : クロック元として外部クリスタル発振子またはセラミック振動子が選択された場合のみ推奨されます 2 タイマ / カウンタ2 非同期状態レシ スタ (ASSR) の非同期クロック (AS2) ヒ ットが設定 () された場合です 3 INTとINTについてはレヘ ル割り込みだけです ソフトウェア BOD 禁止 6つの休止形態の何れかへ移行するには休止形態制御レシ スタ (SMCR) の休止許可 (SE) ヒ ットが論理 を書かれ SLEEP 命令が実行されなければなりません SMCRの休止種別選択 (SM2~) ヒ ットはSLEEP 命令によって活性 ( 有効 ) にされる休止形態 ( アイト ル A/D 変換雑音低減 ハ ワータ ウン ハ ワーセーフ スタンハ イ 拡張スタンハ イ ) のどれかを選びます 一覧については26 頁の表 -2. をご覧ください MCUが休止形態中に許可した割り込みが起こると MCUは起動します その時にMCUは起動時間に加えて4 周期停止され 割り込みルーチンを実行し そしてSLEEP 命令の次の命令から実行を再開します テ ハ イスが休止から起動するとき レシ スタファイルとSRAMの内容は変えられません 休止形態中にリセットが起こると MCUは起動し リセットヘ クタから実行します.2. 低電圧検出器 (BOD) 禁止 ( 注 : ATmega48PA/88PA/68PA/328PのpicoPowerテ ハ イスでだけ利用可能です ) 低電圧検出器 (BOD) が82 頁の表 か表 のBODLEVELヒュース によって許可されていると BODは休止期間中に電源電圧を活発に監視します 節電のため 休止形態のいくつかに対してソフトウェアによってBODを禁止することが可能です 表 -. をご覧ください この休止形態電力消費はBODがヒュース によって全面的に禁止される時と同じ水準になるでしょう BODがソフトウェアで禁止される場合 BOD 機能は休止形態移行後 直ちにOFFされます 休止からの起動復帰でBODは再び自動的に許可されます これは休止期間中にVCCレヘ ルが落ちた場合の安全な動作を保証します BODが禁止されてしまうと MCUがコート の実行を継続する前にBODが正しく動作することを保証するために 休止形態からの起動時間は概ね6μs になります BOD 禁止はMCU 制御レシ スタ (MCUCR) のヒ ット6 BOD 休止 (BODS) ヒ ットによって制御されます 26 頁の MCUCR - MCU 制御レシ スタ をご覧ください このヒ ットへの 書き込みは関連する休止形態でのBODをOFFにし 一方このヒ ットのはBOD 活動 ( 有効 ) を保ちます 既定設定はBOD 活動維持 換言するとBODSはに設定です BODSヒ ットへの書き込みは許可ヒ ットと時間制限手順によって制御されます 26 頁の MCUCR - MCU 制御レシ スタ をご覧ください.3. アイト ル動作休止種別選択 (SM2~) ヒ ットが '' を書かれるとき SLEEP 命令はMCUをアイト ル動作へ移行させ CPUを停止しますが SPI USAR T アナロク 比較器 A/D 変換器 2 線直列インターフェース タイマ / カウンタ ウォッチト ック 割り込み機構の継続動作を許します この休止形態は基本的にclkCPUとclkFLASHを停止する一方 他のクロックに走行を許します アイト ル動作はMCUにタイマ溢れやUSARTの送信完了などの内部割り込みだけでなく 外部で起動された割り込みからの起動も可能にします アナロク 比較器割り込みからの起動が必要とされないなら アナロク 比較器制御 / 状態レシ スタ (ACSR) のアナロク 比較器禁止 (ACD) ヒ ットを設定 () することによってアナロク 比較器を電源断にできます これはアイト ル動作での消費電力を削減します A/D 変換が許可されるなら この動作に移行すると変換が自動的に始まります ATmega48A/48PA/88A/88PA/68A/68PA/328/328P [ テ ータシート ] 23

24 .4. A/D 変換雑音低減動作 休止種別選択 (SM2~) ヒ ットが '' を書かれると SLEEP 命令は MCU を A/D 変換雑音低減動作へ移行させ CPU を停止しますが A/D 変換器 外部割り込み 2 線直列インターフェースのアト レス監視 タイマ / カウンタ 2( 注 ) ウォッチト ック の ( 許可されていれば ) 継続動作を許します この休止形態は基本的に clki/o, clkcpu, clkflash を停止する一方 他のクロックに走行を許します これはA/D 変換に対する雑音環境を改善し より高い分解能の測定を可能にします A/D 変換器が許可されている場合 本動作に移行すると 変換が自動的に始まります A/D 変換完了割り込みからの他 外部リセット ウォッチト ック システムリセット ウォッチト ック 割り込み 低電圧検出 (BOD) リセット 2 線直列インターフェースのアト レス一致割り込み タイマ / カウンタ2の割り込み SPM/EEPROM 操作可割り込み INTまたはINTの外部レヘ ル割り込み ヒ ン変化割り込みだけが A/D 変換雑音低減動作からMCUを起動できます 注 : タイマ / カウンタ2は非同期動作でだけ走行を維持します 詳細については 8ヒ ットタイマ / カウンタ2 (PWM 非同期動作付き) をご覧ください.5. ハ ワータ ウン動作 SM2~ヒ ットが '' を書かれるとき SLEEP 命令はMCUをハ ワータ ウン動作へ移行させます この動作では外部発振器が停止される一方 外部割り込み 2 線直列インターフェースのアト レス監視 ウォッチト ック 機能は ( 許可されていれば ) 継続して動作します 外部リセット ウォッチト ック システムリセット ウォッチト ック 割り込み 低電圧検出 (BOD) リセット 2 線直列インターフェースのアト レス一致割り込み INTまたはINTの外部レヘ ル割り込み ヒ ン変化割り込みだけがMCUを起動できます この休止形態は基本的に生成した全てのクロックを停止し 非同期部の動作だけを許します 注 : レヘ ル起動割り込みがハ ワータ ウン動作からの起動に使用される場合 この必要としたレヘ ルはレヘ ル割り込みを起動する完全な起動復帰のため MCUに対して充分長く保持されなければならないことに注意してください このレヘ ルが起動時間の最後に先立って消滅すると MCUは今までどおり起動しますが 割り込みが生成されません 詳細については4 頁の 外部割り込み を参照してください 起動時間は7 頁の システムクロックとクロック選択 で示されるようにSUTヒュース とCKSELヒュース で定義されます ハ ワータ ウン動作から起動するとき 起動条件が起きてから起動の効果が現れるまで遅延があります これは停止されてしまっている後の再始動と安定になることをクロックに許します この起動 ( 遅延 ) 時間は7 頁の クロック元 で記述されるように リセット付加遅延時間を定義するのと同じCKSELヒュース によって定義されます.6. ハ ワーセーフ 動作 SM2~ヒ ットが '' を書かれると SLEEP 命令はMCUをハ ワーセーフ 動作へ移行させます この動作は ( 次の )つの例外を除いてハ ワータ ウン動作と同じです タイマ / カウンタ2が許可される場合 それらは休止中も走行 ( 動作 ) を維持します ステータスレシ スタ (SREG) の全割り込み許可 (I) ヒ ットが設定 () され タイマ / カウンタ2 割り込み許可レシ スタ (TIMSK2) のタイマ / カウンタ2 溢れ割り込み許可 (TOIE2) ヒ ットまたは比較 x 割り込み許可 (OCIE2 x) ヒ ットが設定 () されるなら テ ハ イスは対応するどの割り込みからでも起動できます タイマ / カウンタ2が走行 ( 動作 ) しないなら ハ ワータ ウン動作をハ ワーセーフ 動作の代わりにすることが推奨されます タイマ / カウンタ2はハ ワーセーフ 動作で同期と非同期両方でクロック駆動できます タイマ / カウンタ2が非同期クロックを使用しない場合 休止中 タイマ / カウンタ用発振器は停止されます タイマ / カウンタ2が同期クロックを使用しない場合 休止中 そのクロック元は停止されます 例えハ ワーセーフ 動作で同期クロックが走行しても このクロックはタイマ / カウンタ2に対してだけ利用可能なことに注意してください.7. スタンハ イ動作外部クリスタル発振子 / セラミック振動子クロック種別が選択され SM2~ヒ ットが '' のとき SLEEP 命令はMCUをスタンハ イ動作へ移行させます この動作は ( 外部クリスタル用 ) 発振器が走行 ( 動作 ) を保たれる例外を除いてハ ワータ ウン動作と同じです テ ハ イスはスタンハ イ動作から6 クロック周期で起動します.8. 拡張スタンハ イ動作外部クリスタル発振子 / セラミック振動子クロック種別が選択され SM2~ヒ ットが '' のとき SLEEP 命令はMCUを拡張スタンハ イ動作へ移行させます この動作は ( 外部クリスタル用 ) 発振器が走行 ( 動作 ) を保たれる例外を除いてハ ワーセーフ 動作と同じです テ ハ イスは拡張スタンハ イ動作から6クロック周期で起動します.9. 電力削減電力削減レシ スタ (27 頁の電力削減レシ スタ (PRR) 参照 ) は消費電力を削減するために個別周辺機能へのクロックを停止する方法を提供します 周辺機能は現状で固定化され I/Oレシ スタは読み込みも書き込みもできません クロックを停止している時に周辺機能によって使用されていた資源は占有されたままですので その周辺機能は殆どの場合 クロックを停止する前に禁止されるべきです 周辺機能部の起動は電力削減レシ スタ (PRR) のヒ ットを解除 () することによって行い その周辺機能部を停止前と同じ状態にします 周辺機能部の停止は全体に亘る重要な消費電力の削減のために活動動作とアイト ル動作で使用できます その他の休止形態ではクロックが予め停止されます ATmega48A/48PA/88A/88PA/68A/68PA/328/328P [ テ ータシート ] 24

25 .. 消費電力の最小化 これらは AVR が制御するシステムで消費電力の最小化を試みる時に考慮するためのそれぞれの検討点です 一般的に休止形態は可能な限り多く使用されるべきで 休止種別は動作するテ ハ イスの機能が可能な限り少なくなるために選択されるべきです 必要とされない全ての機能は禁止されるべきです 特に次の機能部は最低可能消費電力の達成を試みるとき 特別な考慮を必要とするでしょう... A/D 変換器 (ADC) 許可なら A/D 変換器は全休止形態で許可されます 電力を節約するため 休止形態の何れかへ移行する前にA/D 変換器は禁止されるべきです A/D 変換器がOFFそして再びONに切り替えられると 次の ( 最初の ) 変換は延長された ( 初回 ) 変換になります A/D 変換器操作の詳細については55 頁の A/D 変換器 を参照してください..2. アナロク 比較器アイト ル動作へ移行するとき アナロク 比較器は使用されないなら 禁止されるべきです A/D 変換雑音削減動作へ移行するとき アナロク 比較器は禁止されるべきです その他の休止形態でのアナロク 比較器は自動的に禁止されます しかしアナロク 比較器が入力として内部基準電圧を使用する設定の場合 全休止形態でアナロク 比較器は禁止されるべきです さもなければ内部基準電圧は休止形態と無関係に許可されます アナロク 比較器設定法の詳細については53 頁の アナロク 比較器 を参照してください..3. 低電圧検出器 (BOD) 低電圧検出器 (BOD) が応用で必要とされないなら この部署はOFFにされるべきです 低電圧検出器がBODLEVELヒュース によって許可されていると全休止形態で許可され 故に常時電力を消費します これはより深い休止形態での総にとって重要な一因になります 低電圧検出器 (BOD) 設定法の詳細については29 頁の 低電圧検出 (BOD) を参照してください..4. 内部基準電圧内部基準電圧は低電圧検出器 (BOD) アナロク 比較器 A/D 変換器によって必要とされる時に許可されます これら部署が上の項目で記述されたように禁止されると 内部基準電圧は禁止され 電力を消費しません 再び許可する場合 この出力が使用される前に使用者は基準電圧へ起動 ( 安定時間 ) を与えなければなりません 基準電圧が休止形態でON 保持される場合 この出力は直ちに使用できます 起動時間の詳細については3 頁の 内部基準電圧 を参照してください..5. ウォッチト ック タイマウォッチト ック タイマが応用で必要とされないなら この部署はOFFにされるべきです ウォッチト ック タイマが許可されていると全休止形態で許可され 故に常時電力を消費します これはより深い休止形態での総にとって重要な一因になります ウォッチト ック タイマ設定法の詳細については3 頁の ウォッチト ック タイマ を参照してください..6. ホ ートヒ ン休止動作へ移行するとき 全てのホ ートヒ ンは最小電力使用に設定されるべきです 最も重要なことはその時にヒ ンが抵抗性負荷を駆動しないのを保証することです I/Oクロック (clki/o) とA/D 変換クロック (clkadc) の両方が停止される休止形態ではテ ハ イスの入力緩衝部が禁止されます これは必要とされない時に入力論理回路によって電力が消費されないことを保証します いくつかの場合で入力論理回路は起動条件を検出するために必要とされ その時は許可されます どのヒ ンが許可されるかの詳細については46 頁の テ シ タル入力許可と休止形態 を参照してください 入力緩衝部が許可され 入力信号が浮いている状態のままか またはアナロク 信号電圧が VCC/2 付近の場合 入力緩衝部は過大な電力を消費するでしょう アナロク 入力ヒ ンに対するテ シ タル入力緩衝部は常に禁止されるべきです 入力ヒ ンでのVCC/2 付近のアナロク 信号入力は活動動作でも重要な電流を引き起こし得ます テ シ タル入力緩衝部はテ シ タル入力禁止レシ スタ (DIDRとDIDR) の書き込みによって禁止できます 詳細については54 頁の DIDR - テ シ タル入力禁止レシ スタ と64 頁の DIDR - テ シ タル入力禁止レシ スタ を参照してください..7. 内蔵テ ハ ック 機能 (dw) 内蔵テ ハ ック 機能がDWENヒュース によって許可され チッフ が休止形態へ移行すると 主クロック元は許可に留まり 従って常に電力を消費します これはより深い休止形態での総にとって重要な一因になります ATmega48A/48PA/88A/88PA/68A/68PA/328/328P [ テ ータシート ] 25

mega8.pdf

mega8.pdf 特 徴 ATmega8 8Kハ イト 実 装 書 き 込 み 可 能 フラッシュ メモリ 付 き Atmel 8ヒ ット マイクロ コントローラ テ ータシート 高 性 能 低 消 費 AVR 8ヒ ット マイクロ コントローラ 進 化 したRISC 構 造 強 力 な28 命 令 ( 多 くは 周 期 で 実 行 ) 32 個 のハ イト 長 汎 用 レシ スタ 完 全 なスタティック 動 作 6MHz

More information

mega88.pdf

mega88.pdf ATmega48/88/68 特徴 本書は一般の方々の便宜のため有志により作成されたもので ATMEL 社とは無 高性能 低消費 AV 8マイクロコントローラ関係であることを御承知ください しおり 進化したISC 構造 強力な29/3 命令 ( 多くは 周期実行 ) の [ はじめに ] での内容にご注意ください 32 個のハ イト長汎用レシ スタ 完全なスタティック動作 2MHz 時 2MIPSに達する高速動作

More information

mega88.pdf

mega88.pdf 8 ヒ ット AV マイクロコントローラ ATmega48/V, ATmega88/V, ATmega68/V 序説 完全テ ータシート Atmel ATmega48/V/88/V/68/V は AV 強化 ISC 構造に基づく低電力 CMOS 8 ヒ ットマイクロコントローラです 単一クロック周期での強力な命令の実行により ATmega48/V/88/V/ 68/V は MHz 当たり MIPS

More information

tiny2313.pdf

tiny2313.pdf ATtiny233 特徴 本書は一般の方々の便宜のため有志により作成されたもので ATMEL 社とは無関係であることを御承知ください しおりの [ はじめに ] での内容にご注意ください AVR RISC 構造の利用 高性能 低消費 AVR RISC 構造 強力な 23 命令 ( 多くは 周期で実行 ) 32 個の ハ イト長汎用レシ スタ 完全なスタティック動作 2MHz 時 2MIPS に達する高速動作

More information

mega8U2.pdf

mega8U2.pdf ATmega8U2/6U2/32U2 特 徴 本 書 は 一 般 の 方 々の 便 宜 のため 有 志 に より 作 成 されたもので ATMEL 社 とは 無 関 係 であることを 御 承 知 ください しおり の[はじめに]での 内 容 にご 注 意 ください 高 性 能 低 消 費 AV 8 マイクロ コントローラ 進 化 したISC 構 造 強 力 な25 命 令 ( 多 くは 周 期 で

More information

tiny20.pdf

tiny20.pdf 特 徴 ATtiny2 2Kハ イト 実 装 書 き 込 み 可 能 フラッシュ メモリ 付 き 8ヒ ット AV マイクロ コントローラ テ ータシート 高 性 能 低 消 費 AV 8ヒ ット マイクロ コントローラ 進 化 したISC 構 造 強 力 な2 命 令 ( 多 くは 周 期 実 行 ) 6 個 のハ イト 長 汎 用 レシ スタ 完 全 なスタティック 動 作 2MHz 時 2MIPSに

More information

mega16U4.pdf

mega16U4.pdf 特 徴 ATmega6U4, ATmega32U4 6/32Kハ イト 実 装 書 き 込 み 可 能 フラッシュ メモリ USB 制 御 器 付 き Atmel 8 マイクロ コントローラ テ ータシート 高 性 能 低 消 費 AV 8 マイクロ コントローラ 進 化 したISC 構 造 強 力 な3 命 令 ( 多 くは 周 期 で 実 行 ) 32 個 のハ イト 長 汎 用 レシ スタ 完

More information

xmegaA4U.pdf

xmegaA4U.pdf 特徴 8/16ヒ ット Atmel XMEGA A4U マイクロコントローラ ATxmega128A4U, ATxmega64A4U, ATxmega32A4U, ATxmega16A4U 高性能 低消費 Atmel AVR XMEGA 8/16ヒ ットマイクロコントローラ テ ータメモリと不揮発性フ ロク ラムメモリ 実装自己書き換え (ISP) 可能な16~128Kハ イト (8~64K 語 )

More information

AVRsup.PDF

AVRsup.PDF AVR 補 助 情 報 Rev. 1.0C-04/14 HERO ad heavy frieds HERO ad heavy frieds 目 次 はじめに 3 割 り 込 み 4 内 部 同 期 (システム クロックへの 同 期 化 ) 5 認 識 ( 割 り 込 み 制 御 部 ) 5 命 令 同 期 ( 命 令 実 行 待 機 ) 6 応 答 処 理 ( 割 り 込 み 応 答 実 処 理 )

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介安全機能 ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ 安全機能の概要 フラッシュ メモリ CRC 演算機能 RAM パリティ エラー検出機能 データの保護機能 RAM ガード機能 SFR ガード機能 不正メモリ アクセス機能 周辺機能を使用した安全機能 周波数検出機能 A/D

More information

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ arduino プログラミング課題集 ( Ver.5.0 2017/06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイコンから伝える 外部装置の状態をマイコンで確認する 信号の授受は 入出力ポート 経由で行う (2) 入出力ポートとは?

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2018 年度クラス C3 D1 D2 D3 情報科学基礎 I 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x n ), i

More information

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 算術論理演算ユニットの設計 ( 教科書 4.5 節 ) yi = fi (x, x2, x3,..., xm) (for i n) 基本的な組合せ論理回路 : インバータ,AND ゲート,OR ゲート, y n 組合せ論理回路 ( 復習 ) 組合せ論理回路 : 出力値が入力値のみの関数となっている論理回路. 論理関数 f: {, } m {, } n を実現.( フィードバック ループや記憶回路を含まない

More information

xmegaD.PDF

xmegaD.PDF 8 Atmel XMEGA D マイクロ コントローラ XMEGA D 手 引 書 本 資 料 はAtmel AV XMEGA Dマイクロ コントローラ 系 列 に 含 まれる 全 ての 部 署 の 完 全 且 つ 詳 細 な 記 述 を 含 ます AV XMEGA DはAV 強 化 型 ISC 構 造 に 基 いた 低 電 力 高 性 能 豊 富 な 周 辺 機 能 の8/16 マイクロ コントローラ

More information

Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M

Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル 413180100 19.4 システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M21/M22/M23/M24/M25 テクニカルマニュアル 413556900 21.4 システムリセットコントローラ

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

Microsoft Word - TC4017BP_BF_J_P10_060601_.doc

Microsoft Word - TC4017BP_BF_J_P10_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4017BP,TC4017BF TC4017BP/TC4017BF Decade Counter/Divider は ステージの D タイプ フリップフロップより成る 進ジョンソンカウンタで 出力を 進数に変換するためのデコーダを内蔵しています CLOCK あるいは CLOCK INHIBIT 入力に印加されたカウントパルスの数により Q0~Q9

More information

計算機アーキテクチャ

計算機アーキテクチャ 計算機アーキテクチャ 第 11 回命令実行の流れ 2014 年 6 月 20 日 電気情報工学科 田島孝治 1 授業スケジュール ( 前期 ) 2 回日付タイトル 1 4/7 コンピュータ技術の歴史と コンピュータアーキテクチャ 2 4/14 ノイマン型コンピュータ 3 4/21 コンピュータのハードウェア 4 4/28 数と文字の表現 5 5/12 固定小数点数と浮動小数点表現 6 5/19 計算アーキテクチャ

More information

PIC の書き込み解説 PICライターを使うときに間違った使い方を見受ける 書き込み失敗の原因は知識不足にある やってはいけないことをしている 単に失敗だけならまだしも部品を壊してしまう 正しい知識を身に着けよう 書き込みに必要なピンと意味 ICSPを意識した回路設計の必要性 ICSP:In Cir

PIC の書き込み解説 PICライターを使うときに間違った使い方を見受ける 書き込み失敗の原因は知識不足にある やってはいけないことをしている 単に失敗だけならまだしも部品を壊してしまう 正しい知識を身に着けよう 書き込みに必要なピンと意味 ICSPを意識した回路設計の必要性 ICSP:In Cir PIC の書き込み解説 PICライターを使うときに間違った使い方を見受ける 書き込み失敗の原因は知識不足にある やってはいけないことをしている 単に失敗だけならまだしも部品を壊してしまう 正しい知識を身に着けよう 書き込みに必要なピンと意味 ICSPを意識した回路設計の必要性 ICSP:In Circuit Serial Programmming 原則論を解説 PIC の種類によって多少異なる 1

More information

NJM78L00 3 端子正定電圧電源 概要高利得誤差増幅器, 温度補償回路, 定電圧ダイオードなどにより構成され, さらに内部に電流制限回路, 熱暴走に対する保護回路を有する, 高性能安定化電源用素子で, ツェナーダイオード / 抵抗の組合せ回路に比べ出力インピーダンスが改良され, 無効電流が小さ

NJM78L00 3 端子正定電圧電源 概要高利得誤差増幅器, 温度補償回路, 定電圧ダイオードなどにより構成され, さらに内部に電流制限回路, 熱暴走に対する保護回路を有する, 高性能安定化電源用素子で, ツェナーダイオード / 抵抗の組合せ回路に比べ出力インピーダンスが改良され, 無効電流が小さ 3 端子正定電圧電源 概要高利得誤差増幅器, 温度補償回路, 定電圧ダイオードなどにより構成され, さらに内部に電流制限回路, 熱暴走に対する保護回路を有する, 高性能安定化電源用素子で, ツェナーダイオード / 抵抗の組合せ回路に比べ出力インピーダンスが改良され, 無効電流が小さくなり, さらに雑音特性も改良されています 外形 UA EA (5V,9V,12V のみ ) 特徴 過電流保護回路内蔵

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンピュータアーキテクチャ 第 13 週 割込みアーキテクチャ 2013 年 12 月 18 日 金岡晃 授業計画 第 1 週 (9/25) 第 2 週 (10/2) 第 3 週 (10/9) 第 4 週 (10/16) 第 5 週 (10/23) 第 6 週 (10/30) 第 7 週 (11/6) 授業概要 2 進数表現 論理回路の復習 2 進演算 ( 数の表現 ) 演算アーキテクチャ ( 演算アルゴリズムと回路

More information

AKI-PIC16F877A開発キット (Ver1

AKI-PIC16F877A開発キット (Ver1 STM32F101C8T6 STM32F103CxT6 マイコンキット仕様書 (Ver2012.05.11) この文書の情報は事前の通知なく変更されることがあります 本開発キットを使用したことによる 損害 損失については一切の責任を負いかねます 製造上の不良がございましたら 良品とお取替えいたします それ以外の責についてご容赦ください 変更履歴 Version Ver2012.05.08 新規 Ver2012.05.11

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2016 年度 5 セメスター クラス C3 D1 D2 D3 計算機工学 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x

More information

2STB240AA(AM-2S-H-006)_01

2STB240AA(AM-2S-H-006)_01 項目記号定格単位 電源 1 印加電圧電源 2 印加電圧入力電圧 (A1 A2) 出力電圧 ( ) 出力電流 ( ) 許容損失動作周囲温度保存周囲温度 S CC I o Io Pd Topr Tstg 24.0.0 0.3 S+0.3 0.3 CC+0.3 10 0. 20 + 4 +12 (1)S=12 系項目 記号 定格 単位 電源 1(I/F 入力側 ) 電源 2(I/F 出力側 ) I/F 入力負荷抵抗

More information

2STB240PP(AM-2S-G-005)_02

2STB240PP(AM-2S-G-005)_02 項目記号定格単位 電源 1 印加電圧電源 2 印加電圧入力電圧 (1 8) 出力電圧 ( ) 出力電流 ( ) 許容損失動作周囲温度保存周囲温度 S CC I o Io Pd Topr Tstg 24.0 7.0 0.3 S+0.3 0.3 CC+0.3 0.7 +75 45 +5 (1)S= 系項目 記号 定格 単位 電源 1(I/F 入力側 ) 電源 2(I/F 出力側 ) I/F 入力負荷抵抗

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2015 年度 5 セメスター クラス D 計算機工学 6. MIPS の命令と動作 演算 ロード ストア ( 教科書 6.3 節,6.4 節 ) 大学院情報科学研究科鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ レジスタ間の演算命令 (C 言語 ) c = a + b; ( 疑似的な MIPS アセンブリ言語 )

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション マイコンプログラミング演習 I 第 04-05 回 LEDを用いたI/O 制御担当 : 植村 実験の目的 本実験ではマイコンシステムを用いた信号の入出力の制御方法を理解することを目的とし, マイコンのアーキテクチャを理解 実装するとともに, アセンブラによるプログラミング技術の習得を行う. 回路の構成として,PIC16F84A を用いてスイッチを入力とする LED の点灯 / 消灯の出力操作を行う回路ならびにアセンブラプログラムを実装する.

More information

Microsoft Word - TC4013BP_BF_J_P9_060601_.doc

Microsoft Word - TC4013BP_BF_J_P9_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4013BP,TC4013BF TC4013BP/TC4013BF Dual D-Type Flip Flop は 2 回路の独立な D タイプ フリップフロップです DATA 入力に加えられた入力レベルはクロックパルスの立ち上がりで Q および Q 出力に伝送されます SET 入力を H RESET 入力を L にすると Q 出力は H Q

More information

絶対最大定格 (T a =25 ) 項目記号定格単位 入力電圧 V IN 消費電力 P D (7805~7810) 35 (7812~7815) 35 (7818~7824) 40 TO-220F 16(T C 70 ) TO (T C 25 ) 1(Ta=25 ) V W 接合部温度

絶対最大定格 (T a =25 ) 項目記号定格単位 入力電圧 V IN 消費電力 P D (7805~7810) 35 (7812~7815) 35 (7818~7824) 40 TO-220F 16(T C 70 ) TO (T C 25 ) 1(Ta=25 ) V W 接合部温度 3 端子正定電圧電源 概要 NJM7800 シリーズは, シリーズレギュレータ回路を,I チップ上に集積した正出力 3 端子レギュレータ ICです 放熱板を付けることにより,1A 以上の出力電流にて使用可能です 外形 特徴 過電流保護回路内蔵 サーマルシャットダウン内蔵 高リップルリジェクション 高出力電流 (1.5A max.) バイポーラ構造 外形 TO-220F, TO-252 NJM7800FA

More information

NJM78M00 3 端子正定電圧電源 概要 NJM78M00 シリーズは,NJM78L00 シリーズを更に高性能化した安定化電源用 ICです 出力電流が 500mA と大きいので, 余裕ある回路設計が可能になります 用途はテレビ, ステレオ, 等の民生用機器から通信機, 測定器等の工業用電子機器迄

NJM78M00 3 端子正定電圧電源 概要 NJM78M00 シリーズは,NJM78L00 シリーズを更に高性能化した安定化電源用 ICです 出力電流が 500mA と大きいので, 余裕ある回路設計が可能になります 用途はテレビ, ステレオ, 等の民生用機器から通信機, 測定器等の工業用電子機器迄 3 端子正定電圧電源 概要 シリーズは,NJM78L00 シリーズを更に高性能化した安定化電源用 ICです 出力電流が 500mA と大きいので, 余裕ある回路設計が可能になります 用途はテレビ, ステレオ, 等の民生用機器から通信機, 測定器等の工業用電子機器迄広くご利用頂けます 外形 特徴 過電流保護回路内蔵 サーマルシャットダウン内蔵 高リップルリジェクション 高出力電流 (500mA max.)

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 DAC D/A Converter ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ DACの概要 データフォーマット 変換開始と変換時間 転送時間 プログラムサンプル 2 DAC の概要 3 機能概要 項目 内容 分解能 出力チャネル 消費電力低減機能 10 ビット 2 チャネル モジュールストップ状態への設定が可能

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 SAU シリアル アレイ ユニット ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ SAU の概要 UART 通信機能のプログラム サンプル紹介 2 SAU の概要 3 SAU の機能 クロック同期式調歩同期式マスタ動作のみ チャネル 0: 送信チャネル 1: 受信 4 UART

More information

ETCB Manual

ETCB Manual ETCB Manual HARDWARE & PROGRAMMING MANUAL 目次 始めに ETCB 仕様 開発環境の構築 01 始めに 始めに 始めに 注意事項 免責事項 同梱品 02 始めに サポート 03 ETCB 仕様 ETCB 仕様 概要 仕様 項目サブ項目内容電源推奨入力電圧 6.6V~12V 最大入力電圧 16V 出力電圧内部 3.3V 外部 5.0V 最大出力電流値最大 2.2A

More information

PIC24F Family Reference Manual Section 9 WDT

PIC24F Family Reference Manual Section 9 WDT 第 9 章 (WDT) ハイライト 本章では次のトピックについて説明します 9.1 はじめに... 9-2 9.2 WDT の動作... 9-2 9.3 レジスタマップ... 9-5 9.4 設計の秘訣... 9-6 9.5 関連するアプリケーションノート... 9-7 9.6 改版履歴... 9-8 9 2007 Microchip Technology Inc. Advance Information

More information

2.RL78 での割り込み処理 ( 割り込み受け付け ) マスクが解除された (xxmk ビットが 0 の ) 割り込み要求信号は 2 つの用途で使用されます 一つ目は,CPU のスタンバイ状態の解除です この動作は, 割り込み優先順位とは全く無関係で, マスクされていない (xxmk=0 の )

2.RL78 での割り込み処理 ( 割り込み受け付け ) マスクが解除された (xxmk ビットが 0 の ) 割り込み要求信号は 2 つの用途で使用されます 一つ目は,CPU のスタンバイ状態の解除です この動作は, 割り込み優先順位とは全く無関係で, マスクされていない (xxmk=0 の ) 割り込み / ポーリング /DMA/DTC(RL78 での周辺機能制御 ) 周辺機能を介してデータ転送を制御する方法には, 大きく分けて 3 つの方法があります その中で DMA や DTC は CPU を介することなく, 高速にデータを転送することができますが, 使用できるチャネル数が限られます そのため, たとえば,CSI のスレーブでの高速通信のように限られた時間内に転送が必要な場合に使用できます

More information

AVRマイコンのしくみと開発のしかた

AVRマイコンのしくみと開発のしかた PD4 (PCINT15) Address Name Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 Page 0x3F (0x5F) SREG I T H S V N Z C 8 0x3E (0x5E) Reserved 0x3D (0x5D) SPL SP7 SP6 SP5 SP4 SP3 SP2 SP1 SP0 11 0x3C

More information

Microsoft PowerPoint - kougi7.ppt

Microsoft PowerPoint - kougi7.ppt 到達目標 スーパバイザモード, 特権命令, 割り込み CPU の割り込みメカニズム 割り込みの種類ごとに, 所定の例外処理が呼び出される スーパーバイザモードに, 自動的に切り替わる 割り込み終了後に 元のモード に戻る ハードウエア割り込みについて 割り込み禁止 割り込み発生時の CPU の挙動 現在の処理を中断 例外処理用のプログラム ( ハンドラともいう ) が起動される プログラム実行の流れ

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 TMR 8 ビットタイマ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ TMR の概要 プログラムサンプル (1) パルス出力機能 (8 ビットモード ) プログラムサンプル (2) インターバルタイマ機能 (16 ビット コンペアマッチカウントモード ) プログラムサンプルのカスタマイズ

More information

コンピュータ工学Ⅰ

コンピュータ工学Ⅰ コンピュータ工学 Ⅰ Rev. 2018.01.20 コンピュータの基本構成と CPU 内容 ➊ CPUの構成要素 ➋ 命令サイクル ➌ アセンブリ言語 ➍ アドレッシング方式 ➎ CPUの高速化 ➏ CPUの性能評価 コンピュータの構成装置 中央処理装置 (CPU) 主記憶装置から命令を読み込み 実行を行う 主記憶装置 CPU で実行するプログラム ( 命令の集合 ) やデータを記憶する 補助記憶装置

More information

RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for

RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for ARM Lite 4.2.0 で作成した STM32F4 Discovery 基板用のプロジェクトです

More information

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン 蓄積時間の可変機能付き 高精度駆動回路 は 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です センサの駆動に必要な各種タイミング信号を供給し センサからのアナログビデオ信号 を低ノイズで信号処理します 2 種類の外部制御信号 ( スタート クロック ) と 2 種類の電源 (±15 )

More information

TC74HC4017AP/AF

TC74HC4017AP/AF 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC4017AP,TC74HC4017AF Decade Counter/Divider TC74HC4017A は シリコンゲート CMOS 技術を用いた高速 10 進ジョンソンカウンタです CMOS の特長である低い消費電力で 等価な LSTTL に匹敵する高速動作を実現できます CK あるいは CE 入力に印加されたカウントパルスの数により

More information

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一 RX210 グループ IRQ 割り込みを使用したパルス出力 要旨 本サンプルコードでは IRQ 割り込みが発生すると 一定期間タイマでパルスを出力する 方法について説明します 対象デバイス RX210 1 / 25 内容 1. 仕様... 3 2. 動作確認条件... 3 3. ハードウェア説明... 3 3.1 使用端子一覧... 3 4. ソフトウェア説明... 4 4.1 動作概要... 4

More information

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること チャージポンプ内蔵 圧電用スイッチングドライバ 概要 はチャージポンプ回路を内蔵し 最大で3 入力から 18ppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更することができます また シャットダウン機能を備えており 入力信号を検出し無信号入力時には内部回路を停止することでバッテリーの長寿命化に貢献します

More information

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+)

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+) RL78 開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行 ( 統合開発環境編 ) (High-performance Embedded Workshop CS+) 2017/4/7 R20UT2087JJ0103 ソフトウェア事業部ソフトウエア技術部ルネサスシステムデザイン株式会社 はじめに 本資料は 統合開発環境 High-performance Embedded Workshop

More information

コンピュータ工学Ⅰ

コンピュータ工学Ⅰ コンピュータ工学 Ⅰ 中央処理装置 Rev. 2019.01.16 コンピュータの基本構成と CPU 内容 ➊ CPUの構成要素 ➋ 命令サイクル ➌ アセンブリ言語 ➍ アドレッシング方式 ➎ CPUの高速化 ➏ CPUの性能評価 コンピュータの構成装置 中央処理装置 (CPU) 主記憶装置から命令を読み込み 実行を行う 主記憶装置 CPU で実行するプログラム ( 命令の集合 ) やデータを記憶する

More information

NCB564個別00版

NCB564個別00版 HES-M00 シリーズの新機能 脱調レス / 脱調検出 1 1. 概要 EtherCAT モーションコントロール機能内蔵 2 相マイクロステップモータドライバ HES-M00 シリーズにエンコーダ入力が追加され, 脱調検出 / 脱調レス等の機能が付加されました 2. 仕様 項目 仕様 備考 制御軸数 1 ボードで 1 軸制御 最大 枚 ( 軸制御 ) までスタック可能 電源電圧 ( モータ駆動電圧

More information

Microsoft PowerPoint - OS07.pptx

Microsoft PowerPoint - OS07.pptx この資料は 情報工学レクチャーシリーズ松尾啓志著 ( 森北出版株式会社 ) を用いて授業を行うために 名古屋工業大学松尾啓志 津邑公暁が作成しました 主記憶管理 主記憶管理基礎 パワーポイント 27 で最終版として保存しているため 変更はできませんが 授業でお使いなる場合は松尾 (matsuo@nitech.ac.jp) まで連絡いただければ 編集可能なバージョンをお渡しする事も可能です 復習 OS

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 3.2 スイッチングの方法 1 電源の回路図表記 電源ラインの記号 GND ラインの記号 シミュレーションしない場合は 省略してよい ポイント : 実際には V CC と GND 配線が必要だが 線を描かないですっきりした表記にする 複数の電源電圧を使用する回路もあるので 電源ラインには V CC などのラベルを付ける 2 LED のスイッチング回路 LED の明るさを MCU( マイコン ) で制御する回路

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 ADC A/D コンバータ ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ ADC の概要 ソフトウエア トリガ セレクト モード 連続変換モードのプログラム サンプル紹介 2 ADC の概要 3 ADC のブロック図 パワー オフが可能 入力 選択 記憶 比較 基準電圧 変換結果

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

Microsoft PowerPoint - 11Web.pptx

Microsoft PowerPoint - 11Web.pptx 計算機システムの基礎 ( 第 10 回配布 ) 第 7 章 2 節コンピュータの性能の推移 (1) コンピュータの歴史 (2) コンピュータの性能 (3) 集積回路の進歩 (4) アーキテクチャ 第 4 章プロセッサ (1) プロセッサの基本機能 (2) プロセッサの構成回路 (3) コンピュータアーキテクチャ 第 5 章メモリアーキテクチャ 1. コンピュータの世代 計算する機械 解析機関 by

More information

Microsoft Word - TC74HC107AP_AF_J_P9_060201_.doc

Microsoft Word - TC74HC107AP_AF_J_P9_060201_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC17AP,TC74HC17AF Dual J-K Flip-Flop with Clear TC74HC17A は シリコンゲート CMOS 技術を用いた高速 CMOS JK フリップフロップです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます J および K 入力に与えられた論理レベルに従って クロックの立ち下がりで出力が変化します

More information

NJU7291 概要 ウォッチドッグタイマ内蔵システムリセット IC NJU7291 は 電源電圧の瞬断や低下などの異常を瞬時に検出して リセット信号を発生する電源電圧監視用 IC です ウォッチドッグタイマが内蔵されており 各種マイコンシステムに フェイル セーフ機能を持たせることができます 特徴

NJU7291 概要 ウォッチドッグタイマ内蔵システムリセット IC NJU7291 は 電源電圧の瞬断や低下などの異常を瞬時に検出して リセット信号を発生する電源電圧監視用 IC です ウォッチドッグタイマが内蔵されており 各種マイコンシステムに フェイル セーフ機能を持たせることができます 特徴 概要 ウォッチドッグタイマ内蔵システムリセット I は 電源電圧の瞬断や低下などの異常を瞬時に検出して リセット信号を発生する電源電圧監視用 I です ウォッチドッグタイマが内蔵されており 各種マイコンシステムに フェイル セーフ機能を持たせることができます 特徴 電源電圧 : =.5~7 リセット検出電圧 : L :.0% 外付け抵抗により検出電圧の調整が可能 出力遅延ホールド時間 WD タイマリセット時間設定比

More information

この方法では, 複数のアドレスが同じインデックスに対応づけられる可能性があるため, キャッシュラインのコピーと書き戻しが交互に起きる性のミスが発生する可能性がある. これを回避するために考案されたのが, 連想メモリアクセスができる形キャッシュである. この方式は, キャッシュに余裕がある限り主記憶の

この方法では, 複数のアドレスが同じインデックスに対応づけられる可能性があるため, キャッシュラインのコピーと書き戻しが交互に起きる性のミスが発生する可能性がある. これを回避するために考案されたのが, 連想メモリアクセスができる形キャッシュである. この方式は, キャッシュに余裕がある限り主記憶の 計算機システム Ⅱ 演習問題学科学籍番号氏名 1. 以下の分の空白を埋めなさい. CPUは, 命令フェッチ (F), 命令デコード (D), 実行 (E), 計算結果の書き戻し (W), の異なるステージの処理を反復実行するが, ある命令の計算結果の書き戻しをするまで, 次の命令のフェッチをしない場合, ( 単位時間当たりに実行できる命令数 ) が低くなる. これを解決するために考案されたのがパイプライン処理である.

More information

RH850の割り込み/例外実現方法 CC-RHアプリケーションガイド

RH850の割り込み/例外実現方法 CC-RHアプリケーションガイド RH850の割り込み / 例外実現方法 CC-RH アプリケーションガイド R20UT3546JJ0101 2018.10.12 ソフトウェア開発統括部 ソフトウェア技術部ルネサスエレクトロニクス株式会社 アジェンダ 概要ページ 03 割り込み / 例外発生時に実行する関数の定義ページ 10 直接ベクタ方式のベクタの定義ページ 17 テーブル参照方式のベクタの定義ページ 25 その他 割り込み制御ページ

More information

型名 RF007 ラジオコミュニケーションテスタ Radio Communication Tester ソフトウェア開発キット マニュアル アールエフネットワーク株式会社 RFnetworks Corporation RF007SDK-M001 RF007SDK-M001 参考資料 1

型名 RF007 ラジオコミュニケーションテスタ Radio Communication Tester ソフトウェア開発キット マニュアル アールエフネットワーク株式会社 RFnetworks Corporation RF007SDK-M001 RF007SDK-M001 参考資料 1 型名 RF007 ラジオコミュニケーションテスタ Radio Communication Tester ソフトウェア開発キット マニュアル アールエフネットワーク株式会社 RFnetworks Corporation RF007SDK-M001 RF007SDK-M001 参考資料 1 第 1 章製品概要本開発キットは RF007 ラジオコミュニケーションテスタ ( 本器 ) を使用したソフトウェアを開発するためのライブラリソフトウェアです

More information

命令セットの構成例 a) 算術 演算命令 例 )ADD dest, source : dest dest + source SUB dest, source : dest dest - source AND dest, source : dest dest AND source SHR reg, c

命令セットの構成例 a) 算術 演算命令 例 )ADD dest, source : dest dest + source SUB dest, source : dest dest - source AND dest, source : dest dest AND source SHR reg, c 第 11 回機械語とアーキテクチャ コンピュータは, 記号で組み立てられ, 記号で動く機械 : ソフトウェアソフトウェア としても理解されなければならない ソフトウェアの最も下位レベルのしくみが ( 命令セット ) アーキテクチャ である 講義では命令符号 ( 機械語 ) の構成と種類についてまとめる また, 機械語を効率良く実行するために採用されている技術について紹介する 機械語とアセンブリ言語

More information

1. UART について UART は Universal Asynchronous Receiver Transmitter の頭文字をとったもので 非同期シリアル通信と呼ばれます シリアル通信とは 一本の信号線でデータをやりとりするために 1bit ずつデータを送出することをいいます データを受

1. UART について UART は Universal Asynchronous Receiver Transmitter の頭文字をとったもので 非同期シリアル通信と呼ばれます シリアル通信とは 一本の信号線でデータをやりとりするために 1bit ずつデータを送出することをいいます データを受 STM32L_UART1 の説明 V004 2014/03/30 STM32L-Discovery の UART 1 の送受信を行うプログラムです 無料の開発ツール Atollic TrueSTUDIO for ARM Lite( 試用版 ) で作成したプロジェクトです プログラムの開始番地は 0x08000000 です デバッグが可能です PC アプリケーションの Access_SerialPort

More information

Microsoft Word - TC74HCT245AP_AF_J_P8_060201_.doc

Microsoft Word - TC74HCT245AP_AF_J_P8_060201_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HCT245AP,TC74HCT245AF Octal Bus Transceiver TC74HCT245A は シリコンゲート CMOS 技術を用いた高速 CMOS 8 回路入り双方向性バスバッファです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます 入力は TTL レべルですので TTL レベルのバスに直結可能です

More information

1. 使用する信号 1.1. UART 信号 UART 通信に使用する信号と接続相手との接続は以下の通りです UART 信号表 番号 CPU 機能名 CPU 信号名 基板コネクタピン番号 方向 接続相手の信号名 1 USART1_TX PA9 CN > RxD 2 USART1_R

1. 使用する信号 1.1. UART 信号 UART 通信に使用する信号と接続相手との接続は以下の通りです UART 信号表 番号 CPU 機能名 CPU 信号名 基板コネクタピン番号 方向 接続相手の信号名 1 USART1_TX PA9 CN > RxD 2 USART1_R TrueSTUDIO 用 L152CD_UART1 の説明 V001 2014/10/22 UART( 非同期シリアル通信 ) で送受信を行う STM32L152C-DISCO のプロジェクトサンプルです STM32L152C-DISCO は STMicroelectronics 社製の Cortex-M3 ARM CPU である STM32L152RCT6 を搭載した基板です 試用版の開発ツール

More information

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10 端子正定電圧電源 概要 は Io=mA の 端子正定電圧電源です 既存の NJM78L と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および.V の出力電圧もラインアップしました 外形図 特長 出力電流 ma max. 出力電圧精度 V O ±.% 高リップルリジェクション セラミックコンデンサ対応 過電流保護機能内蔵 サーマルシャットダウン回路内蔵 電圧ランク V,.V,

More information

Microsoft PowerPoint - 7.Arithmetic.ppt

Microsoft PowerPoint - 7.Arithmetic.ppt 第 7 章デジタル演算回路 1 デジタル信号処理音声, 音楽, 通信信号 信号 = 符号付き 2 進データ 負の数値の表現方法 2 2 進数 n ビット n-1 =Σb i 2 i 0 2 の補数 +=2 n n-1 n-1 2 n =1+Σb i 2 i +Σb i 2 i 0 0 n-1 =2 n ー =1+Σb i 2 i 0 3 2 進数の補数 2 の補数 各桁のビットを反転した後で最下位に

More information

1. プログラム実行時の動作プログラムを実行すると以下のように動作します 1) NUCLEO-F401RE 上の LED LD2( 緑 ) が 200mSec 間隔で点滅します 2. プロジェクトの構成 2.1. プロジェクト F401N_BlinkLD2 の起動画面 TrueSTUDIO で作成し

1. プログラム実行時の動作プログラムを実行すると以下のように動作します 1) NUCLEO-F401RE 上の LED LD2( 緑 ) が 200mSec 間隔で点滅します 2. プロジェクトの構成 2.1. プロジェクト F401N_BlinkLD2 の起動画面 TrueSTUDIO で作成し TrueSTUDIO 用 F401N_BlinkLD2 の説明 V003 2014/10/01 TIM11 の割り込みを使用して LED 点滅を行う NUCLEO-F401RE のプロジェクトサンプルです NUCLEO-F401RE は STMicroelectronics 社製の Cortex-M4 ARM CPU である STM32F401RET6 を搭載した基板です 試用版の開発ツール Atollic

More information

MW100 Modbusプロトコルによるデータ通信の設定について

MW100 Modbusプロトコルによるデータ通信の設定について Modbus プロトコルによるデータ通信の設定について 概要 設定の手順 DAQMASTER の Modbus 通信について 設定の手順を説明します このマニュアルでは イーサネットを使った Modbus 通信 (Modbus/TCP) で 2 台の を接続し データの送受信をするまでの手順を取り上げます なお Modbus クライアント機能を使うには 演算機能 (/M1 オプション ) が必要です

More information

Microsoft PowerPoint - No3.ppt

Microsoft PowerPoint - No3.ppt OS を支援するプロセッサ機能 プロセッサの動作モード 割込み (Interrupt)/ 例外 (Exception) 入出力装置との並列動作 マルチプログラミング (multi-programming) OS の機能 : ユーザプログラムの実行制御の管理 コンピュータ資源の管理 管理するためには 特権 が必要 プロセッサの動作モード 特権モード = OS の実行モード ( カーネルモード, スーハ

More information

Microsoft Word - XPC4ソフトマニュアル.doc

Microsoft Word - XPC4ソフトマニュアル.doc < XPC-4 映像ツール 簡易マニュアル> お試し版 Ver1.0 XPC-4 に USB ケーブルを接続する際の注意事項 ファームウェア アップデートの作業 もしくは XPC-4 映像ツール を使用するときは USB2.0 に対応した USB ケーブル (Type A[ オス ]-Type B[ オス ]) が 1 本必要です USB ケーブルはパソコンの OS(Windows) が完全に起動してから

More information

等価回路図 絶対最大定格 (T a = 25ºC) 項目記号定格単位 入力電圧 1 V IN 15 V 入力電圧 2 V STB GND-0.3~V IN+0.3 V 出力電圧 V GND-0.3~V IN+0.3 V 出力電流 I 120 ma 許容損失 P D 200 mw 動作温度範囲 T o

等価回路図 絶対最大定格 (T a = 25ºC) 項目記号定格単位 入力電圧 1 V IN 15 V 入力電圧 2 V STB GND-0.3~V IN+0.3 V 出力電圧 V GND-0.3~V IN+0.3 V 出力電流 I 120 ma 許容損失 P D 200 mw 動作温度範囲 T o 小型スタンバイ機能付高精度正電圧レギュレータ 概要 NJU7241 シリーズは, 出力電圧精度 ±2% を実現したスタンバイ機能付の低消費電流正電圧レギュレータ IC で, 高精度基準電圧源, 誤差増幅器, 制御トランジスタ, 出力電圧設定用抵抗及び短絡保護回路等で構成されています 出力電圧は内部で固定されており, 下記バージョンがあります また, 小型パッケージに搭載され, 高出力でありながらリップル除去比が高く,

More information

VLSI工学

VLSI工学 25/1/18 計算機論理設計 A.Matsuzawa 1 計算機論理設計 (A) (Computer Logic Design (A)) 東京工業大学大学院理工学研究科電子物理工学専攻 松澤昭 3. フリップフロップ回路とその応用 25/1/18 計算機論理設計 A.Matsuzawa 2 25/1/18 計算機論理設計 A.Matsuzawa 3 注意 この教科書では記憶回路を全てフリップフロップと説明している

More information

Notes and Points for TMPR454 Flash memory

Notes and Points for TMPR454 Flash memory 表紙 TMPR454 内蔵 Flash メモリ対応版手順書 株式会社 DTS インサイト ご注意 (1) 本書の内容の一部または 全部を無断転載することは禁止されています (2) 本書の内容については 改良のため予告なしに変更することがあります (3) 本書の内容について ご不明な点やお気付きの点がありましたら ご連絡ください (4) 本製品を運用した結果の影響については (3) 項にかかわらず責任を負いかねますのでご了承ください

More information

Microsoft Word - TC74HC245_640AP_AF_P8_060201_.doc

Microsoft Word - TC74HC245_640AP_AF_P8_060201_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC245AP,TC74HC245AF,TC74HC640AP,TC74HC640AF Octal Bus Traceiver TC74HC245AP/AF 3-State, Non-Inverting TC74HC640AP/AF 3-State, Inverting TC74HC245AP/640AP TC74HC245A/640A

More information

Microsoft PowerPoint - RL78G14_動画マニュアル_タイマRD.ppt [互換モード]

Microsoft PowerPoint - RL78G14_動画マニュアル_タイマRD.ppt [互換モード] RL78/G14 周辺機能紹介タイマ RD ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ タイマ RD の概要 PWM 機能のプログラム サンプル紹介 相補 PWM モードのプログラム サンプル紹介 2 タイマ RD の概要 3 タイマ RD の機能 モード 使用チャネル チャネル0, チャネル1 独立で使用

More information

1. A/D 入力について分解能 12bit の A/D コンバータ入力です A/D 入力電圧とディジタル値との対応は理論上 入力電圧 0V : 0 入力電圧 +3V : 4095 です 実際はオフセットと傾きがあり ぴったりこの数値にはなりません 2. A/D 入力に使用する信号 STM32L_A

1. A/D 入力について分解能 12bit の A/D コンバータ入力です A/D 入力電圧とディジタル値との対応は理論上 入力電圧 0V : 0 入力電圧 +3V : 4095 です 実際はオフセットと傾きがあり ぴったりこの数値にはなりません 2. A/D 入力に使用する信号 STM32L_A STM32L_ADC の説明 V003 2014/03/30 STM32L-Discovery の A/D 入力を行うプログラムです A/D CH0 ~ A/D CH3 の 4 本の入力が可能です 提供する PC のアプリケーション Access_SerialPort を使用して UART( 非同期シリアル通信 ) により A/D 入力の表示を行うことができます 無料の開発ツール Atollic TrueSTUDIO

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 CMT コンペアマッチタイマ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ CMT の概要 プログラムサンプル プログラムサンプルのカスタマイズ 2 CMT の概要 3 CMT の仕様 CMT ユニット 0 チャネル 16ビットタイマ CMT0 CMT1 ユニット 1 CMT2 CMT3

More information

TC74HC00AP/AF

TC74HC00AP/AF 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC00AP,TC74HC00AF Quad 2-Input NAND Gate TC74HC00A は シリコンゲート CMOS 技術を用いた高速 CMOS 2 入力 NAND ゲートです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます 内部回路はバッファ付きの 3 段構成であり 高い雑音余裕度と安定な出力が得られます

More information

CCD リニアイメージセンサ用駆動回路 C CCD リニアイメージセンサ (S11155/S ) 用 C は 当社製 CCDリニアイメージセンサ S11155/S 用に開発された駆動回路です S11155/S11156-

CCD リニアイメージセンサ用駆動回路 C CCD リニアイメージセンサ (S11155/S ) 用 C は 当社製 CCDリニアイメージセンサ S11155/S 用に開発された駆動回路です S11155/S11156- CCD リニアイメージセンサ用駆動回路 C11165-02 CCD リニアイメージセンサ (S11155/S11156-2048-02) 用 C11165-02は 当社製 CCDリニアイメージセンサ S11155/S11156-2048-02 用に開発された駆動回路です S11155/S11156-2048-02と組み合わせることにより分光器に使用できます C11165-02 は CCD 駆動回路

More information

ターゲット項目の設定について

ターゲット項目の設定について Code Debugger CodeStage マニュアル別冊 ターゲット 項目の設定について Rev. 2.8 2018 年 4 月 13 日 BITRAN CORPORATION ご注意 1 本書及びプログラムの内容の一部または 全部を無断で転載することは プログラムのバックアップの場合を除き 禁止されています 2 本書及びプログラムの内容に関しては 将来予告なしに変更することがあります 3 当社の許可なく複製

More information

Taro-DSノート

Taro-DSノート 3.A/D,D/A 変換 振幅が連続しており, 時間軸方向にも切れ目がない信号をアナログ信号と呼ぶ. これに対して, 振幅が飛び飛びであり, 飛び飛びの時刻にのみ存在し, または からなる数値列で表した信号をディジタル信号と呼ぶ. アナログ信号をディジタル信号に変換する回路が A/D 変換器 (A-D 変換器,ADC) であり, その逆の操作を行う回路が D/A 変換器 (D-A 変換,DAC) である.

More information

1. USB の VCP( 仮想 COM ポート ) について USB の VCP( 仮想 COM ポート ) は USB を非同期シリアル通信として使用するための USB のドライバです PC には VCP ドライバをインストールする必要があります USB の VCP( 仮想 COM ポート )

1. USB の VCP( 仮想 COM ポート ) について USB の VCP( 仮想 COM ポート ) は USB を非同期シリアル通信として使用するための USB のドライバです PC には VCP ドライバをインストールする必要があります USB の VCP( 仮想 COM ポート ) TrueSTUDIO 用 F4D_VCP の説明 V001 2014/07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです 無料の試用版開発ツール Atollic TrueSTUDIO for ARM Lite で作成したプロジェクトです ビルド可能なプログラムのコードサイズが 32Kbyte 以内の制限があります プログラムの開始番地は 0x08000000

More information

CoIDE 用 STM32F4_UART2 の説明 V /03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000

CoIDE 用 STM32F4_UART2 の説明 V /03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000 CoIDE 用 STM32F4_UART2 の説明 V002 2014/03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000000 です デバッグが可能です 提供する PC のアプリケーションの Access_SerialPort

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2018 年度クラス C3 1 2 3 情報科学基礎 I 11. 順序回路の基礎 ( 教科書 4 章 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ回路と順序回路 x1 x2 xn 組合せ回路 y1 y2 ym 組合せ回路 : 出力は, その時点の入力の組合せのみで決まる x1 x2

More information

Microsoft PowerPoint - 6.memory.ppt

Microsoft PowerPoint - 6.memory.ppt 6 章半導体メモリ 広島大学岩田穆 1 メモリの分類 リードライトメモリ : RWM リードとライトができる ( 同程度に高速 ) リードオンリメモリ : ROM 読み出し専用メモリ, ライトできない or ライトは非常に遅い ランダムアクセスメモリ : RAM 全番地を同時間でリードライトできる SRAM (Static Random Access Memory) 高速 DRAM (Dynamic

More information

スライド 1

スライド 1 RX62N 周辺機能紹介データフラッシュ データ格納用フラッシュメモリ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ データフラッシュの概要 プログラムサンプル 消去方法 書き込み方法 読み出し方法 FCUのリセット プログラムサンプルのカスタマイズ 2 データフラッシュの概要 3 データフラッシュとは フラッシュメモリ

More information

ヤマハDante機器と他社AES67機器の接続ガイド

ヤマハDante機器と他社AES67機器の接続ガイド はじめに AES67 は 高性能なデジタル IP ネットワークの相互接続を実現するための標準規格です AES67 は や Ravenna Q-LAN Livewire WheatNet などの異なるネットワーク規格で構築されたシステム間で オーディオ信号を送受信する手段を提供します ヤマハも 機器のアップデートにより順次 AES67 への対応を開始し 第一弾としてデジタルミキシングコンソール CL/QL

More information

8051 개발보드 메뉴얼

8051 개발보드 메뉴얼 ㄴㄴㄴ標準 U-STYLE ボード (Model:DM-USTYLE V1.0 ) マニュアル 改訂日 : 2015 年 11 月 24 日 1. Arduino At Heartプロトタイプ標準 U STYLEボード (DM-USTYLE V1.0) のご紹介 アドゥイノウノブートローダが書き込んだATMEGA328P-PUを使用 Arduino At Heart( ) プロトタイプのボードの互換コネクタと

More information

Microsoft PowerPoint ppt

Microsoft PowerPoint ppt 仮想マシン () 仮想マシン 復習 仮想マシンの概要 hsm 仮想マシン プログラム言語の処理系 ( コンパイラ ) 原始プログラム (Source program) コンパイラ (Compiler) 目的プログラム (Object code) 原始言語 (Source language) 解析 合成 目的言語 (Object Language) コンパイルする / 翻訳する (to compile

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 RTC リアルタイムクロック ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ RTC の概要 プログラムサンプル プログラムサンプルのカスタマイズ 2 RTC の概要 3 RTC の仕様 32.768KHz メイン発振 サブ発振 CPG RTC システムクロック (ICLK) 周辺モジュールクロック

More information

アジェンダ Renesas Synergy TM プラットフォーム構成 ThreadX とは ThreadX の状態遷移 ThreadX とμITRONの機能比較 まとめ ページ 2

アジェンダ Renesas Synergy TM プラットフォーム構成 ThreadX とは ThreadX の状態遷移 ThreadX とμITRONの機能比較 まとめ ページ 2 Renesas Synergy TM プラットフォーム ThreadX リアルタイム OS 紹介 アジェンダ Renesas Synergy TM プラットフォーム構成 ThreadX とは ThreadX の状態遷移 ThreadX とμITRONの機能比較 まとめ ページ 2 Synergy プラットフォーム構成中核を担う ThreadX リアルタイム OS ご紹介部分 ページ 3 ThreadX

More information

Microsoft Word - プログラムをRAM.doc

Microsoft Word - プログラムをRAM.doc プログラムを RAM 上でデバッグする場合の説明 対象 CPU 1)H8/300H H8S シリーズ H8SX シリーズ SH-2 シリーズが対象になります Rev1.50 DEF バージョン 6.30A 仕様より DEF バージョン 7.10A 仕様より 機能 1)BSC( バスステートコントローラ ) による拡張 RAM でのデバッグに対応しました 2)PBC/UBC 無しタイプの CPU 品種でもプログラムメモリが

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2019 年度クラス C D 情報科学基礎 I 6. MIPS の命令と動作 演算 ロード ストア ( 教科書 6.3 節,6.4 節命令一覧は p.113) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ レジスタ間の演算命令 (C 言語 ) c = a + b; ( 疑似的な MIPS アセンブリ言語

More information

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4011BP,TC4011BF,TC4011BFT TC4011BP/TC4011BF/TC4011BFT Quad 2 Input NAND Gate は 2 入力の正論理 NAND ゲートです これらのゲートの出力は すべてインバータによるバッファが付加されているため 入出力特性が改善され 負荷容量の増加による伝達時間の変動が最小限に抑えられます

More information

Microsoft Word - Ladder Tool 使çfl¨ã…žã…‰ã…¥ã‡¢ã…«ã…©ã…•ã…¼ã†ªã†Š_ docx

Microsoft Word - Ladder Tool 使çfl¨ã…žã…‰ã…¥ã‡¢ã…«ã…©ã…•ã…¼ã†ªã†Š_ docx 2018/11/05 第 1 版 Ladder Tool 使用マニュアル 1. はじめに LadderTool は ラダーからマイコンプログラムを作成する 連枝 を改良し作成された ラダープログラム作成ツールです 作成したプログラムは DIPPLC で動作するニーモニッ クで保存されます そのため 通常使用する場合は DIPPLC をご用意ください 2. 使い方 ソフトウェアのフォルダ内にある LadderTool

More information

Microsoft PowerPoint - 9.Analog.ppt

Microsoft PowerPoint - 9.Analog.ppt 9 章 CMOS アナログ基本回路 1 デジタル情報とアナログ情報 アナログ情報 大きさ デジタル信号アナログ信号 デジタル情報 時間 情報処理システムにおけるアナログ技術 通信 ネットワークの高度化 無線通信, 高速ネットワーク, 光通信 ヒューマンインタフェース高度化 人間の視覚, 聴覚, 感性にせまる 脳型コンピュータの実現 テ シ タルコンヒ ュータと相補的な情報処理 省エネルギーなシステム

More information

目次 1 I2Cとは 13 結線写真 2 センサの多くがI2Cに対応 14 WHO_AM_I 3 マイコンでのI2C通信例 15 I2C読込みプログラム 4 とは 16 I2C読込みスクリプト概要① 5 タイミングパラメータ 17 I2C読込みスクリプト概要② 6 書込み 18 センサ読込みプログラ

目次 1 I2Cとは 13 結線写真 2 センサの多くがI2Cに対応 14 WHO_AM_I 3 マイコンでのI2C通信例 15 I2C読込みプログラム 4 とは 16 I2C読込みスクリプト概要① 5 タイミングパラメータ 17 I2C読込みスクリプト概要② 6 書込み 18 センサ読込みプログラ 第5回 Arduino入門 I2C通信編 プレゼン by いっちー 目次 1 I2Cとは 13 結線写真 2 センサの多くがI2Cに対応 14 WHO_AM_I 3 マイコンでのI2C通信例 15 I2C読込みプログラム 4 とは 16 I2C読込みスクリプト概要① 5 タイミングパラメータ 17 I2C読込みスクリプト概要② 6 書込み 18 センサ読込みプログラム 7 読込み 19 センサ読込み概要①

More information

光変調型フォト IC S , S6809, S6846, S6986, S7136/-10, S10053 外乱光下でも誤動作の少ない検出が可能なフォト IC 外乱光下の光同期検出用に開発されたフォトICです フォトICチップ内にフォトダイオード プリアンプ コンパレータ 発振回路 LE

光変調型フォト IC S , S6809, S6846, S6986, S7136/-10, S10053 外乱光下でも誤動作の少ない検出が可能なフォト IC 外乱光下の光同期検出用に開発されたフォトICです フォトICチップ内にフォトダイオード プリアンプ コンパレータ 発振回路 LE 外乱光下でも誤動作の少ない検出が可能なフォト IC 外乱光下の光同期検出用に開発されたフォトICです フォトICチップ内にフォトダイオード プリアンプ コンパレータ 発振回路 LED 駆動回路 および信号処理回路などが集積化されています 外部に赤外 LEDを接続することによって 外乱光の影響の少ない光同期検出型のフォトリフレクタやフォトインタラプタが簡単に構成できます 独自の回路設計により 外乱光許容照度が10000

More information

TC74HC109AP/AF

TC74HC109AP/AF 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC19AP,TC74HC19AF Dual J-K Flip-Flop with Preset and Clear TC74HC19A は シリコンゲート CMOS 技術を用いた高速 CMOS JK フリップフロップです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます J および K 入力に与えられた論理レベルに従って

More information

TFTP serverの実装

TFTP serverの実装 TFTP サーバーの実装 デジタルビジョンソリューション 佐藤史明 1 1 プレゼンのテーマ組み込みソフトのファイル転送を容易に 2 3 4 5 基礎知識 TFTP とは 実践 1 実際に作ってみよう 実践 2 組み込みソフトでの実装案 最後におさらい 2 プレゼンのテーマ 組み込みソフトのファイル転送を容易に テーマ選択の理由 現在従事しているプロジェクトで お客様からファームウェアなどのファイル転送を独自方式からTFTPに変更したいと要望があった

More information

TC74HC112AP/AF

TC74HC112AP/AF 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC112AP,TC74HC112AF Dual J-K Flip Flop with Preset and Clear TC74HC112A は シリコンゲート CMOS 技術を用いた高速 CMOS JK フリップフロップです CMOS の特長である低い消費電流で LSTTL に匹敵する高速動作を実現できます J および K 入力に与えられた論理レベルに従って

More information

CoIDE 用 F4D_VCP の説明 V /07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x

CoIDE 用 F4D_VCP の説明 V /07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x CoIDE 用 F4D_VCP の説明 V001 2014/07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x08000000 です デバッグが可能です 目次 1. USB の VCP( 仮想 COM ポート )

More information